Sample records for gamnn layers grown

  1. Observation of spin-glass behavior in homogeneous (Ga,Mn)N layers grown by reactive molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Dhar, S.; Brandt, O.; Trampert, A.; Friedland, K. J.; Sun, Y. J.; Ploog, K. H.

    2003-04-01

    We present a detailed study of the magnetic properties of (Ga,Mn)N layers grown directly on 4H-SiC substrates by reactive molecular-beam epitaxy. X-ray diffraction and transmission electron microscopy demonstrates that homogeneous (Ga,Mn)N alloys of high crystal quality can be synthesized by this growth method up to a Mn-content of 10 12 %. Using a variety of magnetization experiments (temperature-dependent dc magnetization, isothermal remanent magnetization, frequency and field dependent ac susceptibility), we demonstrate that insulating (Ga,Mn)N alloys represent a Heisenberg spin-glass with a spin-freezing temperature around 4.5 K. We discuss the origins of this spin-glass characteristics in terms of the deep-acceptor nature of Mn in GaN and the resulting insulating character of this compound.

  2. Polarity dependence of Mn incorporation in (Ga,Mn)N superlattices

    NASA Astrophysics Data System (ADS)

    Tropf, L.; Kunert, G.; Jakieła, R.; Wilhelm, R. A.; Figge, S.; Grenzer, J.; Hommel, D.

    2016-03-01

    In the context of recent efforts to combine high Mn concentrations in (Ga,Mn)N with a pronounced p-type carrier density, (Ga,Mn)N/GaN:Mg-superlattices have been fabricated using plasma-assisted molecular beam epitaxy. Profiles of the dopant atomic densities in the heterostructures are obtained by secondary ion mass spectroscopy. They show an abrupt drop of two to three orders of magnitude in both Mn and Mg concentrations after the first GaN:Mg layer above a critical Mg-flux. Scanning electron microscopy before and after selective etching reveals a polarity inversion from originally Ga-face to N-face GaN in samples in which high Mg fluxes were applied. From our observations, we are able to draw an analogy between the impurity incorporation laws of Mg and Mn.

  3. Does the physics of (Ga,Mn)N differ from (GaMn)As qualitatively or quantitatively? Is valance of Mn impurity 2+ or 3+?

    NASA Astrophysics Data System (ADS)

    Nelson, Ryky; Berlijn, Tom; Ku, Wei; Moreno, Juana; Jarrell, Mark

    2013-03-01

    (Ga,Mn)N is a promising material for spintronics due to its potential high currie temperature (Tc). However, unlike for (Ga,Mn)As, some of the experiments on (Ga,Mn)N are still controversial on the intrinsic nature of the magnetism. Furthermore, under debate are the spin and charge state of the disordered Mn impurities in (Ga,Mn)N and whether its local moments interact via the same exchange mechanism as in (Ga,Mn)As. To address these issues we will present ab-initio-based analyses of disorder and correlation via the recently developed Wannier function based methods.

  4. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  5. What is the valence of Mn in GaMnN?

    NASA Astrophysics Data System (ADS)

    Nelson, Ryky; Berlijn, Tom; Moreno, Juana; Jarrell, Mark; Ku, Wei

    2014-03-01

    Motivated by the potential high Curie temperature of GaMnN, we investigate the controversial Mn-valence in this diluted magnetic semiconductor. From a first-principles Wannier functions analysis of the high energy Hilbert space we find unambiguously the charge state of Mn to be close to 2 + (d5), but in a mixed spin configuration with average magnetic moments of 4 μB. Using more extended Wannier orbitals to capture the lower-energy physics, we further demonstrate the feasibility of both the effective d4 description (appropriate to deal with the local magnetic moment and Jahn-Teller distortion), and the effective d5 description (relevant to study long-range magnetic order). Our derivation highlights the general richness of low-energy sectors in interacting many-body systems and the generic need for multiple effective descriptions, and advocates for a diminished relevance of atomic valence measured by various experimental probes. This research is supported in part by LA-SiGMA, NSF Award Number #EPS-1003897. TB was supported by DOE CMCSN and as a Wigner Fellow at the Oak Ridge National Laboratory.

  6. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  7. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  8. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  9. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  10. Heavily boron-doped Si layers grown below 700 C by molecular beam epitaxy using a HBO2 source

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.

    1989-01-01

    Boron doping in Si layers grown by molecular beam epitaxy (MBE) at 500-700 C using an HBO2 source has been studied. The maximum boron concentration without detectable oxygen incorporation for a given substrate temperature and Si growth rate has been determined using secondary-ion mass spectrometry analysis. Boron present in the Si MBE layers grown at 550-700 C was found to be electrically active, independent of the amount of oxygen incorporation. By reducing the Si growth rate, highly boron-doped layers have been grown at 600 C without detectable oxygen incorporation.

  11. Effect of an Electrochemically Oxidized ZnO Seed Layer on ZnO Nanorods Grown by using Electrodeposition

    NASA Astrophysics Data System (ADS)

    Jeon, Woosung; Leem, Jae-Young

    2018-05-01

    ZnO nanorods were prepared on a Si substrate with and without a ZnO seed layer formed by electro-oxidation to investigate the effect of the seed layer on their growth. The ZnO nanorods grown on the ZnO seed layer had top surfaces that were flat whereas those grown without it had rough top surfaces, as observed in field-emission scanning electron microscopy images. In the Xray diffraction analysis, all ZnO nanorods showed preferential orientation with the (002) plane. In the case of ZnO nanorods prepared with a ZnO seed layer, the residual stress decreased, and the full width at half maximum of the ZnO (002) plane peak decreased. The photoluminescence spectra show a strong and narrow near-band-edge emission peak and high near-band-edge emission to deep-level emission peak ratio for the ZnO nanorods prepared with the seed layer. With respect to the photoresponse properties, the ZnO nanorods grown with the ZnO seed layer showed higher responsivity and faster rise/decay curves than those grown without it. Thus, the ZnO seed layer formed by electro-oxidation improves the structural, optical, and photoresponse properties of the ZnO nanorods formed on it. This method could serve as a new route for improving the properties of optoelectronic devices.

  12. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  13. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    NASA Astrophysics Data System (ADS)

    Tolosa, Maria D. Reyes; Damonte, Laura C.; Brine, Hicham; Bolink, Henk J.; Hernández-Fenollosa, María A.

    2013-03-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  14. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition.

    PubMed

    Tolosa, Maria D Reyes; Damonte, Laura C; Brine, Hicham; Bolink, Henk J; Hernández-Fenollosa, María A

    2013-03-23

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  15. Optical transparency of graphene layers grown on metal surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rut’kov, E. V.; Lavrovskaya, N. P.; Sheshenya, E. S., E-mail: sheshenayket@gmail.ru

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electronmore » transfer between graphene and the metal substrate.« less

  16. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    PubMed Central

    2013-01-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion. PMID:23522332

  17. High indium content homogenous InAlN layers grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Wu, Feng; Bonef, Bastien; Speck, James S.

    2016-11-01

    InAlN grown by plasma-assisted molecular beam epitaxy often contains a honeycomb microstructure. The honeycomb microstructure consists of 5-10 nm diameter aluminum-rich regions which are surrounded by indium-rich regions. Layers without this microstructure were previously developed for nominally lattice-matched InAlN and have been developed here for higher indium content InAlN. In this study, InAlN was grown in a nitrogen-rich environment with high indium to aluminum flux ratios at low growth temperatures. Samples were characterized by high-resolution x-ray diffraction, atomic force microscopy, high-angle annular dark-field scanning transmission electron microscopy, and atom probe tomography. Atomic force microscopy showed InAlN layers grown at temperatures below 450 °C under nitrogen-rich conditions were free of droplets. InAlN films with indium contents up to 81% were grown at temperatures between 410 and 440 °C. High-angle annular dark-field scanning transmission electron microscopy and atom probe tomography showed no evidence of honeycomb microstructure for samples with indium contents of 34% and 62%. These layers are homogeneous and follow a random alloy distribution. A growth diagram for InAlN of all indium contents is reported.

  18. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  19. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  20. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  1. Suppression of Mg propagation into subsequent layers grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  2. Reducing the layer number of AB stacked multilayer graphene grown on nickel by annealing at low temperature.

    PubMed

    Velasco, J Marquez; Giamini, S A; Kelaidis, N; Tsipas, P; Tsoutsou, D; Kordas, G; Raptis, Y S; Boukos, N; Dimoulas, A

    2015-10-09

    Controlling the number of layers of graphene grown by chemical vapor deposition is crucial for large scale graphene application. We propose here an etching process of graphene which can be applied immediately after growth to control the number of layers. We use nickel (Ni) foil at high temperature (T = 900 °C) to produce multilayer-AB-stacked-graphene (MLG). The etching process is based on annealing the samples in a hydrogen/argon atmosphere at a relatively low temperature (T = 450 °C) inside the growth chamber. The extent of etching is mainly controlled by the annealing process duration. Using Raman spectroscopy we demonstrate that the number of layers was reduced, changing from MLG to few-layer-AB-stacked-graphene and in some cases to randomly oriented few layer graphene near the substrate. Furthermore, our method offers the significant advantage that it does not introduce defects in the samples, maintaining their original high quality. This fact and the low temperature our method uses make it a good candidate for controlling the layer number of already grown graphene in processes with a low thermal budget.

  3. Diffusion of cations in chromia layers grown on iron-base alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobnig, R.E.; Hennesen, K.; Grabke, H.J.

    Diffusion of the cations Cr, Fe, Mn, and Ni in Cr{sub 2}O{sub 3} has been investigated at 1,173 K. The diffusion measurements were performed on chromia layers grown on the model alloys Fe-20Cr and Fe-20Cr-12Ni in order to consider effects of small amounts of dissolved alien cations in Cr{sub 2}O{sub 3}. The samples were diffusion annealed in H{sub 2}-H{sub 2}O at an oxygen partial pressure close to the Cr{sub 2}O{sub 3}/Cr equilibrium. For all tracers the lattice-diffusion coefficients are 3-5 orders of magnitude smaller than the grain-boundary diffusion coefficients. The lattice diffusivity of Mn is about two orders of magnitudemore » greater than the other lattice-diffusion coefficients, especially in Cr{sub 2}O{sub 3} grown on Fe-20Cr-12Ni. The values of the diffusion coefficients for Cr, Fe, and Ni are in the same range. Diffusion of the tracers in Cr{sub 2}O{sub 3} grown on different alloys did not show significant differences with the exception of Mn.« less

  4. Effect of Sb in thick InGaAsSbN layers grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Donchev, V.; Milanova, M.; Asenova, I.; Shtinkov, N.; Alonso-Álvarez, D.; Mellor, A.; Karmakov, Y.; Georgiev, S.; Ekins-Daukes, N.

    2018-02-01

    Dilute nitride InGaAsSbN layers grown by low-temperature liquid phase epitaxy are studied in comparison with quaternary InGaAsN layers grown at the same growth conditions to understand the effect of Sb in the alloy. The lattice mismatch to the GaAs substrate is found to be slightly larger for the InGaAsSbN layers, which is explained by the large atomic radius of Sb. A reduction of the band gap energy with respect to InGaAsN is demonstrated by means of photoluminescence (PL), surface photovoltage (SPV) spectroscopy and tight-binding calculations. The band-gap energies determined from PL and ellipsometry measurements are in good agreement, while the SPV spectroscopy and the tight-binding calculations provide lower values. Possible reasons for these discrepancies are discussed. The PL spectra reveal localized electronic states in the band gap near the conduction band edge, which is confirmed by SPV spectroscopy. The analysis of the power dependence of the integrated PL has allowed determining the dominant radiative recombination mechanisms in the layers. The values of the refraction index in a wide spectral region are found to be higher for the Sb containing layers.

  5. LPE growth of crack-free PbSe layers on Si(100) using MBE-Grown PbSe/BaF2CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Strecker, B. N.; McCann, P. J.; Fang, X. M.; Hauenstein, R. J.; O'Steen, M.; Johnson, M. B.

    1997-05-01

    Crack-free PbSe on (100)-oriented Si has been obtained by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. MBE is employed first to grow a PbSe/BaF2/CaF2 buffer structure on the (100)-oriented Si. A 2.5 μm thick PbSe layer is then grown by LPE. The LPE-grown PbSe displays excellent surface morphology and is continuous over the entire 8×8 mm2 area of growth. This result is surprising because of the large mismatch in thermal expansion coefficients between PbSe and Si. Previous attempts to grow crack-free PbSe by MBE alone using similar buffer structures on (100)-oriented Si have been unsuccessful. It is speculated that the large concentration of Se vacancies in the LPE-grown PbSe layer may allow dislocation climb along higher order slip planes, providing strain relaxation.

  6. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    NASA Astrophysics Data System (ADS)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  7. On Controlling the Hydrophobicity of Nanostructured Zinc-Oxide Layers Grown by Pulsed Electrodeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klochko, N. P., E-mail: klochko-np@mail.ru; Klepikova, K. S.; Kopach, V. R.

    The possibility of fabricating highly hydrophobic nanostructured zinc-oxide layers by the inexpensive method of pulsed electrodeposition from aqueous solutions without water-repellent coatings, adapted for large-scale production, is shown. The conditions of the deposition of highly hydrophobic nanostructured zinc-oxide layers exhibiting the “rose-petal” effect with specific morphology, optical properties, crystal structure and texture are determined. The grown ZnO nanostructures are promising for micro- and nanoelectronics as an adaptive material able to reversibly transform to the hydrophilic state upon exposure to ultraviolet radiation.

  8. Flexible metal-semiconductor-metal device prototype on wafer-scale thick boron nitride layers grown by MOVPE.

    PubMed

    Li, Xin; Jordan, Matthew B; Ayari, Taha; Sundaram, Suresh; El Gmili, Youssef; Alam, Saiful; Alam, Muhbub; Patriarche, Gilles; Voss, Paul L; Paul Salvestrini, Jean; Ougazzaden, Abdallah

    2017-04-11

    Practical boron nitride (BN) detector applications will require uniform materials over large surface area and thick BN layers. To report important progress toward these technological requirements, 1~2.5 µm-thick BN layers were grown on 2-inch sapphire substrates by metal-organic vapor phase epitaxy (MOVPE). The structural and optical properties were carefully characterized and discussed. The thick layers exhibited strong band-edge absorption near 215 nm. A highly oriented two-dimensional h-BN structure was formed at the film/sapphire interface, which permitted an effective exfoliation of the thick BN film onto other adhesive supports. And this structure resulted in a metal-semiconductor-metal (MSM) device prototype fabricated on BN membrane delaminating from the substrate. MSM photodiode prototype showed low dark current of 2 nA under 100 V, and 100 ± 20% photoconductivity yield for deep UV light illumination. These wafer-scale MOVPE-grown thick BN layers present great potential for the development of deep UV photodetection applications, and even for flexible (opto-) electronics in the future.

  9. Seed layer effect on different properties and UV detection capability of hydrothermally grown ZnO nanorods over SiO2/p-Si substrate

    NASA Astrophysics Data System (ADS)

    Sannakashappanavar, Basavaraj S.; Byrareddy, C. R.; Kumar, Pesala Sudheer; Yadav, Aniruddh Bahadur

    2018-05-01

    Hydrothermally grown one dimensional ZnO nanostructures are among the most widely used semiconductor materials to build high-efficiency electronic devices for various applications. Few researchers have addressed the growth mechanism and effect of ZnO seed layer on different properties of ZnO nanorods grown by hydrothermal method, instead, no one has synthesized ZnO nanorod over SiO2/p-Si substrate. The aim of this study is to study the effect of ZnO seed layer and the growth mechanism of ZnO nanorods over SiO2/p-Si substrate. To achieve the goal, we have synthesized ZnO nanorods over different thickness ZnO seed layers by using the hydrothermal method on SiO2/p-Si substrate. The effects of c-plane area ratio were identified for the growth rate of c-plane, reaction rate constant and stagnant layer thickness also calculated by using a modified rate growth equation. We have identified maximum seed layer thickness for the growth of vertical ZnO nanorod. A step dislocation in the ZnO nanorods grown on 150and 200 nm thick seed layers was observed, the magnitude of Burges vector was calculated for this disorder. The seed layer and ZnO nanorods were characterized by AFM, XPS, UV-visible, XRD (X-ray diffraction, and SEM(scanning electron microscope). To justify the application of the grown ZnO nanorods Ti/Au was deposited over ZnO nanorods grown over all seed layers for the fabrication of photoconductor type UV detector.

  10. Optical Investigation of Transition Metal Implanted Wide Band Gap Semiconductors

    DTIC Science & Technology

    2005-03-01

    T., Overberg, M. E., Frazier, R., Abernathy, C. R., Pearton, S. J., Kim, Jihyun , and Ren, F. Optical and electrical properties of GaMnN films grown...Yuldashev, Sh. U., Leem, J. H., Park , C. S., Fu, D. J., Kim, H. J. and Kang, T. W. Optical and magnetic measurements of p-type GaN epilayers

  11. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    NASA Astrophysics Data System (ADS)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  12. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  13. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  14. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  15. Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kukli, Kaupo; Ritala, Mikko; Aarik, Jaan; Uustare, Teet; Leskela, Markku

    2002-08-01

    ZrO2 films were grown by atomic layer deposition from ZrCl4 and H2O or a mixture of H2O and H2O2 on Si(100) substrates in the temperature range of 180-600 degC. The films were evaluated in the as-deposited state, in order to follow the effect of deposition temperature on the film quality. The rate of crystal growth increased and the content of residual impurities decreased with increasing temperature. The zirconium-to-oxygen atomic ratio, determined by ion-beam analysis, corresponded to the stoichiometric dioxide regardless of the growth temperature. The effective permittivity of ZrO2 in Al/ZrO2/Si capacitor structures increased from 13-15 in the films grown at 180 degC to 19 in the films grown at 300-600 degC, measured at 100 kHz. The permittivity was relatively high in the crystallized films, compared to the amorphous ones, but rather insensitive to the crystal structure. The permittivity was higher in the films grown using water. The leakage current density tended to be lower and the breakdown field higher in the films grown using hydrogen peroxide.

  16. STM studies of GeSi thin layers epitaxially grown on Si(111)

    NASA Astrophysics Data System (ADS)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  17. Electrical properties of surface and interface layers of the N- and In-polar undoped and Mg-doped InN layers grown by PA MBE

    NASA Astrophysics Data System (ADS)

    Komissarova, T. A.; Kampert, E.; Law, J.; Jmerik, V. N.; Paturi, P.; Wang, X.; Yoshikawa, A.; Ivanov, S. V.

    2018-01-01

    Electrical properties of N-polar undoped and Mg-doped InN layers and In-polar undoped InN layers grown by plasma-assisted molecular beam epitaxy (PA MBE) were studied. Transport parameters of the surface and interface layers were determined from the measurements of the Hall coefficient and resistivity as well as the Shubnikov-de Haas oscillations at magnetic fields up to 60 T. Contributions of the 2D surface, 3D near-interface, and 2D interface layers to the total conductivity of the InN films were defined and discussed to be dependent on InN surface polarity, Mg doping, and PA MBE growth conditions.

  18. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  19. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  20. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  1. Influence of substrates and rutile seed layers on the assembly of hydrothermally grown rutile TiO2 nanorod arrays

    NASA Astrophysics Data System (ADS)

    Kalb, Julian; Dorman, James A.; Folger, Alena; Gerigk, Melanie; Knittel, Vanessa; Plüisch, Claudia S.; Trepka, Bastian; Lehr, Daniela; Chua, Emily; Goodge, Berit H.; Wittemann, Alexander; Scheu, Christina; Polarz, Sebastian; Schmidt-Mende, Lukas

    2018-07-01

    Rutile TiO2 nanorod arrays (NRAs) are applicable in various prospective technologies. Hydrothermal methods present a simple technique to fabricate such NRAs. In this report, we present the fabrication of seed layers for the hydrothermal growth of rutile TiO2 nanorods via sputter deposition, electron-beam evaporation, and sol-gel method and study the influence of each on the growth behavior. To satisfy the requirements of numerous applications, p-type silicon, platinum, levitating carbon membranes, a template made of polystyrene spheres, and commercial fluorine tin oxide (FTO) were employed as substrates. We document the structural properties of the TiO2 seed layers and describe the relationship between the characteristics of the seed crystals, the growth evolution, and the appearance of as-grown nanorods. Various growth stages of rutile TiO2 nanorods are compared depending on whether they are grown on polycrystalline TiO2 or FTO seed layers. In both cases, a homogenous TiO2 bottom layer is formed at the seed layer/substrate interface, which is essential for electronic applications such as hybrid solar cells. Detached NRAs illustrate the effect of rutile FTO and TiO2 on the porosity of this bottom layer. Further details about the formation process of this layer are obtained from the growth on confined seed layers fabricated by electron-beam lithography.

  2. Surface potential driven dissolution phenomena of [0 0 0 1]-oriented ZnO nanorods grown from ZnO and Pt seed layers

    NASA Astrophysics Data System (ADS)

    Seo, Youngmi; Kim, Jung Hyeun

    2011-06-01

    Highly oriented ZnO nanorods are synthesized hydrothermally on ZnO and Pt seed layers, and they are dissolved in KOH solution. The rods grown on ZnO seed layer show uniform dissolution, but those grown on Pt seed layer are rod-selectively dissolved. The ZnO nanorods from both seed layers show the same crystalline structure through XRD and Raman spectrometer data. However, the surface potential analysis reveals big difference for ZnO and Pt seed cases. The surface potential distribution is very uniform for the ZnO seed case, but it is much fluctuated on the Pt seed case. It suggests that the rod-selective dissolution phenomena on Pt seed case are likely due to the surface energy difference.

  3. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  4. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE PAGES

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.; ...

    2016-11-18

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  5. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  6. ZnO nanostructures directly grown on paper and bacterial cellulose substrates without any surface modification layer.

    PubMed

    Costa, Saionara V; Gonçalves, Agnaldo S; Zaguete, Maria A; Mazon, Talita; Nogueira, Ana F

    2013-09-21

    In this report, hierarchical ZnO nano- and microstructures were directly grown for the first time on a bacterial cellulose substrate and on two additional different papers by hydrothermal synthesis without any surface modification layer. Compactness and smoothness of the substrates are two important parameters that allow the growth of oriented structures.

  7. Structural, optical, and electrical properties of unintentionally doped NiO layers grown on MgO by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Budde, Melanie; Tschammer, Carsten; Franz, Philipp; Feldl, Johannes; Ramsteiner, Manfred; Goldhahn, Rüdiger; Feneberg, Martin; Barsan, Nicolae; Oprea, Alexandru; Bierwagen, Oliver

    2018-05-01

    NiO layers were grown on MgO(100), MgO(110), and MgO(111) substrates by plasma-assisted molecular beam epitaxy under Ni-flux limited growth conditions. Single crystalline growth with a cube-on-cube epitaxial relationship was confirmed by X-ray diffraction measurements for all used growth conditions and substrates except MgO(111). A detailed growth series on MgO(100) was prepared using substrate temperatures ranging from 20 °C to 900 °C to investigate the influence on the layer characteristics. Energy-dispersive X-ray spectroscopy indicated close-to-stoichiometric layers with an oxygen content of ≈ 47 at. % and ≈ 50 at. % grown under low and high O-flux, respectively. All NiO layers had a root-mean-square surface roughness below 1 nm, measured by atomic force microscopy, except for rougher layers grown at 900 °C or using molecular oxygen. Growth at 900 °C led to a significant diffusion of Mg from the substrate into the film. The relative intensity of the quasi-forbidden one-phonon Raman peak is introduced as a gauge of the crystal quality, indicating the highest layer quality for growth at low oxygen flux and high growth temperature, likely due to the resulting high adatom diffusion length during growth. The optical and electrical properties were investigated by spectroscopic ellipsometry and resistance measurements, respectively. All NiO layers were transparent with an optical bandgap around 3.6 eV and semi-insulating at room temperature. However, changes upon exposure to reducing or oxidizing gases of the resistance of a representative layer at elevated temperature were able to confirm p-type conductivity, highlighting their suitability as a model system for research on oxide-based gas sensing.

  8. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  9. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  10. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  11. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  12. Surface acceptor states in MBE-grown CdTe layers

    NASA Astrophysics Data System (ADS)

    Wichrowska, Karolina; Wosinski, Tadeusz; Tkaczyk, Zbigniew; Kolkovsky, Valery; Karczewski, Grzegorz

    2018-04-01

    A deep-level hole trap associated with surface defect states has been revealed with deep-level transient spectroscopy investigations of metal-semiconductor junctions fabricated on nitrogen doped p-type CdTe layers grown by the molecular-beam epitaxy technique. The trap displayed the hole-emission activation energy of 0.33 eV and the logarithmic capture kinetics indicating its relation to extended defect states at the metal-semiconductor interface. Strong electric-field-induced enhancement of the thermal emission rate of holes from the trap has been attributed to the phonon-assisted tunneling effect from defect states involving very large lattice relaxation around the defect and metastability of its occupied state. Passivation with ammonium sulfide of the CdTe surface, prior to metallization, results in a significant decrease in the trap density. It also results in a distinct reduction in the width of the surface-acceptor-state-induced hysteresis loops in the capacitance vs. voltage characteristics of the metal-semiconductor junctions.

  13. Laser MBE-grown CoFeB epitaxial layers on MgO: Surface morphology, crystal structure, and magnetic properties

    NASA Astrophysics Data System (ADS)

    Kaveev, Andrey K.; Bursian, Viktor E.; Krichevtsov, Boris B.; Mashkov, Konstantin V.; Suturin, Sergey M.; Volkov, Mikhail P.; Tabuchi, Masao; Sokolov, Nikolai S.

    2018-01-01

    Epitaxial layers of CoFeB were grown on MgO by means of laser molecular beam epitaxy using C o40F e40B20 target. The growth was combined with in situ structural characterization by three-dimensional reciprocal space mapping obtained from reflection high energy electron diffraction (RHEED) data. High-temperature single stage growth regime was adopted to fabricate CoFeB layers. As confirmed by the atomic force microscopy, the surface of CoFeB layers consists of closely spaced nanometer sized islands with dimensions dependent on the growth temperature. As shown by RHEED and XRD analysis, the CoFeB layers grown at high-temperature on MgO(001) possess body centered cubic (bcc) crystal structure with the lattice constant a =2.87 Å close to that of the C o75F e25 alloy. It was further shown that following the same high-temperature growth technique the MgO/CoFeB/MgO(001) heterostructures can be fabricated with top and bottom MgO layers of the same crystallographic orientation. The CoFeB layers were also grown on the GaN(0001) substrates using MgO(111) as a buffer layer. In this case, the CoFeB layers crystallize in bcc crystal structure with the (111) axis perpendicular to the substrate surface. The magnetic properties of the CoFeB/MgO (001) heterostructures have been investigated by measuring magnetization curves with a vibrating sample magnetometer as well as by performing magneto-optical Kerr effect (MOKE) and ferromagnetic resonance (FMR) studies. FMR spectra were obtained for the variety of the magnetic field directions and typically consisted of a single relatively narrow resonance line. The magnetization orientations and the resonance conditions were calculated in the framework of a standard magnetic energy minimization procedure involving a single K1 c cubic term for the magnetocrystalline anisotropy. This allows a fairly accurate description of the angular dependences of the resonance fields—both in-plane and out-of-plane. It was shown that CoFeB layers exhibit

  14. Structural and optical properties of ZnO nanorods on Mg0.2Zn0.8O seed layers grown by hydrothermal method.

    PubMed

    Kim, Min Su; Kim, Do Yeob; Kim, Sung-O; Leem, Jae-Young

    2013-05-01

    ZnO nanorods were grown on the Mg0.2Zn0.8O seed layers with different thickness by hydrothermal method. Scanning electron microscopy (SEM), X-ray diffraction (XRD), and photoluminescence (PL) were carried out to investigate the effects of the Mg0.2Zn0.8O seed layer thickness on the structural and the optical properties of the ZnO nanorods. The residual stress in the Mg0.2Zn0.8O seed layers was depended on the thickness while the texture coefficient of the Mg0.2Zn0.8O seed layers was not affected significantly. The smaller full width at half maximum (FWHM) of the ZnO (002) diffraction and near-band-edge emission (NBE) peak and the larger average grain size were observed from the ZnO nanorods grown on the Mg0.2Zn0.8O seed layers with 5 layers (thickness of 350 nm), which indicate the enhancement the structural and the optical properties of the ZnO nanorods.

  15. Tailoring graphene layer-to-layer growth

    NASA Astrophysics Data System (ADS)

    Li, Yongtao; Wu, Bin; Guo, Wei; Wang, Lifeng; Li, Jingbo; Liu, Yunqi

    2017-06-01

    A layered material grown between a substrate and the upper layer involves complex interactions and a confined reaction space, representing an unusual growth mode. Here, we show multi-layer graphene domains grown on liquid or solid Cu by the chemical vapor deposition method via this ‘double-substrate’ mode. We demonstrate the interlayer-induced coupling effect on the twist angle in bi- and multi-layer graphene. We discover dramatic growth disunity for different graphene layers, which is explained by the ideas of a chemical ‘gate’ and a material transport process within a confined space. These key results lead to a consistent framework for understanding the dynamic evolution of multi-layered graphene flakes and tailoring the layer-to-layer growth for practical applications.

  16. Quality improvements of ZnxCdyMg1-x-ySe layers grown on InP substrates by a thin ZnCdSe interfacial layer

    NASA Astrophysics Data System (ADS)

    Zeng, L.; Yang, B. X.; Tamargo, M. C.; Snoeks, E.; Zhao, L.

    1998-03-01

    The quality of lattice-matched ZnxCdyMg1-x-ySe epitaxial layers grown on (001) InP substrates with a III-V buffer layer has been improved by initially growing a ZnCdSe interfacial layer (50 Å) at low temperature. The widths of double crystal x-ray rocking curves for ZnxCdyMg1-x-ySe epilayers with band gaps as high as 3.05 eV were reduced to about 70 arcsec. The defect density evaluated from etch pit density and plan-view transmission electron microscopy measurements was reduced by two orders of magnitude, to 106-107cm-2. The photoluminescence band edge emission became more symmetric and slightly narrower. It is proposed that an initial two-dimensional growth mode has been achieved by incorporating such a lattice-matched ZnCdSe layer.

  17. Electrical characterization of thin nanoscale SiOx layers grown on plasma hydrogenated silicon

    NASA Astrophysics Data System (ADS)

    Halova, E.; Kojuharova, N.; Alexandrova, S.; Szekeres, A.

    2018-03-01

    We analyzed the electrical characteristics of MOS structures with a SiOx layer grown on Si treated in plasma without heating. The hysteresis effect observed indicates the presence of traps spatially distributed into the oxide near the interface. The shift and the shape of the curves reveal a small oxide charge and low leakage currents, i.e. a high-quality dielectric layer. The generalized C-V curve was generated by applying the two-frequency methods on the C-V and G-V characteristics at frequencies in the range from 1 kHz to 300 kHz and by accounting for the series resistance and the leakage through the oxide layer. The energy spectra of the interface traps were calculated by comparing the experimental and the ideal theoretical C-V curves. The spectra showed the presence of interface traps with localized energy levels in the Si bandgap. These conclusions correlate well with the results on this oxide’s mechanical stress level, composition and Si-O ring structure, as well as on the interfacial region composition, obtained by our previous detailed multi-angle spectral ellipsometric studies. The ellipsometric data and the capacitance in strong accumulation of the C-V curves were used to calculate the thickness and the dielectric constants of the oxide layers.

  18. Reduction of structural defects in thick 4H-SiC epitaxial layers grown on 4° off-axis substrates

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Ivanov, I. G.; Pedersen, H.; Kordina, O.; Janzén, E.

    2013-06-01

    By carefully controlling the surface chemistry of the chemical vapor deposition process for silicon carbide (SiC), 100 μm thick epitaxial layers with excellent morphology were grown on 4° off-axis SiC substrates at growth rates exceeding 100 μm/h. In order to reduce the formation of step bunching and structural defects, mainly triangular defects, the effect of varying parameters such as growth temperature, C/Si ratio, Cl/Si ratio, Si/H2 ratio, and in situ pre-growth surface etching time are studied. It was found that an in-situ pre growth etch at growth temperature and pressure using 0.6% HCl in hydrogen for 12 min reduced the structural defects by etching preferentially on surface damages of the substrate surface. By then applying a slightly lower growth temperature of 1575 °C, a C/Si ratio of 0.8, and a Cl/Si ratio of 5, 100 μm thick, step-bunch free epitaxial layer with a minimum triangular defect density and excellent morphology could be grown, thus enabling SiC power device structures to be grown on 4° off axis SiC substrates.

  19. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. Onmore » the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.« less

  20. A platform for large-scale graphene electronics--CVD growth of single-layer graphene on CVD-grown hexagonal boron nitride.

    PubMed

    Wang, Min; Jang, Sung Kyu; Jang, Won-Jun; Kim, Minwoo; Park, Seong-Yong; Kim, Sang-Woo; Kahng, Se-Jong; Choi, Jae-Young; Ruoff, Rodney S; Song, Young Jae; Lee, Sungjoo

    2013-05-21

    Direct chemical vapor deposition (CVD) growth of single-layer graphene on CVD-grown hexagonal boron nitride (h-BN) film can suggest a large-scale and high-quality graphene/h-BN film hybrid structure with a defect-free interface. This sequentially grown graphene/h-BN film shows better electronic properties than that of graphene/SiO2 or graphene transferred on h-BN film, and suggests a new promising template for graphene device fabrication. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  2. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  3. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  4. Effects of Complex Structured Anodic Oxide Dielectric Layer Grown in Pore Matrix for Aluminum Capacitor.

    PubMed

    Shin, Jin-Ha; Yun, Sook Young; Lee, Chang Hyoung; Park, Hwa-Sun; Suh, Su-Jeong

    2015-11-01

    Anodization of aluminum is generally divided up into two types of anodic aluminum oxide structures depending on electrolyte type. In this study, an anodization process was carried out in two steps to obtain high dielectric strength and break down voltage. In the first step, evaporated high purity Al on Si wafer was anodized in oxalic acidic aqueous solution at various times at a constant temperature of 5 degrees C. In the second step, citric acidic aqueous solution was used to obtain a thickly grown sub-barrier layer. During the second anodization process, the anodizing potential of various ranges was applied at room temperature. An increased thickness of the sub-barrier layer in the porous matrix was obtained according to the increment of the applied anodizing potential. The microstructures and the growth of the sub-barrier layer were then observed with an increasing anodizing potential of 40 to 300 V by using a scanning electron microscope (SEM). An impedance analyzer was used to observe the change of electrical properties, including the capacitance, dissipation factor, impedance, and equivalent series resistance (ESR) depending on the thickness increase of the sub-barrier layer. In addition, the breakdown voltage was measured. The results revealed that dielectric strength was improved with the increase of sub-barrier layer thickness.

  5. Thickness dependence of crystal and optical characterization on ZnO thin film grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Baek, Seung-Hye; Lee, Hyun-Jin; Lee, Sung-Nam

    2018-06-01

    We studied the thickness dependence of the crystallographic and optical properties of ZnO thin films grown on c-plane sapphire substrate using atomic layer deposition. High-resolution X-ray diffraction (HR-XRD) revealed two peaks at 34.5° and 36.2° in the initial growth stage of ZnO on the sapphire substrate, corresponding to the (002) and (101) ZnO planes, respectively. However, as the thickness of the ZnO film increased, the XRD intensity of the (002) ZnO peak increased drastically, compared with that of the (101) ZnO peak. This indicated that (002) and (101) ZnO were simultaneously grown on the c-plane sapphire substrate in the initial growth stage, and that (002) ZnO was predominantly grown with the increase in the thickness of ZnO film. The ZnO thin film presented an anisotropic surface structure at the initial stage, whereas the isotropic surface morphology was developed with an increase in the film thickness of ZnO. These observations were consistent with the HR-XRD results.

  6. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  7. Stability domain of alumina thermally grown on Fe-Cr-Al-based model alloys and modified surface layers exposed to oxygen-containing molten Pb

    NASA Astrophysics Data System (ADS)

    Jianu, A.; Fetzer, R.; Weisenburger, A.; Doyle, S.; Bruns, M.; Heinzel, A.; Hosemann, P.; Mueller, G.

    2016-03-01

    The paper gives experimental results concerning the morphology, composition, structure and thickness of the oxide scales grown on Fe-Cr-Al-based bulk alloys during exposure to oxygen-containing molten lead. The results are discussed and compared with former results obtained on Al-containing surface layers, modified by melting with intense pulsed electron beam and exposed to similar conditions. The present and previous results provide the alumina stability domain and also the criterion of the Al/Cr ratio for the formation of a highly protective alumina layer on the surface of Fe-Cr-Al-based alloys and on modified surface layers exposed to molten lead with 10-6 wt.% oxygen at 400-600 °C. The protective oxide scales, grown on alumina-forming Fe-Cr-Al alloys under the given experimental conditions, were transient aluminas, namely, kappa-Al2O3 and theta-Al2O3.

  8. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    NASA Astrophysics Data System (ADS)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  9. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, Pavel, E-mail: pavel.aseev@upm.es; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology.

  10. Photoconductivity of ultra-thin Ge(GeSn) layers grown in Si by low-temperature molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Talochkin, A. B., E-mail: tal@isp.nsc.ru; Novosibirsk State University, Novosibirsk 630090; Chistokhin, I. B.

    2016-04-07

    Photoconductivity (PC) spectra of Si/Ge(GeSn)/Si structures with the ultra-thin (1.0–2.3 nm) Ge and GeSn alloy layers grown by the low-temperature (T = 100 °C) molecular beam epitaxy are studied. Photoresponse in the range of 1.2–0.4 eV related to light absorption in the buried Ge(GeSn) layer is observed. It is shown that in case of lateral PC, a simple diffusion model can be used to determine the absorption coefficient of this layer α ∼ 10{sup 5 }cm{sup −1}. This value is 100 times larger than that of a single Ge quantum dot layer and is reached significantly above the band gap of most bulk semiconductors. The observedmore » absorption is caused by optical transitions between electron and hole states localized at the interfaces. The anomalous high value of α can be explained by the unusual state of Ge(GeSn) layer with high concentration of dangling bonds, the optical properties of which have been predicted theoretically by Knief and von Niessen (Phys. Rev. B 59, 12940 (1999)).« less

  11. Epitaxial pentacene films grown on the surface of ion-beam-processed gate dielectric layer

    NASA Astrophysics Data System (ADS)

    Chou, W. Y.; Kuo, C. W.; Cheng, H. L.; Mai, Y. S.; Tang, F. C.; Lin, S. T.; Yeh, C. Y.; Horng, J. B.; Chia, C. T.; Liao, C. C.; Shu, D. Y.

    2006-06-01

    The following research describes the process of fabrication of pentacene films with submicron thickness, deposited by thermal evaporation in high vacuum. The films were fabricated with the aforementioned conditions and their characteristics were analyzed using x-ray diffraction, scanning electron microscopy, polarized Raman spectroscopy, and photoluminescence. Organic thin-film transistors (OTFTs) were fabricated on an indium tin oxide coated glass substrate, using an active layer of ordered pentacene molecules, which were grown at room temperature. Pentacene film was aligned using the ion-beam aligned method, which is typically employed to align liquid crystals. Electrical measurements taken on a thin-film transistor indicated an increase in the saturation current by a factor of 15. Pentacene-based OTFTs with argon ion-beam-processed gate dielectric layers of silicon dioxide, in which the direction of the ion beam was perpendicular to the current flow, exhibited a mobility that was up to an order of magnitude greater than that of the controlled device without ion-beam process; current on/off ratios of approximately 106 were obtained. Polarized Raman spectroscopy investigation indicated that the surface of the gate dielectric layer, treated with argon ion beam, enhanced the intermolecular coupling of pentacene molecules. The study also proposes the explanation for the mechanism of carrier transportation in pentacene films.

  12. Evidence from EELS of oxygen in the nucleation layer of a MBE grown III-N HEMT[Electron Energy Loss Spectroscopy, Molecular Beam Epitaxy, High Electron Mobility Transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eustis, T.J.; Silcox, J.; Murphy, M.J.

    The presence of oxygen throughout the nominally AlN nucleation layer of a RF assisted MBE grown III-N HEMT was revealed upon examination by Electron Energy Loss Spectroscopy (EELS) in a Scanning Transmission Electron Microscope (STEM). The nucleation layer generates the correct polarity (gallium face) required for producing a piezoelectric induced high mobility two dimensional electron gas at the AlGaN/GaN heterojunction. Only AlN or AlGaN nucleation layers have provided gallium face polarity in RF assisted MBE grown III-N's on sapphire. The sample was grown at Cornell University in a Varian GenII MBE using an EPI Uni-Bulb nitrogen plasma source. The nucleationmore » layer was examined in the Cornell University STEM using Annular Dark Field (ADF) imaging and Parallel Electron Energy Loss Spectroscopy (PEELS). Bright Field TEM reveals a relatively crystallographically sharp interface, while the PEELS reveal a chemically diffuse interface. PEELS of the nitrogen and oxygen K-edges at approximately 5-Angstrom steps across the GaN/AlN/sapphire interfaces reveals the presence of oxygen in the AlN nucleation layer. The gradient suggests that the oxygen has diffused into the nucleation region from the sapphire substrate forming this oxygen containing AlN layer. Based on energy loss near edge structure (ELNES), oxygen is in octahedral interstitial sites in the AlN and Al is both tetrahedrally and octahedrally coordinated in the oxygen rich region of the AlN.« less

  13. Tunneling Spectroscopy of Superconducting MoN and NbTiN Grown by Atomic Layer Deposition.

    DOE PAGES

    Groll, Nickolas; Klug, Jeffrey A.; Cao, Chaoyue; ...

    2014-03-03

    A tunneling spectroscopy study is presented of superconducting MoN and Nbo.8Tio.2N thin films grown by atomic layer deposition (ALD). The films exhibited a superconducting gap of 2meV and 2.4meV, respectively, with a corresponding critical temperature of 11.5K and 13.4 K, among the highest reported Tc values achieved by the ALD technique.Tunnel junctions were obtained using a mechanical contact method with a Au tip. While the native oxides of these films provided poor tunnel barriers, high quality tunnel junctions with low zero bias conductance (below rvl0%) were obtained using an artificial tunnel barrier of Ah03 on the film's surface grown exmore » situ by ALD. We find a large critical current density on the order of 4 x 106Ncm2 at T =0.8Tc for a 60 run MoN film and demonstrate conformal coating capabilities of ALD onto high aspect ratio geometries. These results suggest that the ALD technique offers significant promise for thin film superconducting device applications.« less

  14. Tunneling spectroscopy of superconducting MoN and NbTiN grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Groll, Nickolas R.; Klug, Jeffrey A.; Cao, Chaoyue; Altin, Serdar; Claus, Helmut; Becker, Nicholas G.; Zasadzinski, John F.; Pellin, Michael J.; Proslier, Thomas

    2014-03-01

    A tunneling spectroscopy study is presented of superconducting MoN and Nb0.8Ti0.2N thin films grown by atomic layer deposition (ALD). The films exhibited a superconducting gap of 2 meV and 2.4 meV, respectively, with a corresponding critical temperature of 11.5 K and 13.4 K, among the highest reported Tc values achieved by the ALD technique. Tunnel junctions were obtained using a mechanical contact method with a Au tip. While the native oxides of these films provided poor tunnel barriers, high quality tunnel junctions with low zero bias conductance (below ˜10%) were obtained using an artificial tunnel barrier of Al2O3 on the film's surface grown ex situ by ALD. We find a large critical current density on the order of 4 × 106 A/cm2 at T = 0.8Tc for a 60 nm MoN film and demonstrate conformal coating capabilities of ALD onto high aspect ratio geometries. These results suggest that the ALD technique offers significant promise for thin film superconducting device applications.

  15. Superheating Suppresses Structural Disorder in Layered BiI3 Semiconductors Grown by the Bridgman Method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johns, Paul M.; Sulekar, Soumitra; Yeo, Shinyoung

    2016-01-01

    The susceptibility of layered structures to stacking faults is a problem in some of the more attractive semiconductor materials for ambient-temperature radiation detectors. In the work presented here, Bridgman-grown BiI3 layered single crystals are investigated to understand and eliminate this structural disorder, which reduces radiation detector performance. The use of superheating gradients has been shown to improve crystal quality in non-layered semiconductor crystals; thus the technique was here explored to improve the growth of BiI3. When investigating the homogeneity of non-superheated crystals, highly geometric void defects were found to populate the bulk of the crystals. Applying a superheating gradient tomore » the melt prior to crystal growth improved structural quality and decreased defect density from the order of 4600 voids per cm3 to 300 voids per cm3. Corresponding moderate improvements to electronic properties also resulted from the superheat gradient method of crystal growth. Comparative measurements through infrared microscopy, etch-pit density, x-ray rocking curves, and sheet resistivity readings show that superheat gradients in BiI3 growth led to higher quality crystals.« less

  16. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  17. n-VO2/p-GaN based nitride-oxide heterostructure with various thickness of VO2 layer grown by MBE

    NASA Astrophysics Data System (ADS)

    Wang, Minhuan; Bian, Jiming; Sun, Hongjun; Liu, Weifeng; Zhang, Yuzhi; Luo, Yingmin

    2016-12-01

    High quality VO2 films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO2 layer thickness on the SMT properties of the as-grown n-VO2/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO2/p-GaN interface were demonstrated before and after SMT of the VO2 over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO2 films was principally composed of V4+ with trace amount of V5+. The design and modulation of the n-VO2/p-GaN based heterostructure devices will benefit significantly from these achievements.

  18. Effects of substrate conductivity on cell morphogenesis and proliferation using tailored, atomic layer deposition-grown ZnO thin films

    PubMed Central

    Choi, Won Jin; Jung, Jongjin; Lee, Sujin; Chung, Yoon Jang; Yang, Cheol-Soo; Lee, Young Kuk; Lee, You-Seop; Park, Joung Kyu; Ko, Hyuk Wan; Lee, Jeong-O

    2015-01-01

    We demonstrate that ZnO films grown by atomic layer deposition (ALD) can be employed as a substrate to explore the effects of electrical conductivity on cell adhesion, proliferation, and morphogenesis. ZnO substrates with precisely tunable electrical conductivity were fabricated on glass substrates using ALD deposition. The electrical conductivity of the film increased linearly with increasing duration of the ZnO deposition cycle (thickness), whereas other physical characteristics, such as surface energy and roughness, tended to saturate at a certain value. Differences in conductivity dramatically affected the behavior of SF295 glioblastoma cells grown on ZnO films, with high conductivity (thick) ZnO films causing growth arrest and producing SF295 cell morphologies distinct from those cultured on insulating substrates. Based on simple electrostatic calculations, we propose that cells grown on highly conductive substrates may strongly adhere to the substrate without focal-adhesion complex formation, owing to the enhanced electrostatic interaction between cells and the substrate. Thus, the inactivation of focal adhesions leads to cell proliferation arrest. Taken together, the work presented here confirms that substrates with high conductivity disturb the cell-substrate interaction, producing cascading effects on cellular morphogenesis and disrupting proliferation, and suggests that ALD-grown ZnO offers a single-variable method for uniquely tailoring conductivity. PMID:25897486

  19. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  20. Mapping of Low-Frequency Raman Modes in CVD-Grown Transition Metal Dichalcogenides: Layer Number, Stacking Orientation and Resonant Effects

    PubMed Central

    O’Brien, Maria; McEvoy, Niall; Hanlon, Damien; Hallam, Toby; Coleman, Jonathan N.; Duesberg, Georg S.

    2016-01-01

    Layered inorganic materials, such as the transition metal dichalcogenides (TMDs), have attracted much attention due to their exceptional electronic and optical properties. Reliable synthesis and characterization of these materials must be developed if these properties are to be exploited. Herein, we present low-frequency Raman analysis of MoS2, MoSe2, WSe2 and WS2 grown by chemical vapour deposition (CVD). Raman spectra are acquired over large areas allowing changes in the position and intensity of the shear and layer-breathing modes to be visualized in maps. This allows detailed characterization of mono- and few-layered TMDs which is complementary to well-established (high-frequency) Raman and photoluminescence spectroscopy. This study presents a major stepping stone in fundamental understanding of layered materials as mapping the low-frequency modes allows the quality, symmetry, stacking configuration and layer number of 2D materials to be probed over large areas. In addition, we report on anomalous resonance effects in the low-frequency region of the WS2 Raman spectrum. PMID:26766208

  1. Analyzing the Boundary Thermal Resistance of Epitaxially Grown Fe2VAl/W Layers by Picosecond Time-Domain Thermoreflectance

    NASA Astrophysics Data System (ADS)

    Hiroi, Satoshi; Choi, Seongho; Nishino, Shunsuke; Seo, Okkyun; Chen, Yanna; Sakata, Osami; Takeuchi, Tsunehiro

    2018-06-01

    To gain deep insight into the mechanism of phonon scattering at grain boundaries, we investigated the boundary thermal resistance by using picosecond pulsed-laser time-domain thermoreflectance for epitaxially grown W/Fe2VAl/W films. By using radio-frequency magnetron sputtering, we prepared a series of the three-layer films whose Fe2VAl thickness ranged from 1 nm to 37 nm. The fine oscillation of reflectivity associated with the top W layer clearly appeared in synchrotron x-ray reflectivity measurements, indicating a less obvious mixture of elements at the boundary. The areal heat diffusion time, obtained from the time-domain thermoreflectance signal in the rear-heating front-detection configuration, reduced rapidly in samples whose Fe2VAl layer was thinner than 15 nm. The ˜ 10% mismatch in lattice constant between Fe2VAl and W naturally produced the randomly distributed lattice stress near the boundary, causing an effective increase of boundary thermal resistance in the thick samples, but the stress became homogeneous in the thinner layers, which reduced the scattering probability of phonons.

  2. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  3. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  4. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  5. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  6. Pure AlN layers in metal-polar AlGaN/AlN/GaN and AlN/GaN heterostructures grown by low-temperature ammonia-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen W.; Mazumder, Baishakhi; Fireman, Micha N.; Kyle, Erin C. H.; Mishra, Umesh K.; Speck, James S.

    2015-05-01

    When grown at a high temperature (820 °C) by ammonia-based molecular beam epitaxy (NH3-MBE), the AlN layers of metal-polar AlGaN/AlN/GaN heterostructures had a high GaN mole fraction (∼0.15), as identified by atom probe tomography in a previous study (Mazumder et al 2013 Appl. Phys. Lett. 102 111603). In the study presented here, growth at low temperature (<740 °C) by NH3-MBE yielded metal-polar AlN layers that were essentially pure at the alloy level. The improved purity of the AlN layers grown at low temperature was correlated to a dramatic increase in the sheet density of the two-dimensional electron gas (2DEG) at the AlN/GaN heterointerface. Through application of an In surfactant, metal-polar AlN(3.5 nm)/GaN and AlGaN/AlN(2.5 nm)/GaN heterostructures grown at low temperature yielded low 2DEG sheet resistances of 177 and 285 Ω/□, respectively.

  7. Separation of effects of InGaN/GaN superlattice on performance of light-emitting diodes using mid-temperature-grown GaN layer

    NASA Astrophysics Data System (ADS)

    Sugimoto, Kohei; Okada, Narihito; Kurai, Satoshi; Yamada, Yoichi; Tadatomo, Kazuyuki

    2018-06-01

    We evaluated the electrical properties of InGaN-based light-emitting diodes (LEDs) with a superlattice (SL) layer or a mid-temperature-grown GaN (MT-GaN) layer just beneath the multiple quantum wells (MQWs). Both the SL layer and the MT-GaN layer were effective in improving the electroluminescence (EL) intensity. However, the SL layer had a more pronounced effect on the EL intensity than did the MT-GaN layer. Based on a comparison with devices with an MT-GaN layer, the overall effects of the SL could be separated into the effect of the V-pits and the structural or compositional effect of the SL. It was observed that the V-pits formed account for 30% of the improvement in the LED performance while the remaining 70% can be attributed to the structural or compositional effect of the SL.

  8. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  9. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  10. InGaN/GaN blue light emitting diodes using Al-doped ZnO grown by atomic layer deposition as a current spreading layer

    NASA Astrophysics Data System (ADS)

    Kong, Bo Hyun; Cho, Hyung Koun; Kim, Mi Yang; Choi, Rak Jun; Kim, Bae Kyun

    2011-07-01

    For the fabrication of InGaN/GaN multiple quantum well-based blue light emitting diodes (LEDs) showing large area emission, transparent Al-doped ZnO (AZO) films grown by atomic layer deposition at relatively low temperatures were introduced as current spreading layers. These AZO films with an Al content of 3 at% showed a low electrical resistivity of <10 -3-10 -4 Ω cm, a high carrier concentration of >10 20 cm -3, and an excellent optical transmittance of ˜85%, in spite of the low growth temperature. The deposition of the AZO film induced an intense blue emission from the whole surface of the p-GaN and weak ultraviolet emission from the n-AZO and p-GaN junction. At an injection current of 50 mA, the output powers of the blue LEDs were 1760 and 1440 mcd for the samples with AZO thicknesses of 100 and 300 nm, respectively.

  11. Structural and electrical properties of Ge-on-Si(0 0 1) layers with ultra heavy n-type doping grown by MBE

    NASA Astrophysics Data System (ADS)

    Yurasov, D. V.; Antonov, A. V.; Drozdov, M. N.; Yunin, P. A.; Andreev, B. A.; Bushuykin, P. A.; Baydakova, N. A.; Novikov, A. V.

    2018-06-01

    In this paper we report about the formation of ultra heavy doped n-Ge layers on Si(0 0 1) substrates by molecular beam epitaxy and their characterization by different independent techniques. Combined study of structural and electrical properties of fabricated layers using secondary ion mass spectroscopy, X-ray diffraction, Hall effect and reflection measurements was carried out and it has revealed the achievable charge carrier densities exceeding 1020 cm-3 without deterioration of crystalline quality of such doped layers. It was also shown that X-ray analysis can be used as a fast, reliable and non-destructive method for evaluation of the electrically active Sb concentration in heavy doped Ge layers. The appropriate set of doping density allowed to adjust the plasmonic resonance position in Ge:Sb layers in a rather wide range reaching the wavelength of 3.6 μm for the highest doping concentration. Room temperature photoluminescence confirmed the high crystalline quality of such doped layers. Our results indicated the attainability of high electron concentration in Ge:Sb layers grown on Si substrates without crystalline quality deterioration which may find potential applications in the fields of Si-based photonics and mid-IR plasmonics.

  12. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition.

    PubMed

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D; Renevier, Hubert; Consonni, Vincent

    2017-03-03

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 10 7 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  13. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    NASA Astrophysics Data System (ADS)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  14. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscaleengineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol–gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on themore » macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscaleengineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.« less

  15. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  17. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  18. Tunneling Spectroscopy of MoN and NbxTi1-xN Thin Films Grown by Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Chaoyue; Groll, Nickolas; Klug, Jeffrey; Becker, Nicholas; Altin, Serdar; Proslier, Thomas; Zasadzinski, John

    2014-03-01

    Tunneling I(V) and dI/dV vs. V are reported on superconducting thin films of MoN and NbxTi1-xN using a point contact method with a Au tip. The films are grown by the chemical process of atomic layer deposition (ALD) onto various substrates (Si, quartz, sapphire) held at 450 C. Resistively measured superconducting Tc values up to 12K and 13K are found for the MoN and NbxTi1-xN respectively. Artificial tunnel barriers (1-3 nm thick) of Al2O3, also grown by ALD, are shown to provide much improved tunneling characteristics compared to the native oxides. Relatively high quality gap features are observed with zero-bias conductance values as low as ~ 10% of the high bias values. Gap parameters Δ ~ 2.0meV are found for the MoN and Δ ~ 2.0-2.4 meV for the NbxTi1-xN which follow the BCS temperature dependence and close near the measured film Tc indicating bulk superconductivity at the surface. The suitability of such conformal ALD grown films for potential superconducting devices is discussed. This work was supported by the U.S. Department of Energy, Office of Science under contract No. DE-AC02-06CH11357.

  19. Characteristics of GaN-based LEDs using Ga-doped or In-doped ZnO transparent conductive layers grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Yen, Kuo-Yi; Chiu, Chien-Hua; Hsiao, Chi-Ying; Li, Chun-Wei; Chou, Chien-Hua; Lo, Ko-Ying; Chen, Tzu-Pei; Lin, Chu-Hsien; Lin, Tai-Yuan; Gong, Jyh-Rong

    2014-02-01

    Ga-doped ZnO (GZO) and In-doped ZnO (IZO) films were prepared by atomic layer deposition (ALD), and the ALD-grown GZO (or IZO) films with (or without) N2 annealing were employed to serve as transparent conducting layers (TCLs) in InGaN/GaN (multiple quantum well) MQW LEDs. Based on θ-to-2θ X-ray diffraction (XRD) analyses, the N2-annealed GZO was found to show almost the same lattice constant c as ZnO does, while the lattice constant c of a N2-annealed IZO was detected to be larger than that of the ZnO. It appears that the implementation of N2-annealed ALD-grown GZO (or IZO) in an InGaN/GaN MQW LED allows to enable light extraction and forward voltage reduction of the LED under certain conditions. At 20 mA operating condition, the 400 °C N2-annealed n-GZO-coated and the 600 °C N2-annealed n-IZO-coated InGaN/GaN MQW LEDs were found to exhibit optimized forward voltages of 3.1 and 3.2 V, respectively, with the specific contact resistances of the n-GZO/p-GaN and n-IZO/p-GaN contacts being 4.1×10-3 and 8.8×10-3 Ω-cm2. By comparing with an InGaN/GaN MQW LED structure having a commercial-grade indium tin oxide (ITO) TCL, the 400 °C N2-annealed n-GZO-coated InGaN/GaN MQW LED shows an increment of light output power of 15% at 20 mA. It is believed that the enhanced light extraction of the n-GZO-coated InGaN/GaN MQW LED is due to a higher refractive index of n-GZO than that of ITO along with a comparable optical transmittance of n-GZO to that of ITO.

  20. Electrical properties of pseudo-single-crystalline Ge films grown by Au-induced layer exchange crystallization at 250 °C

    NASA Astrophysics Data System (ADS)

    Higashi, H.; Kudo, K.; Yamamoto, K.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2018-06-01

    We study the electrical properties of pseudo-single-crystalline Ge (PSC-Ge) films grown by a Au-induced layer exchange crystallization method at 250 °C. By inserting the SiNx layer between PSC-Ge and SiO2, we initiatively suppress the influence of the Ge/SiO2 interfacial defective layers, which have been reported in our previous works, on the electrical properties of the PSC-Ge layers. As a result, we can detect the influence of the ionized Au+ donors on the temperature-dependent hole concentration and Hall mobility. To further examine their electrical properties in detail, we also fabricate p-thin-film transistors (TFTs) with the PSC-Ge layer. Although the off-state leakage currents are suppressed by inserting the SiNx layer, the value of on/off ratio remains poor (<102). Even after the post-annealing at 400 °C for the TFTs, the on/off ratio is still poor (˜102) because of the gate-induced drain leakage current although a nominal field effect mobility is enhanced up to ˜25 cm2/V s. Considering these features, we conclude that the Au contaminations into the PSC-Ge layer can affect the electrical properties and device performances despite a low-growth temperature of 250 °C. To achieve further high-performance p-TFTs, we have to suppress the Au contaminations into PSC-Ge during the Au-induced crystallization growth.

  1. Investigation of the Photocurrent in Hot-Wall-Epitaxy-Grown BaIn2S4 Layers

    NASA Astrophysics Data System (ADS)

    You, S. H.; Hong, K. J.; Jeong, T. S.; Youn, C. J.

    2015-12-01

    The photocurrent (PC) of hot-wall-epitaxy-grown BaIn2S4 layers was studied at different temperatures and for different photoresponse intensities. With increasing temperature, the position of the PC spectra tended to shift toward longer wavelength. These PC peaks corresponded to band-to-band transitions caused by intrinsic transitions from the valence band states to the conduction band states. Also, the bandgap variations were well matched by the equation E g( T) = E g(0) - 3.79 × 10-3 T 2/( T + 499), where E g(0) was estimated to be 3.0597 eV, 3.2301 eV, and 3.2606 eV for transitions corresponding to the valence band states Γ 4(z), Γ 5(x), and Γ 5(y), respectively. By use of the selection rule and results from the PC spectroscopy, the crystal field and the spin-orbit splitting were found to be 0.1703 and 0.0306 eV, respectively. Thus, the PC intensity gradually decreased with decreasing temperature. The decrease of PC intensity was caused by the presence of trapping centers associated with native defects in the BaIn2S4 layers. The trap level was found to be a shallow donor-level type of 20.4 meV, 1.6 meV below the conduction band. Consequently, these trap levels, which are related to native defects in BaIn2S4 layers, are believed to limit PC intensity with decreasing temperature.

  2. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  3. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  4. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  5. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  6. Hybrid Organic/ZnO p-n Junctions with n-Type ZnO Grown by Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Łuka, G.; Krajewski, T.; Szczerbakow, A.; Łusakowska, E.; Kopalko, K.; Guziewicz, E.; Wachnicki, Ł.; Szczepanik, A.; Godlewski, M.; Fidelus, J. D.

    2008-11-01

    We report on fabrication of hybrid inorganic-on-organic thin film structures with polycrystalline zinc oxide films grown by atomic layer deposition technique. ZnO films were deposited on two kinds of thin organic films, i.e. pentacene and poly(dimethylosiloxane) elastomer with a carbon nanotube content (PDMS:CNT). Surface morphology as well as electrical measurements of the films and devices were analyzed. The current density versus voltage (I-V) characteristics of ITO/pentacene/ZnO/Au structure show a low-voltage switching phenomenon typical of organic memory elements. The I-V studies of ITO/PDMS:CNT/ZnO/Au structure indicate some charging effects in the system under applied voltages.

  7. IR-LTS a powerful non-invasive tool to observe crystal defects in as-grown silicon, after device processing, and in heteroepitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kissinger, G.; Richter, H.; Vanhellemont, J.

    1996-12-01

    One of the main advantages of infrared light scattering tomography (IR-LST) is the wide range of defect densities that can be studied using this technique. As-grown defects of low density and very small size as well as oxygen precipitation related defects that appear in densities up to some 1010 cm{sup -3} can be observed. As-grown wafers with a {open_quotes}stacking fault ring{close_quotes} were investigated in order to correlate the defects observed by IR-LST with the results of Secco etching and alcaline cleaning solution (SC1) treatment revealing flow pattern defects (FPDs) and crystal originated particles (COPs), respectively. These wafers were studied aftermore » a wet oxidation at 1100{degrees}C for 100 min. In processed CZ silicon wafers it was possible to identify stacking faults and prismatic punching systems directly from the IR-LST image. Brewster angle illumination is a special mode to reveal defects in epitaxial layers in a non-destructive way. Misfit dislocations in the interface between a Ge{sub 0.92}Si{sub 0.08} layer and a silicon substrate were studied using this mode that allows to observe very low dislocation densities.« less

  8. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    NASA Astrophysics Data System (ADS)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  9. Preferential orientation of NV defects in CVD diamond films grown on (113)-oriented substrates

    NASA Astrophysics Data System (ADS)

    Lesik, M.; Plays, T.; Tallaire, A.; Achard, J.; Brinza, O.; William, L.; Chipaux, M.; Toraille, L.; Debuisschert, T.; Gicquel, A.; Roch, J. F.; Jacques, V.

    2015-06-01

    Thick CVD diamond layers were successfully grown on (113)-oriented substrates. They exhibited smooth surface morphologies and a crystalline quality comparable to (100) electronic grade material, and much better than (111)-grown layers. High growth rates (15-50 {\\mu}m/h) were obtained while nitrogen doping could be achieved in a fairly wide range without seriously imparting crystalline quality. Electron spin resonance measurements were carried out to determine NV centers orientation and concluded that one specific orientation has an occurrence probability of 73 % when (100)-grown layers show an equal distribution in the 4 possible directions. A spin coherence time of around 270 {\\mu}s was measured which is equivalent to that reported for material with similar isotopic purity. Although a higher degree of preferential orientation was achieved with (111)-grown layers (almost 100 %), the ease of growth and post-processing of the (113) orientation make it a potentially useful material for magnetometry or other quantum mechanical applications.

  10. Engineering the Mechanical Properties of Ultrabarrier Films Grown by Atomic Layer Deposition for the Encapsulation of Printed Electronics

    DOE PAGES

    Bulusu, Anuradha; Singh, Ankit K.; Wang, Cheng-Yin; ...

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion (CTE) mismatch, elastic mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition.more » We present the impact of architecture on the performance of aluminum oxide (Al2O3)/hafnium oxide (HfO2) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50{degree sign}C/85% RH. Inserting a SiNx layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.« less

  11. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  12. Polarization characteristics of semipolar (112̄2) InGaN/GaN quantum well structures grown on relaxed InGaN buffer layers and comparison with experiment.

    PubMed

    Park, Seoung-Hwan; Mishra, Dhaneshwar; Eugene Pak, Y; Kang, K; Park, Chang Yong; Yoo, Seung-Hyun; Cho, Yong-Hee; Shim, Mun-Bo; Kim, Sungjin

    2014-06-16

    Partial strain relaxation effects on polarization ratio of semipolar (112̄2) InxGa1−xN/GaN quantum well (QW) structures grown on relaxed InGaN buffers were investigated using the multiband effective-mass theory. The absolute value of the polarization ratio gradually decreases with increasing In composition in InGaN buffer layer when the strain relaxation ratio (ε0y′y′−εy′y′)/ε0y′y′ along y′-axis is assumed to be linearly proportional to the difference of lattice constants between the well and the buffer layer. Also, it changes its sign for the QW structure grown on InGaN buffer layer with a relatively larger In composition (x > 0.07). These results are in good agreement with the experiment. This can be explained by the fact that, with increasing In composition in the InGaN subsrate, the spontaneous emission rate for the y′-polarization gradually increases while that for x′-polarization decreases due to the decrease in a matrix element at the band-edge (k‖ = 0).

  13. Defect properties of InGaAsN layers grown as sub-monolayer digital alloys by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Baranov, Artem I.; Gudovskikh, Alexander S.; Kudryashov, Dmitry A.; Lazarenko, Alexandra A.; Morozov, Ivan A.; Mozharov, Alexey M.; Nikitina, Ekaterina V.; Pirogov, Evgeny V.; Sobolev, Maxim S.; Zelentsov, Kirill S.; Egorov, Anton Yu.; Darga, Arouna; Le Gall, Sylvain; Kleider, Jean-Paul

    2018-04-01

    The defect properties of InGaAsN dilute nitrides grown as sub-monolayer digital alloys (SDAs) by molecular beam epitaxy for photovoltaic application were studied by space charge capacitance spectroscopy. Alloys of i-InGaAsN (Eg = 1.03 eV) were lattice-matched grown on GaAs wafers as a superlattice of InAs/GaAsN with one monolayer of InAs (<0.5 nm) between wide GaAsN (7-12 nm) layers as active layers in single-junction solar cells. Low p-type background doping was demonstrated at room temperature in samples with InGaAsN layers 900 nm and 1200 nm thick (less 1 × 1015 cm-3). According to admittance spectroscopy and deep-level transient spectroscopy measurements, the SDA approach leads to defect-free growth up to a thickness of 900 nm. An increase in thickness to 1200 nm leads to the formation of non-radiative recombination centers with an activation energy of 0.5 eV (NT = 8.4 × 1014 cm-3) and a shallow defect level at 0.20 eV. The last one leads to the appearance of additional doping, but its concentration is low (NT = 5 × 1014 cm-3) so it does not affect the photoelectric properties. However, further increase in thickness to 1600 nm, leads to significant growth of its concentration to (3-5) × 1015 cm-3, while the concentration of deep levels becomes 1.3 × 1015 cm-3. Therefore, additional free charge carriers appearing due to ionization of the shallow level change the band diagram from p-i-n to p-n junction at room temperature. It leads to a drop of the external quantum efficiency due to the effect of pulling electric field decrease in the p-n junction and an increased number of non-radiative recombination centers that negatively impact lifetimes in InGaAsN.

  14. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  15. Effect of CoSi2 buffer layer on structure and magnetic properties of Co films grown on Si (001) substrate

    NASA Astrophysics Data System (ADS)

    Hu, Bo; He, Wei; Ye, Jun; Tang, Jin; Syed Sheraz, Ahmad; Zhang, Xiang-Qun; Cheng, Zhao-Hua

    2015-01-01

    Buffer layer provides an opportunity to enhance the quality of ultrathin magnetic films. In this paper, Co films with different thickness of CoSi2 buffer layers were grown on Si (001) substrates. In order to investigate morphology, structure, and magnetic properties of films, scanning tunneling microscope (STM), low energy electron diffraction (LEED), high resolution transmission electron microscopy (HRTEM), and surface magneto-optical Kerr effect (SMOKE) were used. The results show that the crystal quality and magnetic anisotropies of the Co films are strongly affected by the thickness of CoSi2 buffer layers. Few CoSi2 monolayers can prevent the interdiffusion of Si substrate and Co film and enhance the Co film quality. Furthermore, the in-plane magnetic anisotropy of Co film with optimal buffer layer shows four-fold symmetry and exhibits the two-jumps of magnetization reversal process, which is the typical phenomenon in cubic (001) films. Project supported by the National Basic Research Program of China (Grant Nos. 2011CB921801 and 2012CB933102), the National Natural Science Foundation of China (Grant Nos. 11374350, 11034004, 11274361, and 11274033), and the Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20131102130005).

  16. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  17. Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples

    DTIC Science & Technology

    2002-01-01

    vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not

  18. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de; Döscher, Henning

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surfacemore » sensitivity of this method.« less

  19. Effects of growth temperature on the properties of atomic layer deposition grown ZrO2 films

    NASA Astrophysics Data System (ADS)

    Scarel, G.; Ferrari, S.; Spiga, S.; Wiemer, C.; Tallarida, G.; Fanciulli, M.

    2003-07-01

    Zirconium dioxide films are grown in 200 atomic layer deposition cycles. Zirconium tetrachloride (ZrCl4) and water (H2O) are used as precursors. A relatively high dielectric constant (κ=22), wide band gap, and conduction band offset (5.8 and 1.4 eV, respectively) indicate that zirconium dioxide is a most promising substitute for silicon dioxide as a dielectric gate in complementary metal-oxide-semiconductor devices. However, crystallization and chlorine ions in the films might affect their electrical properties. These ions are produced during atomic layer deposition in which the ZrCl4 precursor reacts with the growth surface. It is desirable to tune the composition, morphology, and structural properties in order to improve their benefit on the electrical ones. To address this issue it is necessary to properly choose the growth parameters. This work focuses on the effects of the growth temperature Tg. ZrO2 films are grown at different substrate temperatures: 160, 200, 250, and 350 °C. Relevant modification of the film structure with a change in substrate temperature during growth is expected because the density of reactive sites [mainly Si+1-(OH)-1 bonds] decreases with an increase in temperature [Y. B. Kim et al., Electrochem. Solid-State Lett. 3, 346 (2000)]. The amorphous film component, for example, that develops at Si+1-(OH)-1 sites on the starting growth surface, is expected to decrease with an increase in growth temperature. The size and consequences of film property modifications with the growth temperature are investigated in this work using x-ray diffraction and reflectivity, and atomic force microscopy. Time of flight-secondary ion mass spectrometry is used to study contaminant species in the films. From capacitance-voltage (CV) and current-voltage (IV) measurements, respectively, the dielectric constant κZrO2 and the leakage current are studied as a function of the film growth temperature.

  20. Growth and characterization of manganese doped gallium nitride nanowires.

    PubMed

    Kumar, V Suresh; Kesavamoorthy, R; Kumar, J

    2008-08-01

    Manganese doped GaN nanowires have been grown by chemical vapour transport method on sapphire (0001) substrates in the temperature range of 800-1050 degrees C. The surface features of nanowires have been investigated using Scanning Electron Microscopy (SEM), Energy Dispersive X-ray analysis (EDAX), Raman scattering studies and Electron Paramagnetic Resonance (EPR). SEM images showed that the morphology of the one dimensional materials included straight nanorods and nanowires around 70-80 nm. Raman spectrum showed the GaMnN vibrational modes at 380, 432 and 445 cm(-1). EPR measurements were performed on Mn doped GaN nanowires in order to evaluate the magnetic behaviour.

  1. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  2. Hydrogen Sorption Kinetics on Bare and Platinum-Modified Palladium Nanofilms, Grown by Electrochemical Atomic Layer Deposition (E-ALD)

    DOE PAGES

    Jagannathan, Kaushik; Benson, David M.; Robinson, David B.; ...

    2016-01-01

    Nanofilms of Pd were grown using an electrochemical form of atomic layer deposition (E-ALD) on 100 nm evaporated Au films on glass. Multiple cycles of surface-limited redox replacement (SLRR) were used to grow deposits. Each SLRR involved the underpotential deposition (UPD) of a Cu atomic layer, followed by open circuit replacement via redox exchange with tetrachloropalladate, forming a Pd atomic layer: one E-ALD deposition cycle. That cycle was repeated in order to grow deposits of a desired thickness. 5 cycles of Pd deposition were performed on the Au on glass substrates, resulting in the formation of 2.5 monolayers of Pd.more » Those Pd films were then modified with varying coverages of Pt, also formed using SLRR. The amount of Pt was controlled by changing the potential for Cu UPD, and by increasing the number of Pt deposition cycles. Hydrogen absorption was studied using coulometry and cyclic voltammetry in 0.1 M H 2SO 4 as a function of Pt coverage. The presence of even a small fraction of a Pt monolayer dramatically increased the rate of hydrogen desorption. However, this did not reduce the films’ hydrogen storage capacity. The increase in desorption rate in the presence of Pt was over an order of magnitude.« less

  3. Kinetic limitation of chemical ordering in Bi2Te3-x Se x layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schreyeck, S.; Brunner, K.; Kirchner, A.; Bass, U.; Grauer, S.; Schumacher, C.; Gould, C.; Karczewski, G.; Geurts, J.; Molenkamp, L. W.

    2016-04-01

    We study the chemical ordering in Bi2Te3-x Se x grown by molecular beam epitaxy on Si substrates. We produce films in the full composition range from x  =  0 to 3, and determine their material properties using energy dispersive x-ray spectroscopy, x-ray diffraction and Raman spectroscopy. By fitting the parameters of a kinetic growth model to these results, we obtain a consistent description of growth at a microscopic level. Our main finding is that despite the incorporation of Se in the central layer being much more probable than that of Te, the formation of a fully ordered Te-Bi-Se-Bi-Te layer is prevented by kinetic of the growth process. Indeed, the Se concentration in the central layer of Bi2Te2Se1 reaches a maximum of only  ≈75% even under ideal growth conditions. A second finding of our work is that the intensity ratio of the 0 0 12 and 0 0 6 x-ray reflections serves as an experimentally accessible quantitative measure of the degree of ordering in these films.

  4. UV light induced insulator-metal transition in ultra-thin ZnO/TiOx stacked layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Saha, D.; Misra, P.; Joshi, M. P.; Kukreja, L. M.

    2016-08-01

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1-7) of ZnO/TiOx layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O2 and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ˜ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality with long term reliability of ZnO based transparent

  5. Effect of Sn Composition in Ge1- x Sn x Layers Grown by Using Rapid Thermal Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kil, Yeon-Ho; Kang, Sukill; Jeong, Tae Soo; Shim, Kyu-Hwan; Kim, Dae-Jung; Choi, Yong-Dae; Kim, Mi Joung; Kim, Taek Sung

    2018-05-01

    The Ge1- x Sn x layers were grown by using rapid thermal chemical-vapor deposition (RTCVD) on boron-doped p-type Si (100) substrates with Sn compositions up to x = 0.83%. In order to obtain effect of the Sn composition on the structural and the optical characteristics, we utilized highresolution X-ray diffraction (HR-XRD), etch pit density (EPD), atomic force microscopy (AFM), Raman spectroscopy, and photocurrent (PC) spectra. The Sn compositions in the Ge1- x Sn x layers were found to be of x = 0.00%, 0.51%, 0.65%, and 0.83%. The root-mean-square (RMS) of the surface roughness of the Ge1- x Sn x layer increased from 2.02 nm to 3.40 nm as the Sn composition was increased from 0.51% to 0.83%, and EPD was on the order of 108 cm-2. The Raman spectra consist of only one strong peak near 300 cm-1, which is assigned to the Ge-Ge LO peaks and the Raman peaks shift to the wave number with increasing Sn composition. Photocurrent spectra show near energy band gap peaks and their peak energies decrease with increasing Sn composition due to band-gap bowing in the Ge1- x Sn x layer. An increase in the band gap bowing parameter was observed with increasing Sn composition.

  6. Nano-scale zirconia and hafnia dielectrics grown by atomic layer deposition: Crystallinity, interface structures and electrical properties

    NASA Astrophysics Data System (ADS)

    Kim, Hyoungsub

    With the continued scaling of transistors, leakage current densities across the SiO2 gate dielectric have increased enormously through direct tunneling. Presently, metal oxides having higher dielectric constants than SiO2 are being investigated to reduce the leakage current by increasing the physical thickness of the dielectric. Many possible techniques exist for depositing high-kappa gate dielectrics. Atomic layer deposition (ALD) has drawn attention as a method for preparing ultrathin metal oxide layers with excellent electrical characteristics and near-perfect film conformality due to the layer-by-layer nature of the deposition mechanism. For this research, an ALD system using ZrCl4/HfCl4 and H2O was built and optimized. The microstructural and electrical properties of ALD-ZrO2 and HfO2 grown on SiO2/Si substrates were investigated and compared using various characterization tools. In particular, the crystallization kinetics of amorphous ALD-HfO2 films were studied using in-situ annealing experiments in a TEM. The effect of crystallization on the electrical properties of ALD-HfO 2 was also investigated using various in-situ and ex-situ post-deposition anneals. Our results revealed that crystallization had little effect on the magnitude of the gate leakage current or on the conduction mechanisms. Building upon the results for each metal oxide separately, more advanced investigations were made. Several nanolaminate structures using ZrO2 and HfO2 with different sequences and layer thicknesses were characterized. The effects of the starting microstructure on the microstructural evolution of nanolaminate stacks were studied. Additionally, a promising new approach for engineering the thickness of the SiO2-based interface layer between the metal oxide and silicon substrate after deposition of the metal oxide layer was suggested. Through experimental measurements and thermodynamic analysis, it is shown that a Ti overlayer, which exhibits a high oxygen solubility, can

  7. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  8. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  9. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  10. Methods for making thin layers of crystalline materials

    DOEpatents

    Lagally, Max G; Paskiewicz, Deborah M; Tanto, Boy

    2013-07-23

    Methods for making growth templates for the epitaxial growth of compound semiconductors and other materials are provided. The growth templates are thin layers of single-crystalline materials that are themselves grown epitaxially on a substrate that includes a thin layer of sacrificial material. The thin layer of sacrificial material, which creates a coherent strain in the single-crystalline material as it is grown thereon, includes one or more suspended sections and one or more supported sections.

  11. Studying physical properties of CuInS2 absorber layers grown by spin coating method on different kinds of substrates

    NASA Astrophysics Data System (ADS)

    Amerioun, M. H.; Ghazi, M. E.; Izadifard, M.

    2018-03-01

    In this work, first the CuInS2 (CIS2) layers are deposited on Aluminum and polyethylene terephthalate (PET) as flexible substrates, and on glass and soda lime glass (SLG) as rigid substrates by the sol-gel method. Then the samples are analyzed by x-ray diffractomery (XRD) and atomic force microscope (AFM) to investigate the crystal structures and surface roughness of the samples. The I-V curve measurements and Seebeck effect setup are used to measure the electrical properties of the samples. The XRD data obtained for the CIS2 layers show that all the prepared samples have a single phase with a preferred orientation that is substrate-dependent. The samples grown on the rigid substrates had higher crystallite sizes. The results obtained for the optical measurements indicate the dependence of the band gap energy on the substrate type. The measured Seebeck coefficient showed that the carriers were of p-type in all the samples. According to the AFM images, the surface roughness also varied in the CIS2 layers with different substrates. In this regard, the type of substrate could be an important parameter for the final performance of the fabricated CIS2 cells.

  12. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  13. Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Mengdi, E-mail: M.Yang@utwente.nl; Aarnink, Antonius A. I.; Kovalgin, Alexey Y.

    2016-01-15

    In this work, the authors developed hot-wire assisted atomic layer deposition (HWALD) to deposit tungsten (W) with a tungsten filament heated up to 1700–2000 °C. Atomic hydrogen (at-H) was generated by dissociation of molecular hydrogen (H{sub 2}), which reacted with WF{sub 6} at the substrate to deposit W. The growth behavior was monitored in real time by an in situ spectroscopic ellipsometer. In this work, the authors compare samples with tungsten grown by either HWALD or chemical vapor deposition (CVD) in terms of growth kinetics and properties. For CVD, the samples were made in a mixture of WF{sub 6} and molecularmore » or atomic hydrogen. Resistivity of the WF{sub 6}-H{sub 2} CVD layers was 20 μΩ·cm, whereas for the WF{sub 6}-at-H-CVD layers, it was 28 μΩ·cm. Interestingly, the resistivity was as high as 100 μΩ·cm for the HWALD films, although the tungsten films were 99% pure according to x-ray photoelectron spectroscopy. X-ray diffraction reveals that the HWALD W was crystallized as β-W, whereas both CVD films were in the α-W phase.« less

  14. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  15. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  16. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  17. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  18. Ultrathin, transferred layers of thermally grown silicon dioxide as biofluid barriers for biointegrated flexible electronic systems.

    PubMed

    Fang, Hui; Zhao, Jianing; Yu, Ki Jun; Song, Enming; Farimani, Amir Barati; Chiang, Chia-Han; Jin, Xin; Xue, Yeguang; Xu, Dong; Du, Wenbo; Seo, Kyung Jin; Zhong, Yiding; Yang, Zijian; Won, Sang Min; Fang, Guanhua; Choi, Seo Woo; Chaudhuri, Santanu; Huang, Yonggang; Alam, Muhammad Ashraful; Viventi, Jonathan; Aluru, N R; Rogers, John A

    2016-10-18

    Materials that can serve as long-lived barriers to biofluids are essential to the development of any type of chronic electronic implant. Devices such as cardiac pacemakers and cochlear implants use bulk metal or ceramic packages as hermetic enclosures for the electronics. Emerging classes of flexible, biointegrated electronic systems demand similar levels of isolation from biofluids but with thin, compliant films that can simultaneously serve as biointerfaces for sensing and/or actuation while in contact with the soft, curved, and moving surfaces of target organs. This paper introduces a solution to this materials challenge that combines (i) ultrathin, pristine layers of silicon dioxide (SiO 2 ) thermally grown on device-grade silicon wafers, and (ii) processing schemes that allow integration of these materials onto flexible electronic platforms. Accelerated lifetime tests suggest robust barrier characteristics on timescales that approach 70 y, in layers that are sufficiently thin (less than 1 μm) to avoid significant compromises in mechanical flexibility or in electrical interface fidelity. Detailed studies of temperature- and thickness-dependent electrical and physical properties reveal the key characteristics. Molecular simulations highlight essential aspects of the chemistry that governs interactions between the SiO 2 and surrounding water. Examples of use with passive and active components in high-performance flexible electronic devices suggest broad utility in advanced chronic implants.

  19. Ultrathin, transferred layers of thermally grown silicon dioxide as biofluid barriers for biointegrated flexible electronic systems

    PubMed Central

    Fang, Hui; Yu, Ki Jun; Song, Enming; Farimani, Amir Barati; Chiang, Chia-Han; Jin, Xin; Xu, Dong; Du, Wenbo; Seo, Kyung Jin; Zhong, Yiding; Yang, Zijian; Won, Sang Min; Fang, Guanhua; Choi, Seo Woo; Chaudhuri, Santanu; Huang, Yonggang; Alam, Muhammad Ashraful; Viventi, Jonathan; Aluru, N. R.; Rogers, John A.

    2016-01-01

    Materials that can serve as long-lived barriers to biofluids are essential to the development of any type of chronic electronic implant. Devices such as cardiac pacemakers and cochlear implants use bulk metal or ceramic packages as hermetic enclosures for the electronics. Emerging classes of flexible, biointegrated electronic systems demand similar levels of isolation from biofluids but with thin, compliant films that can simultaneously serve as biointerfaces for sensing and/or actuation while in contact with the soft, curved, and moving surfaces of target organs. This paper introduces a solution to this materials challenge that combines (i) ultrathin, pristine layers of silicon dioxide (SiO2) thermally grown on device-grade silicon wafers, and (ii) processing schemes that allow integration of these materials onto flexible electronic platforms. Accelerated lifetime tests suggest robust barrier characteristics on timescales that approach 70 y, in layers that are sufficiently thin (less than 1 μm) to avoid significant compromises in mechanical flexibility or in electrical interface fidelity. Detailed studies of temperature- and thickness-dependent electrical and physical properties reveal the key characteristics. Molecular simulations highlight essential aspects of the chemistry that governs interactions between the SiO2 and surrounding water. Examples of use with passive and active components in high-performance flexible electronic devices suggest broad utility in advanced chronic implants. PMID:27791052

  20. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  1. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  2. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  3. Growth rate independence of Mg doping in GaN grown by plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Turski, Henryk; Muzioł, Grzegorz; Siekacz, Marcin; Wolny, Pawel; Szkudlarek, Krzesimir; Feduniewicz-Żmuda, Anna; Dybko, Krzysztof; Skierbiszewski, Czeslaw

    2018-01-01

    Doping of Ga(Al)N layers by plasma-assisted molecular beam epitaxy in Ga-rich conditions on c-plane bulk GaN substrates was studied. Ga(Al)N samples, doped with Mg or Si, grown using different growth conditions were compared. In contrast to Si doped layers, no change in the Mg concentration was observed for layers grown using different growth rates for a constant Mg flux and constant growth temperature. This effect enables the growth of Ga(Al)N:Mg layers at higher growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Change of Al content from 0% to 17% resulted in more than two times higher Mg concentration.

  4. Proton trapping in SiO 2 layers thermally grown on Si and SiC

    NASA Astrophysics Data System (ADS)

    Afanas'ev, V. V.; Ciobanu, F.; Pensl, G.; Stesmans, A.

    2002-11-01

    Positive charging of thermal SiO 2 layers on (1 0 0)Si and (0 0 0 1)6H-, 4H-SiC related to trapping of protons is studied using low-energy proton implantation into the oxide, and compared to the trapping of holes generated by 10-eV photons. Proton trapping has an initial probability close to 100% and shows little sensitivity to the annealing-induced oxygen deficiency of SiO 2. In contrast to protons, hole trapping in as-grown SiO 2 shows a much lower efficiency which increases upon oxide annealing, in qualitative correlation with the higher density of O 3Si• defects (E' centers) detected by electron spin resonance after hole injection. Despite these differences, the neutralization of positive charges induced by holes and protons has the same cross-section, and in both cases is accompanied by liberation of atomic H suggesting that protons account for positive charge in both cases. The rupture of Si-O bonds in the oxide observed upon proton injection suggests, as a first basic step, the bonding of a proton to a bridging oxygen atom in SiO 2 network.

  5. Low temperature grown GaNAsSb: A promising material for photoconductive switch application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tan, K. H.; Yoon, S. F.; Wicaksono, S.

    2013-09-09

    We report a photoconductive switch using low temperature grown GaNAsSb as the active material. The GaNAsSb layer was grown at 200 °C by molecular beam epitaxy in conjunction with a radio frequency plasma-assisted nitrogen source and a valved antimony cracker source. The low temperature growth of the GaNAsSb layer increased the dark resistivity of the switch and shortened the carrier lifetime. The switch exhibited a dark resistivity of 10{sup 7} Ω cm, a photo-absorption of up to 2.1 μm, and a carrier lifetime of ∼1.3 ps. These results strongly support the suitability of low temperature grown GaNAsSb in the photoconductivemore » switch application.« less

  6. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  7. Productivity correlated to photobiochemical performance of Chlorella mass cultures grown outdoors in thin-layer cascades.

    PubMed

    Masojídek, Jiří; Kopecký, Jiří; Giannelli, Luca; Torzillo, Giuseppe

    2011-02-01

    This work aims to: (1) correlate photochemical activity and productivity, (2) characterize the flow pattern of culture layers and (3) determine a range of biomass densities for high productivity of the freshwater microalga Chlorella spp., grown outdoors in thin-layer cascade units. Biomass density, irradiance inside culture, pigment content and productivity were measured in the microalgae cultures. Chlorophyll-fluorescence quenching was monitored in situ (using saturation-pulse method) to estimate photochemical activities. Photobiochemical activities and growth parameters were studied in cultures of biomass density between 1 and 47 g L(-1). Fluorescence measurements showed that diluted cultures (1-2 g DW L(-1)) experienced significant photostress due to inhibition of electron transport in the PSII complex. The highest photochemical activities were achieved in cultures of 6.5-12.5 g DW L(-1), which gave a maximum daylight productivity of up to 55 g dry biomass m(-2) day(-1). A midday depression of maximum PSII photochemical yield (F (v)/F (m)) of 20-30% compared with morning values in these cultures proved to be compatible with well-performing cultures. Lower or higher depression of F (v)/F (m) indicated low-light acclimated or photo-inhibited cultures, respectively. A hydrodynamic model of the culture demonstrated highly turbulent flow allowing rapid light/dark cycles (with frequency of 0.5 s(-1)) which possibly match the turnover of the photosynthetic apparatus. These results are important from a biotechnological point of view for optimisation of growth of outdoor microalgae mass cultures under various climatic conditions.

  8. Origins of Moiré Patterns in CVD-grown MoS2 Bilayer Structures at the Atomic Scales.

    PubMed

    Wang, Jin; Namburu, Raju; Dubey, Madan; Dongare, Avinash M

    2018-06-21

    The chemical vapor deposition (CVD)-grown two-dimensional molybdenum disulfide (MoS 2 ) structures comprise of flakes of few layers with different dimensions. The top layers are relatively smaller in size than the bottom layers, resulting in the formation of edges/steps across adjacent layers. The strain response of such few-layer terraced structures is therefore likely to be different from exfoliated few-layered structures with similar dimensions without any terraces. In this study, the strain response of CVD-grown few-layered MoS 2 terraced structures is investigated at the atomic scales using classic molecular dynamics (MD) simulations. MD simulations suggest that the strain relaxation of CVD-grown triangular terraced structures is observed in the vertical displacement of the atoms across the layers that results in the formation of Moiré patterns. The Moiré islands are observed to nucleate at the corners or edges of the few-layered structure and propagate inwards under both tensile and compressive strains. The nucleation of these islands is observed to happen at tensile strains of ~ 2% and at compressive strains of ~2.5%. The vertical displacements of the atoms and the dimensions of the Moiré islands predicted using the MD simulation are in excellent agreement with that observed experimentally.

  9. Resistance Switching Memory Characteristics of Si/CaF2/CdF2 Quantum-Well Structures Grown on Metal (CoSi2) Layer

    NASA Astrophysics Data System (ADS)

    Denda, Junya; Uryu, Kazuya; Watanabe, Masahiro

    2013-04-01

    A novel scheme of resistance switching random access memory (ReRAM) devices fabricated using Si/CaF2/CdF2/CaF2/Si quantum-well structures grown on metal CoSi2 layer formed on a Si substrate has been proposed, and embryonic write/erase memory operation has been demonstrated at room temperature. It has been found that the oxide-mediated epitaxy (OME) technique for forming the CoSi2 layer on Si dramatically improves the stability and reproducibility of the current-voltage (I-V) curve. This technology involves 10-nm-thick Co layer deposition on a protective oxide prepared by boiling in a peroxide-based solution followed by annealing at 550 °C for 30 min for silicidation in ultrahigh vacuum. A switching voltage of lower than 1 V, a peak current density of 32 kA/cm2, and an ON/OFF ratio of 10 have been observed for the sample with the thickness sequence of 0.9/0.9/2.5/0.9/5.0 nm for the respective layers in the Si/CaF2/CdF2/CaF2/Si structure. Results of surface morphology analysis suggest that the grain size of crystal islands with flat surfaces strongly affects the quality of device characteristics.

  10. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  11. 4H-SiC p i n diodes grown by sublimation epitaxy in vacuum (SEV) and their application as microwave diodes

    NASA Astrophysics Data System (ADS)

    Camara, N.; Zekentes, K.; Zelenin, V. V.; Abramov, P. L.; Kirillov, A. V.; Romanov, L. P.; Boltovets, N. S.; Krivutsa, V. A.; Thuaire, A.; Bano, E.; Tsoi, E.; Lebedev, A. A.

    2008-02-01

    Sublimation epitaxy under vacuum (SEV) was investigated as a method for growing 4H-SiC epitaxial structures for p-i-n diode fabrication. The SEV-grown 4H-SiC material was investigated with scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction, photo-luminescence spectroscopy (PL), cathodo-luminescence (CL) spectroscopy, photocurrent method for carrier diffusion length determination, electro-luminescence microscopy (EL), deep level transient spectroscopy (DLTS), C-V profiling and Hall-effect measurements. When possible, the same investigation techniques were used in parallel with similar layers grown by chemical vapour deposition (CVD) epitaxy and the physical properties of the two kind of epitaxied layers were compared. p-i-n diodes were fabricated in parallel on SEV and CVD-grown layers and showed close electrical performances in dc mode in term of capacitance, resistance and transient time switching, despite the lower mobility and the diffusion length of the SEV-grown layers. X-band microwave switches based on the SEV-grown p-i-n diodes have been demonstrated with insertion loss lower than 4 dB and an isolation higher than 17 dB. These single-pole single-throw (SPST) switches were able to handle a pulsed power up to 1800 W in isolation mode, similar to the value obtained with switches incorporating diodes with CVD-grown layers.

  12. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  13. Design of a three-layer antireflection coating for high efficiency indium phosphide solar cells using a chemical oxide as first layer

    NASA Technical Reports Server (NTRS)

    Moulot, Jacques; Faur, M.; Faur, M.; Goradia, C.; Goradia, M.; Bailey, S.

    1995-01-01

    It is well known that the behavior of III-V compound based solar cells is largely controlled by their surface, since the majority of light generated carriers (63% for GaAs and 79% for InP) are created within 0.2 mu m of the surface of the illuminated cell. Consequently, the always observed high surface recombination velocity (SRV) on these cells is a serious limiting factor for their high efficiency performance, especially for those with p-n junction made by either thermal diffusion or ion implantation. A good surface passivation layer, ideally a grown oxide as opposed to a deposited one, will cause a significant reduction in the SRV without adding interface problems, thus improving the performance of III-V compound based solar cells. Another significant benefit to the overall performance of the solar cells can be achieved by a substantial reduction of their large surface optical reflection by the use of a well designed antireflection (AR) coating. In this paper, we demonstrate the effectiveness of using a chemically grown thermally and chemically stable oxide, not only for surface passivation but also as an integral part of a 3-layer AR coating for thermally diffused p+n InP solar cells. A phosphorus-rich interfacial oxide, In(PO3)3, is grown at the surface of the p+ emitter using an etchant based on HNO3, o-H3PO4 and H2O2. This oxide has the unique properties of passivating the surface as well as serving as an efficient antireflective layer yielding a measured record high AMO open-circuit voltage of 890.3 mV on a thermally diffused InP(Cd,S) solar cell. Unlike conventional single layer AR coatings such as ZnS, Sb2O3, SiO or double layer AR coatings such as ZnS/MgF2 deposited by e-beam or resistive evaporation, this oxide preserves the stoichiometry of the InP surface. We show that it is possible to design a three-layer AR coating for a thermally diffused InP solar cell using the In(PO3)3 grown oxide as the first layer and Al2O3 and MgF2 as the second and third

  14. Infrared Photodiodes Made by Low Energy Ion Etching of Molecular Beam Epitaxy Grown Mercury-Cadmium Alloy

    NASA Astrophysics Data System (ADS)

    Yoo, Sung-Shik

    Ion etching was used to form junctions on the p-type (111)B Hg_{1-x}Cd_ {x}Te grown by Molecular Beam Epitaxy(MBE). When Hg_{1-x}Cd_{x}Te layers are etched by Ar ions at energies ranging between 300 and 450eV, the top Hg_{1 -x}Cd_{x}Te layer is converted to n-type. The converted region is electrically characterized as a defective n^+-region near the surface, and a low doped n^--region exist below the damaged region. The total thickness of the converted n-type layer was found to be considerable. These results suggest that the creation of the n-type layer is due to the filling of mercury vacancies by mercury atoms displaced by the Ar ion irradiation on the surface. For the performance of the resulting photodiodes on MBE grown (111)B Hg_{1-x}Cd _{x}Te using this technique, the dynamic resistances at 80K are one order of magnitude less than those of junctions made on Liquid Phase Epitaxially and Bulk grown Hg_{1 -x}Cd_{x}Te. The ion etching technique was compared with ion implantation technique by fabricating diodes on the same MBE grown (111)B Hg _{1-x}Cd_{x}Te layers. The result of the comparison illustrates that ion etching technique is as good as ion implantation technique for the fabrication of Hg_{1-x}Cd _{x}Te photodiodes. Also it is believed that the performance of the diodes is limited by a relatively large density of twin defects usually found in MBE grown (111)B Hg_{1-x}Cd _{x}Te.

  15. Method to grow group III-nitrides on copper using passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  16. The optical properties of transferred graphene and the dielectrics grown on it obtained by ellipsometry

    NASA Astrophysics Data System (ADS)

    Kasikov, Aarne; Kahro, Tauno; Matisen, Leonard; Kodu, Margus; Tarre, Aivar; Seemen, Helina; Alles, Harry

    2018-04-01

    Graphene layers grown by chemical vapour deposition (CVD) method and transferred from Cu-foils to the oxidized Si-substrates were investigated by spectroscopic ellipsometry (SE), Raman and X-Ray Photoelectron Spectroscopy (XPS) methods. The optical properties of transferred CVD graphene layers do not always correspond to the ones of the exfoliated graphene due to the contamination from the chemicals used in the transfer process. However, the real thickness and the mean properties of the transferred CVD graphene layers can be found using ellipsometry if a real thickness of the SiO2 layer is taken into account. The pulsed laser deposition (PLD) and atomic layer deposition (ALD) methods were used to grow dielectric layers on the transferred graphene and the obtained structures were characterized using optical methods. The approach demonstrated in this work could be useful for the characterization of various materials grown on graphene.

  17. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    NASA Astrophysics Data System (ADS)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  18. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  19. Structural and dielectric properties of thin ZrO2 films on silicon grown by atomic layer deposition from cyclopentadienyl precursor

    NASA Astrophysics Data System (ADS)

    Niinistö, J.; Putkonen, M.; Niinistö, L.; Kukli, K.; Ritala, M.; Leskelä, M.

    2004-01-01

    ZrO2 thin films with thicknesses below 20 nm were deposited by the atomic layer deposition process on Si(100) substrates at 350 °C. An organometallic precursor, Cp2Zr(CH3)2 (Cp=cyclopentadienyl, C5H5) was used as the zirconium source and water or ozone as oxygen source. The influence of oxygen source and substrate pretreatment on the dielectric properties of ZrO2 films was investigated. Structural characterization with high-resolution transmission electron microscopy was performed to films grown onto HF-etched or native oxide covered silicon. Strong inhibition of ZrO2 film growth was observed with the water process on HF-etched Si. Ozone process on HF-etched Si resulted in interfacial SiO2 formation between the dense and uniform film and the substrate while water process produced interfacial layer with intermixing of SiO2 and ZrO2. The effective permittivity of ZrO2 in Al/ZrO2/Si/Al capacitor structures was dependent on the ZrO2 layer thickness and oxygen source used. The interfacial layer formation increased the capacitance equivalent oxide thickness (CET). CET of 2.0 nm was achieved with 5.9 nm ZrO2 film deposited with the H2O process on HF-stripped Si. The ozone-processed films showed good dielectric properties such as low hysteresis and nearly ideal flatband voltage. The leakage current density was lower and breakdown field higher for the ozone-processed ZrO2 films.

  20. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  1. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  2. Carbon Doping of Compound Semiconductor Epitaxial Layers Grown by Metalorganic Chemical Vapor Deposition Using Carbon Tetrachloride.

    NASA Astrophysics Data System (ADS)

    Cunningham, Brian Thomas

    1990-01-01

    A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.

  3. Photovoltaic device comprising compositionally graded intrinsic photoactive layer

    DOEpatents

    Hoffbauer, Mark A; Williamson, Todd L

    2013-04-30

    Photovoltaic devices and methods of making photovoltaic devices comprising at least one compositionally graded photoactive layer, said method comprising providing a substrate; growing onto the substrate a uniform intrinsic photoactive layer having one surface disposed upon the substrate and an opposing second surface, said intrinsic photoactive layer consisting essentially of In.sub.1-xA.sub.xN,; wherein: i. 0.ltoreq.x.ltoreq.1; ii. A is gallium, aluminum, or combinations thereof; and iii. x is at least 0 on one surface of the intrinsic photoactive layer and is compositionally graded throughout the layer to reach a value of 1 or less on the opposing second surface of the layer; wherein said intrinsic photoactive layer is isothermally grown by means of energetic neutral atom beam lithography and epitaxy at a temperature of 600.degree. C. or less using neutral nitrogen atoms having a kinetic energy of from about 1.0 eV to about 5.0 eV, and wherein the intrinsic photoactive layer is grown at a rate of from about 5 nm/min to about 100 nm/min.

  4. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  5. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  6. Design of a Three-Layer Antireflection Coating for High Efficiency Indium Phosphide Solar Cells Using a Chemical Oxide as First Layer

    NASA Technical Reports Server (NTRS)

    Moulot, Jacques; Faur, Mircea; Faur, Maria; Goradia, Chandra; Goradia, Manju; Bailey, Sheila

    1995-01-01

    It is well known that the behavior of III-V compound based solar cells is largely controlled by their surface, since the majority of light generated carriers (63% for GaAs and 79% for InP) are created within 0.2 microns of the illuminated surface of the cell. Consequently, the always observed high surface recombination velocity (SRV) on these cells is a serious limiting factor for their high efficiency performance, especially for those with the p-n junction made by either thermal diffusion or ion implantation. A good surface passivation layer, ideally, a grown oxide as opposed to a deposited one, will cause a significant reduction in the SRV without adding interface problems, thus improving the performance of III-V compound based solar cells. Another significant benefit to the overall performance of the solar cells can be achieved by a substantial reduction of their large surface optical reflection by the use of a well designed antireflection (AR) coating. In this paper, we demonstrate the effectiveness of using a chemically grown, thermally and chemically stable oxide, not only for surface passivation but also as an integral part of a 3- layer AR coating for thermally diffused p(+)n InP solar cells. A phosphorus-rich interfacial oxide, In(PO3)3, is grown at the surface of the p(+) emitter using an etchant based on HNO3, o-H3PO4 and H2O2. This oxide has the unique properties of passivating the surface as well as serving as a fairly efficient antireflective layer yielding a measured record high AM0, 25 C, open-circuit voltage of 890.3 mV on a thermally diffused InP(Cd,S) solar cell. Unlike conventional single layer AR coatings such as ZnS, Sb2O3, SiO or double layer AR coatings such as ZnS/MgF2 deposited by e-beam or resistive evaporation, this oxide preserves the stoichiometry of the InP surface. We show that it is possible to design a three-layer AR coating for a thermally diffused InP solar cell using the In(PO3)3 grown oxide as the first layer and Al2O3, MgF2 or

  7. Tuning of in-plane optical anisotropy by inserting ultra-thin InAs layer at interfaces in (001)-grown GaAs/AlGaAs quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, J. L., E-mail: jlyu@semi.ac.cn; Key Laboratory of Semiconductor Materials Science, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083; Key Laboratory of Optoelectronic Materials Chemistry and Physics, Chinese Academy of Sciences, Fuzhou 350002

    2015-01-07

    The in-plane optical anisotropy (IPOA) in (001)-grown GaAs/AlGaAs quantum wells (QWs) with different well widths varying from 2 nm to 8 nm has been studied by reflectance difference spectroscopy. Ultra-thin InAs layers with thickness ranging from 0.5 monolayer (ML) to 1.5 ML have been inserted at GaAs/AlGaAs interfaces to tune the asymmetry in the QWs. It is demonstrated that the IPOA can be accurately tailored by the thickness of the inserted ultra-thin InAs layer at the interfaces. Strain-induced IPOA has also been extracted by using a stress apparatus. We find that the intensity of the strain-induced IPOA decreases with the thickness ofmore » the inserted InAs layer, while that of the interface-induced IPOA increases with the thickness of the InAs layer. Theoretical calculations based on 6 band k ⋅ p theory have been carried out, and good agreements with experimental results are obtained. Our results demonstrate that, the IPOA of the QWs can be greatly and effectively tuned by inserting an ultra-thin InAs layer with different thicknesses at the interfaces of QWs, which does not significantly influence the transition energies and the transition probability of QWs.« less

  8. Chlorine mobility during annealing in N2 in ZrO2 and HfO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Ferrari, S.; Scarel, G.; Wiemer, C.; Fanciulli, M.

    2002-12-01

    Atomic layer deposition (ALD) growth of high-κ dielectric films (ZrO2 and HfO2) was performed using ZrCl4, HfCl4, and H2O as precursors. In this work, we use time of flight secondary ion mass spectrometry to investigate the chlorine distribution in ALD grown ZrO2 and HfO2 films, and its evolution during rapid thermal processes in nitrogen atmosphere. Chlorine outdiffusion is found to depend strongly upon annealing temperature and weakly upon the annealing time. While in ZrO2 chlorine concentration is significantly decreased already at 900 °C, in HfO2 it is extremely stable, even at temperatures as high as 1050 °C.

  9. Growth and characterization of PbSe and Pb{sub 1{minus}x}Sn{sub x}Se layers on Si (100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sachar, H.K.; Chao, I.; Fang, X.M.

    1998-12-31

    Crack-free layers of PbSe were grown on Si (100) by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. The PbSe layer was grown by LPE on Si(100) using a MBE-grown PbSe/BaF{sub 2}/CaF{sub 2} buffer layer structure. Pb{sub 1{minus}x}Sn{sub x}Se layers with tin contents in the liquid growth solution equal to 3%, 5%, 6%, 7%, and 10%, respectively, were also grown by LPE on Si(100) substrates using similar buffer layer structures. The LPE-grown PbSe and Pb{sub 1{minus}x}Sn{sub x}Se layers were characterized by optical Nomarski microscopy, X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and scanning electronmore » microscopy (SEM). Optical Nomarski characterization of the layers revealed their excellent surface morphologies and good growth solution wipe-offs. FTIR transmission experiments showed that the absorption edge of the Pb{sub 1{minus}x}Sn{sub x}Se layers shifted to lower energies with increasing tin contents. The PbSe epilayers were also lifted-off from the Si substrate by dissolving the MBE-grown BaF{sub 2} buffer layer. SEM micrographs of the cleaved edges revealed that the lifted-off layers formed structures suitable for laser fabrication.« less

  10. Deep level defects in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Farzana, Esmat; Ahmadi, Elaheh; Speck, James S.; Arehart, Aaron R.; Ringel, Steven A.

    2018-04-01

    Deep level defects were characterized in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy (PAMBE) using deep level optical spectroscopy (DLOS) and deep level transient (thermal) spectroscopy (DLTS) applied to Ni/β-Ga2O3:Ge (010) Schottky diodes that displayed Schottky barrier heights of 1.50 eV. DLOS revealed states at EC - 2.00 eV, EC - 3.25 eV, and EC - 4.37 eV with concentrations on the order of 1016 cm-3, and a lower concentration level at EC - 1.27 eV. In contrast to these states within the middle and lower parts of the bandgap probed by DLOS, DLTS measurements revealed much lower concentrations of states within the upper bandgap region at EC - 0.1 - 0.2 eV and EC - 0.98 eV. There was no evidence of the commonly observed trap state at ˜EC - 0.82 eV that has been reported to dominate the DLTS spectrum in substrate materials synthesized by melt-based growth methods such as edge defined film fed growth (EFG) and Czochralski methods [Zhang et al., Appl. Phys. Lett. 108, 052105 (2016) and Irmscher et al., J. Appl. Phys. 110, 063720 (2011)]. This strong sensitivity of defect incorporation on crystal growth method and conditions is unsurprising, which for PAMBE-grown β-Ga2O3:Ge manifests as a relatively "clean" upper part of the bandgap. However, the states at ˜EC - 0.98 eV, EC - 2.00 eV, and EC - 4.37 eV are reminiscent of similar findings from these earlier results on EFG-grown materials, suggesting that possible common sources might also be present irrespective of growth method.

  11. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  12. Chemical solution seed layer for rabits tapes

    DOEpatents

    Goyal, Amit; Paranthaman, Mariappan; Wee, Sung-Hun

    2014-06-10

    A method for making a superconducting article includes the steps of providing a biaxially textured substrate. A seed layer is then deposited. The seed layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different rare earth or transition metal cations. A superconductor layer is grown epitaxially such that the superconductor layer is supported by the seed layer.

  13. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  14. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    NASA Astrophysics Data System (ADS)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  15. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  16. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    NASA Astrophysics Data System (ADS)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  17. Method of fabricating low-dislocation-density epitaxially-grown films with textured surfaces

    DOEpatents

    Li, Qiming; Wang, George T

    2015-01-13

    A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.

  18. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  19. Gallenene epitaxially grown on Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Wang, Ya-Li; Xie, Zheng-Bo; Liu, Lei; Shi, Ming-Xia; Wang, Jun-Zhong

    2018-07-01

    Gallenene, an analogue of graphene composed of gallium, is epitaxially grown on Si(1 1 1) surface and studied by low temperature scanning tunneling microscopy (LT-STM). The STM images display that the buffer layer has a superstructure with respect to the substrate lattice and the gallenene layer has a hexagonal honeycomb structure. The scanning tunneling spectra (STS) of the gallenene show that it behaves as a metallic layer. First-principles calculations give the proposed configuration. Our results provide a method to synthesize the gallenene and shed important light on the growth mechanism of it.

  20. Growth characteristics of (100)HgCdTe layers in low-temperature MOVPE with ditertiarybutyltelluride

    NASA Astrophysics Data System (ADS)

    Yasuda, K.; Hatano, H.; Ferid, T.; Minamide, M.; Maejima, T.; Kawamoto, K.

    1996-09-01

    Low-temperature growth of (100)HgCdTe (MCT) layers in MOVPE has been studied using ditertiarybutyltelluride (DtBTe), dimethylcadmium (DMCd), and elementary mercury as precursors. MCT layers were grown at 275°C on (100)GaAs substrates. Growths were carried out in a vertical growth cell which has a narrow spacing between the substrate and cell ceiling. Using the growth cell, the Cd-composition ( x) of MCT layers was controlled over a wide range from 0 to 0.98 by the DMCd flow. The growth rate of the MCT layers was constant at 5 μm h -1 for the increased DMCd flow. Preferential Cd-incorporation into MCT layers and an increase of the growth rate were observed in the presence of mercury vapor. The growth characteristics were considered to be due to the alkyl-exchange reaction between DMCd and mercury. The electrical properties and crystallinity of grown layers were also evaluated, which showed that layers with high quality can be grown at 275°C.

  1. Strong metal support interaction of Pt on TiO2 grown by atomic layer deposition and physical vapor deposition for fuel cell applications

    NASA Astrophysics Data System (ADS)

    Hansen, Robin Paul

    Several roadblocks prevent the large-scale commercialization of hydrogen fuel cells, including the stability of the Pt catalysts and their substrates, as well as the high cost of Pt. This is particularly true for the cathode, which requires a higher Pt loading because of the slow kinetics of the oxygen reduction reaction (ORR). The problem with the stability of the substrate can be solved by replacing the traditional carbon support with a conductive metal oxide such as reduced TiO2, which will not easily corrode and should result in longer lasting fuel cells. In this study, Pt was deposited either by atomic layer deposition (ALD) or physical vapor deposition (PVD). The typical size of the Pt islands that were grown using these deposition techniques was 3-8 nm. One factor that can inhibit the catalytic activity of a metal catalyst on a metal oxide is the strong metal support interaction (SMSI). This is where a metal on a reducible metal oxide can be encapsulated by a layer of the metal oxide support material at elevated temperatures. The processing of materials through atomic layer deposition can exceed this temperature. The TiO2 substrates used in this study were either grown by ALD, which results in a polycrystalline anatase film, or were single-crystal rutile TiO2(110) samples prepared in ultra-high vacuum (UHV). The Pt/TiO2 samples were tested electrochemically using cyclic voltammetry (CV) to determine the level of catalytic activity. To determine the effect of the SMSI interaction on the catalytic activity of the PVD grown samples, CV was performed on samples that were annealed in high vacuum after Pt deposition. Additional characterization was performed with scanning electron microscopy (SEM), x-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectrometry (RBS), and four point probe analysis. Platinum that was deposited by PVD was used as a standard since it is not affected by the SMSI at the low temperature of the substrate during deposition

  2. Properties of unrelaxed InAs{sub 1-X}Sb{sub X} alloys grown on compositionally graded buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belenky, G.; Donetsky, D.; Kipshidze, G.

    Unrelaxed InAs{sub 1-x}Sb{sub x} layers with lattice constants up to 2.1% larger than that of GaSb substrates were grown by molecular beam epitaxy on GaInSb and AlGaInSb compositionally graded buffer layers. The topmost section of the buffers was unrelaxed but strained. The in-plane lattice constant of the top buffer layer was grown to be equal to the lattice constant of unrelaxed and unstrained InAs{sub 1-x}Sb{sub x} with given X. The InAs{sub 0.56}Sb{sub 0.44} layers demonstrate photoluminescence peak at 9.4 {mu}m at 150 K. The minority carrier lifetime measured at 77 K for InAs{sub 0.8}Sb{sub 0.2} was {tau} = 250 ns.

  3. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    NASA Astrophysics Data System (ADS)

    Craciun, V.; Singh, R. K.

    2000-04-01

    Ba0.5Sr0.5TiO3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (˜1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer.

  4. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/V<1). The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1) and metal rich growth regime (III/V≥1), respectively. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  5. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    DOE PAGES

    Young, E. C.; Grandjean, N.; Mates, T. E.; ...

    2016-11-23

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It has been found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ~10 12 cm -2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperaturemore » is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 10 18 cm -3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.« less

  6. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  7. Growth and behavior of chondrocytes on nano engineered surfaces and construction of micropatterned co-culture platforms using layer-by-layer platforms using layer-by-layer assembly lift-off method

    NASA Astrophysics Data System (ADS)

    Shaik, Jameel

    Several approaches such as self-assembled monolayers and layer-by-layer assembled multilayer films are being used as tools to study the interactions of cells with biomaterials in vitro. In this study, the layer-by-layer assembly approach was used to create monolayer, bilayer, trilayer, five, ten and twenty-bilayer beds of eleven different biomaterials. The various biomaterials used were poly(styrene-sulfonate), fibronectin, poly-L-lysine, poly-D-lysine, laminin, bovine serum albumin, chondroitin sulfate, poly(ethyleneimine), polyethylene glycol amine, collagen and poly(dimethyldiallyl-ammonium chloride) with unmodified tissue-culture polystyrene as standard control. Three different cell lines---primary bovine articular chondrocytes, and two secondary cell lines, human chondrosarcoma cells and canine chondrocytes were used in these studies. Chondrocyte morphology and attachment, viability, proliferation, and functionality were determined using bright field microscopy, the Live/Dead viability assay, MTT assay, and immunocytochemistry, respectively. Atomic force microscopy of the nanofilms indicated an increase in surface roughness with increasing number of layers. The most important observations from the studies on primary bovine articular chondrocytes were that these cells exhibited increasing viability and cell metabolic activity with increasing number of bilayers. The increase in viability was more pronounced than the increase in cell metabolic activity. Also, bovine chondrocytes on bilayers of poly(dimethyldiallyl-ammonium chloride, poly-L-lysine, poly(styrene-sulfonate), and bovine serum albumin were substantially bigger in size and well-attached when compared to the cells grown on monolayer and trilayers. Lactate dehydrogenase assay performed on chondrosarcoma cells grown on 5- and 10-bilayer multilayer beds indicated that the 10-bilayer beds had reduced cytotoxicity compared to the 5-bilayer beds. MTT assay performed on canine chondrocytes grown on 5-, 10

  8. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  9. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  10. Strained layer InP/InGaAs quantum well laser

    NASA Technical Reports Server (NTRS)

    Forouhar, Siamak (Inventor); Larsson, Anders G. (Inventor); Ksendzov, Alexander (Inventor); Lang, Robert J. (Inventor)

    1993-01-01

    Strained layer single or multiple quantum well lasers include an InP substrate, a pair of lattice-matched InGaAsP quarternary layers epitaxially grown on the substrate surrounding a pair of lattice matched In.sub.0.53 Ga.sub.0.47 As ternary layers surrounding one or more strained active layers of epitaxially grown, lattice-mismatched In.sub.0.75 Ga.sub.0.25 As. The level of strain is selected to control the bandgap energy to produce laser output having a wavelength in the range of 1.6 to 2.5 .mu.m. The multiple quantum well structure uses between each active layer. Diethyl zinc is used for p-type dopant in an InP cladding layer at a concentration level in the range of about 5.times.10.sup.17 /cm.sup.3 to about 2.times.10.sup.18 /cm.sup.3. Hydrogen sulfide is used for n-type dopant in the substrate.

  11. Sn - Induced decomposition of SiGeSn alloys grown on Si by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Talochkin, A. B.; Timofeev, V. A.; Gutakovskii, A. K.; Mashanov, V. I.

    2017-11-01

    Structural features of Si1-x-yGexSny alloy layers grown on Si by molecular-beam epitaxy are studied. These layers with the thickness of 2.0 nm, the nominal Ge composition of x0 ≈ 0.3, and the Sn-content of y ≈ 2-6 at.% have been grown at low temperatures (100-150 °C). We have used high-resolution transmission electron microscopy to analyze atomic structure of grown layers and Raman spectroscopy to evaluate the real Ge-content x from the observed optical phonon frequencies. It is found that the x value coincides with the nominal one at low Sn-content (2-3 at.%), and when it is increased (y ≥ 5 at.%), the decomposition of alloys into two fractions occurs. One of them is enriched by Ge with x up to 0.6 and the other fraction is Si-enriched. It is shown that the observed decomposition is Sn-induced and related to increase in Ge adatoms mobility in the growth process. This mechanism is similar to that theoretically predicted by Venezuela and Tersoff (Phys. Rev. 58, 10871 (1998)) for the case of high growth temperature.

  12. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  13. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    NASA Astrophysics Data System (ADS)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  14. Performance and Stability Enhancement of In-Sn-Zn-O TFTs Using SiO2 Gate Dielectrics Grown by Low Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Han, Ju-Hwan; Choi, Wan-Ho; Park, Jozeph; Park, Jin-Seong

    2017-12-13

    Silicon dioxide (SiO 2 ) films were synthesized by plasma-enhanced atomic layer deposition (PEALD) using BTBAS [bis(tertiarybutylamino) silane] as the precursor and O 2 plasma as the reactant, at a temperature range from 50 to 200 °C. While dielectric constant values larger than 3.7 are obtained at all deposition temperatures, the leakage current levels are drastically reduced to below 10 -12 A at temperatures above 150 °C, which are similar to those obtained in thermally oxidized and PECVD grown SiO 2 . Thin film transistors (TFTs) based on In-Sn-Zn-O (ITZO) semiconductors were fabricated using thermal SiO 2 , PECVD SiO 2 , and PEALD SiO 2 grown at 150 °C as the gate dielectrics, and superior device performance and stability are observed in the last case. A linear field effect mobility of 68.5 cm 2 /(V s) and a net threshold voltage shift (ΔV th ) of approximately 1.2 V under positive bias stress (PBS) are obtained using the PEALD SiO 2 as the gate insulator. The relatively high concentration of hydrogen in the PEALD SiO 2 is suggested to induce a high carrier density in the ITZO layer deposited onto it, which results in enhanced charge transport properties. Also, it is most likely that the hydrogen atoms have passivated the electron traps related to interstitial oxygen defects, thus resulting in improved stability under PBS. Although the PECVD SiO 2 contains a hydrogen concentration similar to that of PEALD SiO 2 , its relatively large surface roughness appears to induce scattering effects and the generation of electron traps, which result in inferior device performance and stability.

  15. Characterization of few-layered graphene grown by carbon implantation

    NASA Astrophysics Data System (ADS)

    Lee, Kin Kiong; McCallum, Jeffrey C.; Jamieson, David N.

    2014-02-01

    Graphene is considered to be a very promising material for applications in nanotechnology. The properties of graphene are strongly dependent on defects that occur during growth and processing. These defects can be either detrimental or beneficial to device performance depending on defect type, location and device application. Here we present experimental results on formation of few-layered graphene by carbon ion implantation into nickel films and characteristics of graphene devices formed by graphene transfer and lithographic patterning. Micro-Raman spectroscopy was used to determine the number of graphene layers formed and identify defects arising from the device processing. The graphene films were cleaned by annealing in vacuum. Transport properties of cleaned graphene films were investigated by fabrication of back-gated field-effect transistors, which exhibited high hole and electron mobility of 1935 and 1905 cm2/Vs, respectively.

  16. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  17. Study of annealing effect on the growth of ZnO nanorods on ZnO seed layers

    NASA Astrophysics Data System (ADS)

    Sannakashappanavar, Basavaraj S.; Pattanashetti, Nandini A.; Byrareddy, C. R.; Yadav, Aniruddh Bahadur

    2018-04-01

    A zinc oxide (ZnO) seed layer was deposited on the SiO2/Si substrate by RF sputtering. To study the effect of annealing, the seed layers were classified into annealed and unannealed thin films. Annealing of the seed layers was carried at 450°C. Surface morphology of the seed layers were studied by Atomic force microscopy. ZnO nanorods were then grown on both the types of seed layer by hydrothermal method. The morphology and the structural properties of the nanorods were characterized by X-ray diffraction and Scanning electron microscopy. The effect of seed layer annealing on the growth and orientation of the ZnO nanorods were clearly examined on comparing with the nanorods grown on unannealed seed layer. The nanorods grown on annealed seed layers were found to be well aligned and oriented. Further, the I-V characteristic study was carried out on these aligned nanorods. The results supports positively for the future work to further enhance the properties of developed nanorods for their wide applications in electronic and optoelectronic devices.

  18. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  19. Ultrathin type-II GaSb/GaAs quantum wells grown by OMVPE

    NASA Astrophysics Data System (ADS)

    Pitts, O. J.; Watkins, S. P.; Wang, C. X.; Stotz, J. A. H.; Meyer, T. A.; Thewalt, M. L. W.

    2004-09-01

    Heterostructures containing monolayer (ML) and submonolayer GaSb insertions in GaAs were grown using organometallic vapour phase epitaxy. At the GaAs-on-GaSb interface, strong intermixing occurs due to the surface segregation of Sb. To form structures with relatively abrupt interfaces, a flashoff growth sequence, in which growth interruptions are employed to desorb Sb from the surface, was introduced. Reflectance-difference spectroscopy and high-resolution X-ray diffraction data demonstrate that interfacial grading is strongly reduced by this procedure. For layer structures grown with the flashoff sequence, a GaSb coverage up to 1 ML can be obtained in the two-dimensional (2D) growth mode. For uncapped GaSb layers, on the other hand, atomic force microscope images show that the 2D-3D growth mode transition occurs at a submonolayer coverage between 0.3 and 0.5 ML. Low-temperature photoluminescence spectra of multiple quantum well samples grown using the flashoff sequence show a strong quantum well-related peak which shifts to lower energies as the amount of Sb incorporated increases. The PL peak energies are consistent with a type-II band lineup at the GaAs/GaSb interface.

  20. Formation of anodic layers on InAs (111)III. Study of the chemical composition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valisheva, N. A., E-mail: valisheva@thermo.isp.nsc.ru; Tereshchenko, O. E.; Prosvirin, I. P.

    2012-04-15

    The chemical composition of {approx}20-nm-thick anodic layers grown on InAs (111)III in alkaline and acid electrolytes containing or not containing NH{sub 4}F is studied by X-ray photoelectron spectroscopy. It is shown that the composition of fluorinated layers is controlled by the relation between the concentrations of fluorine and hydroxide ions in the electrolyte and by diffusion processes in the growing layer. Fluorine accumulates at the (anodic layer)/InAs interface. Oxidation of InAs in an acid electrolyte with a low oxygen content and a high NH{sub 4}F content brings about the formation of anodic layers with a high content of fluorine andmore » elemental arsenic and the formation of an oxygen-free InF{sub x}/InAs interface. Fluorinated layers grown in an alkaline electrolyte with a high content of O{sup 2-} and/or OH{sup -} groups contain approximately three times less fluorine and consist of indium and arsenic oxyfluorides. No distinction between the compositions of the layers grown in both types of fluorine-free electrolytes is established.« less

  1. Investigation of sacrificial layer and building block for layered nanofabrication (LNF)

    NASA Astrophysics Data System (ADS)

    Shih, Ting-Yu

    Layered Nanoscale Fabrication (LNF) is a "bottom-up" procedure that uses multiple layers to build 3-dimensional nanoscale structures. Here, in this dissertation, several candidates for sacrificial layers were explored, The thermal stability of gold nanoparticles and simple patterns are also reported. In order to obtain information on layer thickness and film quality; the samples were characterized using atomic force microscopy (AFM) and ellipsometry. Octadecyltrichlorosilane (OTS) was first investigated for use as a sacrificial layer and we studied filth growth by targeted self-replication of silane multilayers with and without the presence of thiolated gold nanoparticles on silicon oxide substrates. The particles adhered to the substrate during layer grafting. The film grew selectively on the substrate, without covering the particles. AFM was used to investigate the growth mechanism and the process of embedding the nanoparticles. OTS multilayer films up to 9 layers were grown in a linear, bilayer-by bilayer mode, free of islands and defects. We also report on studies of monolayer and multilayer formation of Methyl-11-dimethylmonochlorosilyl-undecanoate films. Flat multilayers up to 3-layers thick were grown. AFM was used to measure the height of an observable "edge" of the multilayer film and this provides and independent determination of the MOSUD layer height of 1.5 nm: However, the particles detached from the surface when we attempted to grow multilayer. One strategy of linking the particles to form 2D arrays, thermal activation in ambient air, was investigated. The morphological properties of flaked nanoparticles and structures on silicon oxide substrates before and after heating were characterized by using AFM. For widely separated 5 nm gold nanoparticles height decreased over 50% at 600 °C. Further heating to 630 °C caused most particles to completely disappear, with small amount of particle residue left on the surface. Particles positioned near to other

  2. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  3. Hexagonal AlN Layers Grown on Sulfided Si(100) Substrate

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Gushchina, E. V.; Konenkova, E. V.; L'vova, T. V.; Panteleev, V. N.; Shcheglov, M. P.

    2018-01-01

    We have studied the influence of sulfide passivation on the initial stages of aluminum nitride (AlN)-layer nucleation and growth by hydride vapor-phase epitaxy (HVPE) on (100)-oriented single-crystalline silicon substrates. It is established that the substrate pretreatment in (NH4)2S aqueous solution leads to the columnar nucleation of hexagonal AlN crystals of two modifications rotated by 30° relative to each other. Based on the sulfide treatment, a simple method of oxide removal from and preparation of Si(100) substrate surface is developed that can be used for the epitaxial growth of group-III nitride layers.

  4. Temperature dependence of the crystalline quality of AlN layer grown on sapphire substrates by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Xiao-Hang; Wei, Yong O.; Wang, Shuo; Xie, Hongen; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.

    2015-03-01

    We studied temperature dependence of crystalline quality of AlN layers at 1050-1250 °C with a fine increment step of around 18 °C. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) and characterized by X-ray diffraction (XRD) ω-scans and atomic force microscopy (AFM). At 1050-1068 °C, the templates exhibited poor quality with surface pits and higher XRD (002) and (102) full-width at half-maximum (FWHM) because of insufficient Al atom mobility. At 1086 °C, the surface became smooth suggesting sufficient Al atom mobility. Above 1086 °C, the (102) FWHM and thus edge dislocation density increased with temperatures which may be attributed to the shorter growth mode transition from three-dimension (3D) to two-dimension (2D). Above 1212 °C, surface macro-steps were formed due to the longer diffusion length of Al atoms than the expected step terrace width. The edge dislocation density increased rapidly above 1212 °C, indicating this temperature may be a threshold above which the impact of the transition from 3D to 2D is more significant. The (002) FWHM and thus screw dislocation density were insensitive to the temperature change. This study suggests that high-quality AlN/sapphire templates may be potentially achieved at temperatures as low as 1086 °C which is accessible by most of the III-nitride MOCVD systems.

  5. Three-stage nucleation and growth of Ge self-assembled quantum dots grown on partially relaxed SiGe buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, H. J.; Zhao, Z. M.; Xie, Y. H.

    2003-11-01

    Three-stage nucleation and growth of Ge self-assembled quantum dots (SAQDs) on a relaxed SiGe buffer layer has been studied. Plastic relaxation of the SiGe buffer layer is associated with a network of buried 60° dislocations leading to an undulating strain field. As a result, the surface possesses three different types of sites for the nucleation and growth of Ge SAQDs: over the intersection of two perpendicular buried dislocations, over a single dislocation line, and in the region beyond one diffusion length away from any dislocation. Ge SAQDs are observed to nucleate exclusively over the dislocation intersections first, followed by over single dislocation lines, and finally in the region far away from dislocations. By increasing the Ge coverage at a slow rate, the prenucleation stage at the various sites is observed. It appears that the varying strain field has a significant effect on both the diffusion of Ge adatoms before SAQD nucleation, as well as the shape evolution of the SAQDs after they form. Moreover, two distinctly different self-assembly mechanisms are observed at different sites. There exist denuded zones free of Ge SAQDs adjacent to dislocation lines. The width of the denuded zone can be used to make direct determination of the Ge adatom diffusion lengths. The partially relaxed substrate provides a useful experimental vehicle for the in-depth understanding of the formation mechanism of SAQDs grown epitaxially in the Stranski-Krastanov growth mode.

  6. Passivating Window/First Layer AR Coating for Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Faur, Mircea; Faur, Maria; Bailey, S. G.; Flood, D. J.; Brinker, D. J.; Alterovitz, S. A.; Wheeler, D. R.; Matesscu, G.; Goradia, C.; Goradia, M.

    2004-01-01

    Chemically grown oxides, if well designed, offer excellent surface passivation of the emitter surface of space solar cells and can be used as effective passivating window/first layer AR coating. In this paper, we demonstrate the effectiveness of using a simple room temperature wet chemical technique to grow cost effective passivating layers on solar cell front surfaces after the front grid metallization step. These passivating layers can be grown both on planar and porous surfaces. Our results show that these oxide layers: (i) can effectively passivate the from the surface, (ii) can serve as an effective optical window/first layer AR coating, (iii) are chemically, thermally and UV stable, and (iv) have the potential of improving the BOL and especially the EOL efficiency of space solar cells. The potential of using this concept to simplify the III-V based space cell heterostructures while increasing their BOL and EOL efficiency is also discussed.

  7. Luminescence properties of ZnxMg1-xSe layers

    NASA Astrophysics Data System (ADS)

    Bala, Waclaw; Firszt, Franciszek; Dzik, Janusz; Gapinski, Adam; Glowacki, Grzegorz

    1995-10-01

    This work deals with the study of luminescence properties of ZnxMg1-xSe layers prepared by different methods. ZnxMg1-xSe mixed crystal layers were obtained by: (a) thermal diffusion of Mg metal in the temperature range 1050 K - 1200 K into ZnSe single crystal grown by Bridgman method, and (b) epitaxial growth on (001) GaAs and (111) ZnTe substrates by MBE using elemental Zn, Se and Mg sources. The luminescence spectra of ZnxMg1-xSe layers grown on (001) GaAs and (111) ZnTe substrates are dominated by narrow blue and violet emission bands with maxima positioned at about 3.05 - 3.28 eV, 2.88 - 3.04 eV, and 2.81 - 2.705 eV.

  8. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    PubMed

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  9. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  10. Strain relaxation of thin Si{sub 0.6}Ge{sub 0.4} grown with low-temperature buffers by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, M.; Hansson, G. V.; Ni, W.-X.

    A double-low-temperature-buffer variable-temperature growth scheme was studied for fabrication of strain-relaxed thin Si{sub 0.6}Ge{sub 0.4} layer on Si(001) by using molecular beam epitaxy (MBE), with particular focuses on the influence of growth temperature of individual low-temperature-buffer layers on the relaxation process and final structural qualities. The low-temperature buffers consisted of a 40 nm Si layer grown at an optimized temperature of {approx}400 deg. C, followed by a 20 nm Si{sub 0.6}Ge{sub 0.4} layer grown at temperatures ranging from 50 to 550 deg. C. A significant relaxation increase together with a surface roughness decrease both by a factor of {approx}2, accompaniedmore » with the cross-hatch/cross-hatch-free surface morphology transition, took place for the sample containing a low-temperature Si{sub 0.6}Ge{sub 0.4} layer that was grown at {approx}200 deg. C. This dramatic change was explained by the association with a certain onset stage of the ordered/disordered growth transition during the low-temperature MBE, where the high density of misfit dislocation segments generated near surface cusps largely facilitated the strain relaxation of the top Si{sub 0.6}Ge{sub 0.4} layer.« less

  11. Observation of dopant-profile independent electron transport in sub-monolayer TiO{sub x} stacked ZnO thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.

    2016-01-18

    Dopant-profile independent electron transport has been observed through a combined study of temperature dependent electrical resistivity and magnetoresistance measurements on a series of Ti incorporated ZnO thin films with varying degree of static-disorder. These films were grown by atomic layer deposition through in-situ vertical stacking of multiple sub-monolayers of TiO{sub x} in ZnO. Upon decreasing ZnO spacer layer thickness, electron transport smoothly evolved from a good metallic to an incipient non-metallic regime due to the intricate interplay of screening of spatial potential fluctuations and strength of static-disorder in the films. Temperature dependent phase-coherence length as extracted from the magnetotransport measurementmore » revealed insignificant role of inter sub-monolayer scattering as an additional channel for electron dephasing, indicating that films were homogeneously disordered three-dimensional electronic systems irrespective of their dopant-profiles. Results of this study are worthy enough for both fundamental physics perspective and efficient applications of multi-stacked ZnO/TiO{sub x} structures in the emerging field of transparent oxide electronics.« less

  12. Layer-by-layer evolution of structure, strain, and activity for the oxygen evolution reaction in graphene-templated Pt monolayers.

    PubMed

    Abdelhafiz, Ali; Vitale, Adam; Joiner, Corey; Vogel, Eric; Alamgir, Faisal M

    2015-03-25

    In this study, we explore the dimensional aspect of structure-driven surface properties of metal monolayers grown on a graphene/Au template. Here, surface limited redox replacement (SLRR) is used to provide precise layer-by-layer growth of Pt monolayers on graphene. We find that after a few iterations of SLRR, fully wetted 4-5 monolayer Pt films can be grown on graphene. Incorporating graphene at the Pt-Au interface modifies the growth mechanism, charge transfers, equilibrium interatomic distances, and associated strain of the synthesized Pt monolayers. We find that a single layer of sandwiched graphene is able to induce a 3.5% compressive strain on the Pt adlayer grown on it, and as a result, catalytic activity is increased due to a greater areal density of the Pt layers beyond face-centered-cubic close packing. At the same time, the sandwiched graphene does not obstruct vicinity effects of near-surface electron exchange between the substrate Au and adlayers Pt. X-ray photoelectron spectroscopy (XPS) and extended X-ray absorption fine structure (EXAFS) techniques are used to examine charge mediation across the Pt-graphene-Au junction and the local atomic arrangement as a function of the Pt adlayer dimension. Cyclic voltammetry (CV) and the oxygen reduction reaction (ORR) are used as probes to examine the electrochemically active area of Pt monolayers and catalyst activity, respectively. Results show that the inserted graphene monolayer results in increased activity for the Pt due to a graphene-induced compressive strain, as well as a higher resistance against loss of the catalytically active Pt surface.

  13. Layer-by-layer grown scalable redox-active ruthenium-based molecular multilayer thin films for electrochemical applications and beyond.

    PubMed

    Kaliginedi, Veerabhadrarao; Ozawa, Hiroaki; Kuzume, Akiyoshi; Maharajan, Sivarajakumar; Pobelov, Ilya V; Kwon, Nam Hee; Mohos, Miklos; Broekmann, Peter; Fromm, Katharina M; Haga, Masa-aki; Wandlowski, Thomas

    2015-11-14

    Here we report the first study on the electrochemical energy storage application of a surface-immobilized ruthenium complex multilayer thin film with anion storage capability. We employed a novel dinuclear ruthenium complex with tetrapodal anchoring groups to build well-ordered redox-active multilayer coatings on an indium tin oxide (ITO) surface using a layer-by-layer self-assembly process. Cyclic voltammetry (CV), UV-Visible (UV-Vis) and Raman spectroscopy showed a linear increase of peak current, absorbance and Raman intensities, respectively with the number of layers. These results indicate the formation of well-ordered multilayers of the ruthenium complex on ITO, which is further supported by the X-ray photoelectron spectroscopy analysis. The thickness of the layers can be controlled with nanometer precision. In particular, the thickest layer studied (65 molecular layers and approx. 120 nm thick) demonstrated fast electrochemical oxidation/reduction, indicating a very low attenuation of the charge transfer within the multilayer. In situ-UV-Vis and resonance Raman spectroscopy results demonstrated the reversible electrochromic/redox behavior of the ruthenium complex multilayered films on ITO with respect to the electrode potential, which is an ideal prerequisite for e.g. smart electrochemical energy storage applications. Galvanostatic charge-discharge experiments demonstrated a pseudocapacitor behavior of the multilayer film with a good specific capacitance of 92.2 F g(-1) at a current density of 10 μA cm(-2) and an excellent cycling stability. As demonstrated in our prototypical experiments, the fine control of physicochemical properties at nanometer scale, relatively good stability of layers under ambient conditions makes the multilayer coatings of this type an excellent material for e.g. electrochemical energy storage, as interlayers in inverted bulk heterojunction solar cell applications and as functional components in molecular electronics applications.

  14. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    NASA Astrophysics Data System (ADS)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  15. Inverted Al0.25Ga0.75N/GaN ultraviolet p-i-n photodiodes formed on p-GaN template layer grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Chang, Kuo-Hua; Sheu, Jinn-Kong; Lee, Ming-Lun; Tu, Shang-Ju; Yang, Chih-Ciao; Kuo, Huan-Shao; Yang, J. H.; Lai, Wei-Chih

    2010-07-01

    Inverted Al0.25Ga0.75N/GaN ultraviolet (UV) p-i-n photodiodes (PDs) were grown by selective-area regrowth on p-GaN template. The inverted devices with low-resistivity n-type AlGaN top-contact layers exhibited a typical zero-bias peak responsivity of 66.7 mA/W at 310 nm corresponding to the external quantum efficiency of 26.6%. The typical UV-to-visible (310/400 nm) spectral rejection ratio at zero-bias was over three orders of magnitude. The differential resistance and detectivity were obtained at approximately 6.2×1012 Ω and 3.4×1013 cm Hz1/2 W-1, respectively. Compared with conventional AlGaN/GaN-based UV p-i-n PDs, the proposed device structure can potentially achieve solar-blind AlGaN/GaN-based p-i-n PDs with low-aluminum content or aluminum-free p-contact layer and reduce excessive tensile strain due to the lattice mismatch between AlGaN and GaN layers.

  16. All-MOCVD-grown BH laser on P-InP substrates

    NASA Astrophysics Data System (ADS)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  17. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  18. Impact of molybdenum out diffusion and interface quality on the performance of sputter grown CZTS based solar cells.

    PubMed

    Dalapati, Goutam Kumar; Zhuk, Siarhei; Masudy-Panah, Saeid; Kushwaha, Ajay; Seng, Hwee Leng; Chellappan, Vijila; Suresh, Vignesh; Su, Zhenghua; Batabyal, Sudip Kumar; Tan, Cheng Cheh; Guchhait, Asim; Wong, Lydia Helena; Wong, Terence Kin Shun; Tripathy, Sudhiranjan

    2017-05-02

    We have investigated the impact of Cu 2 ZnSnS 4 -Molybdenum (Mo) interface quality on the performance of sputter-grown Cu 2 ZnSnS 4 (CZTS) solar cell. Thin film CZTS was deposited by sputter deposition technique using stoichiometry quaternary CZTS target. Formation of molybdenum sulphide (MoS x ) interfacial layer is observed in sputter grown CZTS films after sulphurization. Thickness of MoS x layer is found ~142 nm when CZTS layer (550 nm thick) is sulphurized at 600 °C. Thickness of MoS x layer significantly increased to ~240 nm in case of thicker CZTS layer (650 nm) under similar sulphurization condition. We also observe that high temperature (600 °C) annealing suppress the elemental impurities (Cu, Zn, Sn) at interfacial layer. The amount of out-diffused Mo significantly varies with the change in sulphurization temperature. The out-diffused Mo into CZTS layer and reconstructed interfacial layer remarkably decreases series resistance and increases shunt resistance of the solar cell. The overall efficiency of the solar cell is improved by nearly five times when 600 °C sulphurized CZTS layer is applied in place of 500 °C sulphurized layer. Molybdenum and sulphur diffusion reconstruct the interface layer during heat treatment and play the major role in charge carrier dynamics of a photovoltaic device.

  19. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  20. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  1. Strained-layer superlattice focal plane array having a planar structure

    DOEpatents

    Kim, Jin K [Albuquerque, NM; Carroll, Malcolm S [Albuquerque, NM; Gin, Aaron [Albuquerque, NM; Marsh, Phillip F [Lowell, MA; Young, Erik W [Albuquerque, NM; Cich, Michael J [Albuquerque, NM

    2010-07-13

    An infrared focal plane array (FPA) is disclosed which utilizes a strained-layer superlattice (SLS) formed of alternating layers of InAs and In.sub.xGa.sub.1-xSb with 0.ltoreq.x.ltoreq.0.5 epitaxially grown on a GaSb substrate. The FPA avoids the use of a mesa structure to isolate each photodetector element and instead uses impurity-doped regions formed in or about each photodetector for electrical isolation. This results in a substantially-planar structure in which the SLS is unbroken across the entire width of a 2-D array of the photodetector elements which are capped with an epitaxially-grown passivation layer to reduce or eliminate surface recombination. The FPA has applications for use in the wavelength range of 3-25 .mu.m.

  2. Strained layer superlattice focal plane array having a planar structure

    DOEpatents

    Kim, Jin K; Carroll, Malcolm S; Gin, Aaron; Marsh, Phillip F; Young, Erik W; Cich, Michael J

    2012-10-23

    An infrared focal plane array (FPA) is disclosed which utilizes a strained-layer superlattice (SLS) formed of alternating layers of InAs and In.sub.xGa.sub.1-xSb with 0.ltoreq.x.ltoreq.0.5 epitaxially grown on a GaSb substrate. The FPA avoids the use of a mesa structure to isolate each photodetector element and instead uses impurity-doped regions formed in or about each photodetector for electrical isolation. This results in a substantially-planar structure in which the SLS is unbroken across the entire width of a 2-D array of the photodetector elements which are capped with an epitaxially-grown passivation layer to reduce or eliminate surface recombination. The FPA has applications for use in the wavelength range of 3-25 .mu.m.

  3. Carbon Nanotube Microarrays Grown on Nanoflake Substrates

    NASA Technical Reports Server (NTRS)

    Schmidt, Howard K.; Hauge, Robert H.; Pint, Cary; Pheasant, Sean

    2013-01-01

    This innovation consists of a new composition of matter where single-walled carbon nanotubes (SWNTs) are grown in aligned arrays from nanostructured flakes that are coated in Fe catalyst. This method of growth of aligned SWNTs, which can yield well over 400 percent SWNT mass per unit substrate mass, exceeds current yields for entangled SWNT growth. In addition, processing can be performed with minimal wet etching treatments, leaving aligned SWNTs with superior properties over those that exist in entangled mats. The alignment of the nanotubes is similar to that achieved in vertically aligned nanotubes, which are called "carpets. " Because these flakes are grown in a state where they are airborne in a reactor, these flakes, after growing SWNTs, are termed "flying carpets. " These flakes are created in a roll-to-roll evaporator system, where three subsequent evaporations are performed on a 100-ft (approx. =30-m) roll of Mylar. The first layer is composed of a water-soluble "release layer, " which can be a material such as NaCl. After depositing NaCl, the second layer involves 40 nm of supporting layer material . either Al2O3 or MgO. The thickness of the layer can be tuned to synthesize flakes that are larger or smaller than those obtained with a 40-nm deposition. Finally, the third layer consists of a thin Fe catalyst layer with a thickness of 0.5 nm. The thickness of this layer ultimately determines the diameter of SWNT growth, and a layer that is too thick will result in the growth of multiwalled carbon nanotubes instead of single-wall nanotubes. However, between a thickness of 0.5 nm to 1 nm, single-walled carbon nanotubes are known to be the primary constituent. After this three-layer deposition process, the Mylar is rolled through a bath of water, which allows catalyst-coated flakes to detach from the Mylar. The flakes are then collected and dried. The method described here for making such flakes is analogous to that which is used to make birefringent ink that is

  4. Structural and electrical investigations of MBE-grown SiGe nanoislands

    NASA Astrophysics Data System (ADS)

    Şeker, İsa; Karatutlu, Ali; Gürbüz, Osman; Yanık, Serhat; Bakış, Yakup; Karakız, Mehmet

    2018-01-01

    SiGe nanoislands were grown by Molecular Beam Epitaxy (MBE) method on Si (100) substrates with comparative growth parameters such as annealing temperature, top Ge content and layer-by-layer annealing (LBLA). XRD and Raman data suggest that annealing temperature, top Ge content and layer-by-layer annealing (LBLA) can overall give a control not only over the amorphous content but also over yielding the strained Ge layer formation in addition to mostly Ge crystallites. Depending on the layer design and growth conditions, size of the crystallites was observed to be changed. Four Point Probe (FPP) Method via Semiconductor Analyzer shows that 100 °C rise in annealing temperature of the samples with Si0.25Ge0.75 top layers caused rougher islands with vacancies which further resulted in the formation of laterally higher resistive thin film sheets. However, vertically performed I-AFM analysis produced higher I-V values which suggest that the vertical and horizantal conductance mechanisms appear to be different. Ge top-layered samples gained greater crystalline structure and better surface conductivity where LBLA resulted in the formation of Ge nucleation and tight 2D stacking resulting in enhanced current values.

  5. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    PubMed

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  6. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  7. C-Axis-Oriented Hydroxyapatite Film Grown Using ZnO Buffer Layer

    NASA Astrophysics Data System (ADS)

    Sakoishi, Yasuhiro; Iguchi, Ryo; Nishikawa, Hiroaki; Hontsu, Shigeki; Hayami, Takashi; Kusunoki, Masanobu

    2013-11-01

    A method of fabricating c-axis-oriented hydroxyapatite film on a quartz crystal microbalance (QCM) sensor was investigated. ZnO was used as a template to obtain a hexagonal hydroxyapatite crystal of uniaxial orientation. The ZnO was grown as a c-axis film on a Au/quartz with the surface structure of a QCM sensor. Under optimized conditions, hydroxyapatite was deposited by pulsed laser deposition. X-ray diffraction showed the hydroxyapatite film to be oriented along the c-axis. Because Au and ZnO are applied to many devices, the anisotropic properties of hydroxyapatite may be incorporated into these devices as well as QCM sensors.

  8. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  9. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  10. Uniformity of dc and rf performance of MBE-grown AlGaN/GaN HEMTS on HVPE-grown buffers

    NASA Astrophysics Data System (ADS)

    Gillespie, J. K.; Fitch, R. C.; Moser, N.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Dabiran, A. M.; Chow, P. P.; Osinsky, A.; Mastro, M. A.; Tsvetkov, D.; Soukhoveev, V.; Usikov, A.; Dmitriev, V.; Luo, B.; Pearton, S. J.; Ren, F.

    2003-10-01

    AlGaN/GaN high electron mobility transistors (HEMTs) were grown by molecular beam epitaxy (MBE) on 2 in. diameter GaN buffer layers grown by hydride vapor epitaxy (HVPE) on sapphire substrates. HEMTs with 1 μm gate length displayed excellent dc and rf performance uniformity with up to 258 separate devices measured for each parameter. The drain-source saturation current was 561 mA with a standard deviation of 1.9% over the 2 in. diameter, with a corresponding transconductance of 118 ± 3.9 mS/mm. The threshold voltage was -5.3 ± 0.07 V. The rf performance uniformity was equally good, with an fT of 8.6 ± 0.8 GHz and fmax of 12.8 ± 2.5 GHz. The results show the excellent uniformity of the MBE technique for producing AlGaN/GaN HEMTs and also the ability of HVPE to provide high quality buffers at low cost.

  11. FAST TRACK COMMUNICATION: Electronic structure of a graphene/hexagonal-BN heterostructure grown on Ru(0001) by chemical vapor deposition and atomic layer deposition: extrinsically doped graphene

    NASA Astrophysics Data System (ADS)

    Bjelkevig, Cameron; Mi, Zhou; Xiao, Jie; Dowben, P. A.; Wang, Lu; Mei, Wai-Ning; Kelber, Jeffry A.

    2010-08-01

    A significant BN-to-graphene charge donation is evident in the electronic structure of a graphene/h-BN(0001) heterojunction grown by chemical vapor deposition and atomic layer deposition directly on Ru(0001), consistent with density functional theory. This filling of the lowest unoccupied state near the Brillouin zone center has been characterized by combined photoemission/k vector resolved inverse photoemission spectroscopies, and Raman and scanning tunneling microscopy/spectroscopy. The unoccupied σ*(Γ1 +) band dispersion yields an effective mass of 0.05 me for graphene in the graphene/h-BN(0001) heterostructure, in spite of strong perturbations to the graphene conduction band edge placement.

  12. Positron annihilation spectroscopy for the determination of thickness and defect profile in thin semiconductor layers

    NASA Astrophysics Data System (ADS)

    Zubiaga, A.; García, J. A.; Plazaola, F.; Tuomisto, F.; Zúñiga-Pérez, J.; Muñoz-Sanjosé, V.

    2007-05-01

    We present a method, based on positron annihilation spectroscopy, to obtain information on the defect depth profile of layers grown over high-quality substrates. We have applied the method to the case of ZnO layers grown on sapphire, but the method can be very easily generalized to other heterostructures (homostructures) where the positron mean diffusion length is small enough. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, it is possible to determine the thickness of the layer and the defect profile in the layer, when mainly one defect trapping positron is contributing to positron trapping at the measurement temperature. Indeed, the quality of such characterization is very important for potential technological applications of the layer.

  13. Ternary AlGaN Alloys with High Al Content and Enhanced Compositional Homogeneity Grown by Plasma-Assisted Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Fellmann, Vincent; Jaffrennou, Périne; Sam-Giao, Diane; Gayral, Bruno; Lorenz, Katharina; Alves, Eduardo; Daudin, Bruno

    2011-03-01

    We have studied the influence of III/N flux ratio and growth temperature on structural and optical properties of high Al-content, around 50-60%, AlGaN alloy layers grown by plasma-assisted molecular beam epitaxy. In a first part, based on structural analysis by Rutherford Backscattering Spectroscopy, we establish that a III/N flux ratio slightly above 1 produces layers with low amount of structural defects. In a second part, we study the effect of growth temperature on structural and optical properties of layers grown with previously determined optimal III/N flux ratio. We find that optimal growth temperatures for Al0.50Ga0.50N layers with compositional homogeneity related with narrow UV photoluminescence properties are in the low temperature range for growing GaN layers, i.e., 650-680 °C. We propose that lowering Ga adatom diffusion on the surface favors random incorporation of both Ga and Al adatoms on wurtzite crystallographic sites leading to the formation of an homogeneous alloy.

  14. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  15. Electrical and morphological characterization of transfer-printed Au/Ti/TiO{sub x}/p{sup +}-Si nano- and microstructures with plasma-grown titanium oxide layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weiler, Benedikt, E-mail: benedikt.weiler@nano.ei.tum.de; Nagel, Robin; Albes, Tim

    2016-04-14

    Highly-ordered, sub-70 nm-MOS-junctions of Au/Ti/TiO{sub x}/p{sup +}-Si were efficiently and reliably fabricated by nanotransfer-printing (nTP) over large areas and their functionality was investigated with respect to their application as MOS-devices. First, we used a temperature-enhanced nTP process and integrated the plasma-oxidation of a nm-thin titanium film being e-beam evaporated directly on the stamp before the printing step without affecting the p{sup +}-Si substrate. Second, morphological investigations (scanning electron microscopy) of the nanostructures confirm the reliable transfer of Au/Ti/TiO{sub x}-pillars of 50 nm, 75 nm, and 100 nm size of superior quality on p{sup +}-Si by our transfer protocol. Third, the fabricated nanodevices are alsomore » characterized electrically by conductive AFM. Fourth, the results are compared to probe station measurements on identically processed, i.e., transfer-printed μm-MOS-structures including a systematic investigation of the oxide formation. The jV-characteristics of these MOS-junctions demonstrate the electrical functionality as plasma-grown tunneling oxides and the effectivity of the transfer-printing process for their large-scale fabrication. Next, our findings are supported by fits to the jV-curves of the plasma-grown titanium oxide by kinetic-Monte-Carlo simulations. These fits allowed us to determine the dominant conduction mechanisms, the material parameters of the oxides and, in particular, a calibration of the thickness depending on applied plasma time and power. Finally, also a relative dielectric permittivity of 12 was found for such plasma-grown TiO{sub x}-layers.« less

  16. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  17. Method for growing low defect, high purity crystalline layers utilizing lateral overgrowth of a patterned mask

    NASA Technical Reports Server (NTRS)

    Morrison, Andrew D. (Inventor); Daud, Taher (Inventor)

    1986-01-01

    A method for growing a high purity, low defect layer of semiconductor is described. This method involves depositing a patterned mask of a material impervious to impurities of the semiconductor on a surface of a blank. When a layer of semiconductor is grown on the mask, the semiconductor will first grow from the surface portions exposed by the openings in the mask and will bridge the connecting portions of the mask to form a continuous layer having improved purity, since only the portions overlying the openings are exposed to defects and impurities. The process can be iterated and the mask translated to further improve the quality of grown layers.

  18. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  19. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  20. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    NASA Astrophysics Data System (ADS)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  1. Sulfurization effect on optical properties of Cu2SNS3 thin films grown by two-stage process

    NASA Astrophysics Data System (ADS)

    Reddy, G. Phaneendra; Reddy, K. T. Ramakrishna

    2017-05-01

    A good phase controlled and impurity free two stage process was used to prepare Cu2SnS3 layers on glass substrates. The layers were prepared by sulfurization of sputtered Cu-Sn metallic precursors by varying the sulfurization temperature (Ts) in the range, 150-450°C, keeping the other deposition parameters constant. A complete investigation of the optical properties of the layers with sulfurization temperature was made by using the optical transmittance and reflectance measurements versus wavelength. The absorption coefficient α, was evaluated using the optical data that showed a α > 104 cm-1 for all the as-grown films. The optical bandgap of the as grown layers was determined from the second derivative diffused reflectance spectra that varied from 1.96 eV to 0.99 eV. Consequently, refractive index and extinction coefficient were calculated from Pankov's relations. In addition, the other optical parameters such as the dielectric constants, dissipation factor and also optical conductivity calculated. A detailed analysis of the dependence of all the above parameters on Ts is reported and discussed.

  2. Optical devices featuring textured semiconductor layers

    DOEpatents

    Moustakas, Theodore D [Dover, MA; Cabalu, Jasper S [Cary, NC

    2011-10-11

    A semiconductor sensor, solar cell or emitter, or a precursor therefor, has a substrate and one or more textured semiconductor layers deposited onto the substrate. The textured layers enhance light extraction or absorption. Texturing in the region of multiple quantum wells greatly enhances internal quantum efficiency if the semiconductor is polar and the quantum wells are grown along the polar direction. Electroluminescence of LEDs of the invention is dichromatic, and results in variable color LEDs, including white LEDs, without the use of phosphor.

  3. Optical devices featuring textured semiconductor layers

    DOEpatents

    Moustakas, Theodore D [Dover, MA; Cabalu, Jasper S [Cary, NC

    2012-08-07

    A semiconductor sensor, solar cell or emitter, or a precursor therefor, has a substrate and one or more textured semiconductor layers deposited onto the substrate. The textured layers enhance light extraction or absorption. Texturing in the region of multiple quantum wells greatly enhances internal quantum efficiency if the semiconductor is polar and the quantum wells are grown along the polar direction. Electroluminescence of LEDs of the invention is dichromatic, and results in variable color LEDs, including white LEDs, without the use of phosphor.

  4. Photoreflectance study of the near-band-edge transitions of chemical vapor deposition-grown mono- and few-layer MoS{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Kuang-I, E-mail: kilin@mail.ncku.edu.tw; Chen, Yen-Jen; Wang, Bo-Yan

    2016-03-21

    Room-temperature photoreflectance (PR) and reflectance (R) spectroscopy are utilized to investigate the near-band-edge transitions of molybdenum disulfide (MoS{sub 2}) thin films grown on sapphire substrates by a hot-wall chemical vapor deposition system. The layer thickness and optical properties of the MoS{sub 2} thin films are confirmed by Raman spectroscopy, atomic force microscope, and photoluminescence (PL) analysis. The B exciton shows relatively weak PL intensity in comparing with the A exciton even for monolayer MoS{sub 2} films. In the R spectrum of few‐layer MoS{sub 2}, it is not possible to clearly observe exciton related features. The PR spectra have two sharp,more » derivative-like features on a featureless background. Throughout the PR lineshape fitting, the transition energies are designated as the A and B excitons at the K-point of the Brillouin zone, but at room temperature there seems to be no distinguishable feature corresponding to an H‐point transition for the mono- and few-layer MoS{sub 2} films unlike in bulk. These transition energies are slightly larger than those obtained by PL, which is attributed to the Stokes shifts related to doping level. The obtained values of valence-band spin-orbit splitting are in good agreement with those from other experimental methods. By comparing the PR lineshapes, the dominant modulation mechanism is attributed to variations of the exciton transition energies due to change in the built-in electric field. On the strength of this study, PR spectroscopy is demonstrated as a powerful technique for characterizing the near-band-edge transitions of MoS{sub 2} from monolayer to bulk.« less

  5. Nanoporous Aluminum Oxide Membranes Coated with Atomic Layer Deposition-Grown Titanium Dioxide for Biomedical Applications: An In Vitro Evaluation.

    PubMed

    Petrochenko, Peter E; Kumar, Girish; Fu, Wujun; Zhang, Qin; Zheng, Jiwen; Liang, Chengdu; Goering, Peter L; Narayan, Roger J

    2015-12-01

    The surface topographies of nanoporous anodic aluminum oxide (AAO) and titanium dioxide (TiO2) membranes have been shown to modulate cell response in orthopedic and skin wound repair applications. In this study, we: (1) demonstrate an improved atomic layer deposition (ALD) method for coating the porous structures of 20, 100, and 200 nm pore diameter AAO with nanometer-thick layers of TiO2 and (2) evaluate the effects of uncoated AAO and TiO2-coated AAO on cellular responses. The TiO2 coatings were deposited on the AAO membranes without compromising the openings of the nanoscale pores. The 20 nm TiO2-coated membranes showed the highest amount of initial protein adsorption via the micro bicinchoninic acid (micro-BCA) assay; all of the TiO2-coated membranes showed slightly higher protein adsorption than the uncoated control materials. Cell viability, proliferation, and inflammatory responses on the TiO2-coated AAO membranes showed no adverse outcomes. For all of the tested surfaces, normal increases in proliferation (DNA content) of L929 fibroblasts were observed over from 4 hours to 72 hours. No increases in TNF-alpha production were seen in RAW 264.7 macrophages grown on TiO2-coated AAO membranes compared to uncoated AAO membranes and tissue culture polystyrene (TCPS) surfaces. Both uncoated AAO membranes and TiO2-coated AAO membranes showed no significant effects on cell growth and inflammatory responses. The results suggest that TiO2-coated AAO may serve as a reasonable prototype material for the development of nanostructured wound repair devices and orthopedic implants.

  6. Synthesis, Properties and Applications of Gallium Nitride Nanowires

    NASA Astrophysics Data System (ADS)

    Ma, Zheng

    This main focus of the work is on controlling the growth morphology in GaN and related nanowires. Two key results are presented: (1) demonstration of GaN nanowire growth in a newly discovered `serrated' morphology and (2) demonstration of Mn-doped, GaMnN nanowires by a new method. In (1) it is shown that simply by controlling the type of catalyst, size of the catalyst and the initial ratio of the precursor materials, GaN nanowire growth in a highly periodic serrated morphology can be obtained. Unlike regular non-serrated wires which grow in the non-polar [1010] direction, growth of the serrated wires is in the polar [0001] direction. The serrated faces are oriented in the semi-polar directions. Wires with serrated faces in both [1011] and [1122] semi-polar directions have been obtained. In (2) it has been shown that by using Au-Mn alloy catalyst method, GaMnN wire growth can be obtained. This is a significant result since this may be the first demonstration wherein Mn doping is achieved by introducing Mn as a catalyst rather than as a source material. The growth direction of these GaMnN wires is in the non-polar direction as in the case of non-serrated wires. Interestingly, unlike the non-serrated GaN wires, in this case the growth direction is [1120]. A second focus of the work is on the investigation of transport properties of serrated GaN nanowires and comparison with the non-serrated GaN nanowires. For the serrated nanowires our results indicate significant influence of surface effects on the electronic transport resulting in much higher electrical resistivity. A third focus of the work is on the investigation of magnetic properties of the GaMnN nanowires which indicates potential weak ferromagnetic behavior. This is consistent with low hole concentration and low Mn doping concentration (~0.5%) in these nanowires.

  7. Symmetry Breaking in Few Layer Graphene Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bostwick, A.; Ohta, T.; McChesney, J.L.

    2007-05-25

    Recently, it was demonstrated that the quasiparticledynamics, the layer-dependent charge and potential, and the c-axisscreening coefficient could be extracted from measurements of thespectral function of few layer graphene films grown epitaxially on SiCusing angle-resolved photoemission spectroscopy (ARPES). In this articlewe review these findings, and present detailed methodology for extractingsuch parameters from ARPES. We also present detailed arguments againstthe possibility of an energy gap at the Dirac crossing ED.

  8. Conformal spinel/layered heterostructures of Co3O4 shells grown on single-crystal Li-rich nanoplates for high-performance lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Xin, Yue; Lan, Xiwei; Chang, Peng; Huang, Yaqun; Wang, Libin; Hu, Xianluo

    2018-07-01

    Lithium-rich layered materials have received much attention because of their high specific capacity and high energy density. Unfortunately, they suffer from irreversible capacity loss, low initial Coulombic efficiency and poor cyclability. Here we report a facile co-precipitation method to synthesize uniform single-crystal Li-rich Li[Li0.2Mn0.54Ni0.13Co0.13]O2 nanoplates without using any template. Subsequently, a Co3O4 shell is in situ grown on the Li-rich nanoplates through a hydrothermal method, leading to spinel/layered heterostructures. The electrode made of conformal heterostructured Li-rich/Co3O4 nanoplates delivers a high discharge capacity of 296 mA h g-1 at 0.1 C with an initial Coulombic efficiency of 84%. The capacity retention reaches 83.2% with a discharge capacity of 223 mA h g-1 after 160 cycles at 0.2 C during the potential window ranging from 2.0 to 4.8 V. The enhanced electrochemical performance of the resulting Li-rich/Co3O4 nanoplates benefits from the unique conformal heterostructure as well as the electrochemically active LixCoOy generated between the reaction of Co3O4 shells and the extracted Li2O during charging/discharging processes.

  9. Zero-internal fields in nonpolar InGaN/GaN multi-quantum wells grown by the multi-buffer layer technique.

    PubMed

    Song, Hooyoung; Kim, Jin Soak; Kim, Eun Kyu; Seo, Yong Gon; Hwang, Sung-Min

    2010-04-02

    The potential of nonpolar a-plane InGaN/GaN multi-quantum wells (MQWs), which are free from a strong piezoelectric field, was demonstrated. An a-GaN template grown on an r-plane sapphire substrate by the multi-buffer layer technique showed high structural quality with an omega full width at half maximum value along the c-axis of 418 arcsec obtained from high-resolution x-ray diffraction analysis. From barrier analysis by deep level transient spectroscopy, it appeared that a-plane InGaN/GaN MQWs can solve the efficiency droop problem as they have a lower electron capture barrier than the c-plane sample. The peak shift of the temperature-dependent photoluminescence signal for the nonpolar InGaN/GaN MQWs was well fitted by Varshni's empirical equation with zero-internal fields. A high photoluminescence efficiency of 0.27 from this sample also showed that nonpolar MQWs can be the key factor to solve the efficiency limitation in conventional c-plane GaN based light emitting diodes.

  10. Wet oxidation of GeSi strained layers by rapid thermal processing

    NASA Astrophysics Data System (ADS)

    Nayak, D. K.; Kamjoo, K.; Park, J. S.; Woo, J. C. S.; Wang, K. L.

    1990-07-01

    A cold-wall rapid thermal processor is used for the wet oxidation of the commensurately grown GexSi1-x layers on Si substrates. The rate of oxidation of the GexSi1-x layer is found to be significantly higher than that of pure Si, and the oxidation rate increases with the increase in the Ge content in GexSi1-x layer. The oxidation rate of GexSi1-x appears to decrease with increasing oxidation time for the time-temperature cycles considered here. Employing high-frequency and quasi-static capacitance-voltage measurements, it is found that a fixed negative oxide charge density in the range of 1011- 1012/cm2 and the interface trap level density (in the mid-gap region) of about 1012/cm2 eV are present. Further, the density of this fixed interface charge at the SiO2/GeSi interface is found to increase with the Ge concentration in the commensurately grown GeSi layers.

  11. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  12. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  13. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    NASA Astrophysics Data System (ADS)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  14. Developmental, nutritional and hormonal anomalies of weightlessness-grown wheat

    NASA Astrophysics Data System (ADS)

    Carman, J. G.; Hole, P.; Salisbury, F. B.; Bingham, G. E.

    2015-07-01

    The behavior of water in weightlessness, as occurs in orbiting spacecraft, presents multiple challenges for plant growth. Soils remain saturated, impeding aeration, and leaf surfaces remain wet, impeding gas exchange. Herein we report developmental and biochemical anomalies of "Super Dwarf" wheat (Triticum aestivum L.) grown aboard Space Station Mir during the 1996-97 "Greenhouse 2" experiment. Leaves of Mir-grown wheat were hyperhydric, senesced precociously and accumulated aromatic and branched-chain amino acids typical of tissues experiencing oxidative stress. The highest levels of stress-specific amino acids occurred in precociously-senescing leaves. Our results suggest that the leaf ventilation system of the Svet Greenhouse failed to remove sufficient boundary layer water, thus leading to poor gas exchange and onset of oxidative stress. As oxidative stress in plants has been observed in recent space-flight experiments, we recommend that percentage water content in apoplast free-spaces of leaves be used to evaluate leaf ventilation effectiveness. Mir-grown plants also tillered excessively. Crowns and culms of these plants contained low levels of abscisic acid but high levels of cytokinins. High ethylene levels may have suppressed abscisic acid synthesis, thus permitting cytokinins to accumulate and tillering to occur.

  15. Structural and physical properties of InAlAs quantum dots grown on GaAs

    NASA Astrophysics Data System (ADS)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  16. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth

  17. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    PubMed

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  18. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    PubMed Central

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications. PMID:28435867

  19. Room temperature direct band gap emission characteristics of surfactant mediated grown compressively strained Ge films

    NASA Astrophysics Data System (ADS)

    Katiyar, Ajit K.; Grimm, Andreas; Bar, R.; Schmidt, Jan; Wietler, Tobias; Joerg Osten, H.; Ray, Samit K.

    2016-10-01

    Compressively strained Ge films have been grown on relaxed Si0.45Ge0.55 virtual substrates using molecular beam epitaxy in the presence of Sb as a surfactant. Structural characterization has shown that films grown in the presence of surfactant exhibit very smooth surfaces with a relatively higher strain value in comparison to those grown without any surfactant. The variation of strain with increasing Ge layer thickness was analyzed using Raman spectroscopy. The strain is found to be reduced with increasing film thickness due to the onset of island nucleation following Stranski-Krastanov growth mechanism. No phonon assisted direct band gap photoluminescence from compressively strained Ge films grown on relaxed Si0.45Ge0.55 has been achieved up to room temperature. Excitation power and temperature dependent photoluminescence have been studied in details to investigate the origin of different emission sub-bands.

  20. Low-dislocation-density epitatial layers grown by defect filtering by self-assembled layers of spheres

    DOEpatents

    Wang, George T.; Li, Qiming

    2013-04-23

    A method for growing low-dislocation-density material atop a layer of the material with an initially higher dislocation density using a monolayer of spheroidal particles to bend and redirect or directly block vertically propagating threading dislocations, thereby enabling growth and coalescence to form a very-low-dislocation-density surface of the material, and the structures made by this method.

  1. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  2. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  3. High resolution synchrotron X-radiation diffraction imaging of crystals grown in microgravity and closely related terrestrial crystals

    NASA Technical Reports Server (NTRS)

    Steiner, Bruce; Dobbyn, Ronald C.; Black, David; Burdette, Harold; Kuriyama, Masao; Fripp, Archibald; Simchik, Richard

    1991-01-01

    Irregularities in three crystals grown in space and in four terrestrial crystals grown under otherwise comparable conditions have been observed in high resolution diffraction imaging. The images provide important new clues to the nature and origins of irregularities in each crystal. For two of the materials, mercuric iodide and lead tin telluride, more than one phase (an array of non-diffracting inclusions) was observed in terrestrial samples; but the formation of these multiple phases appears to have been suppressed in directly comparable crystals grown in microgravity. The terrestrial seed crystal of triglycine sulfate displayed an unexpected layered structure, which propagated during directly comparable space growth. Terrestrial Bridgman regrowth of gallium arsenide revealed a mesoscopic structure substantially different from that of the original Czochralski material. A directly comparable crystal is to be grown shortly in space.

  4. Strong visible and near infrared photoluminescence from ZnO nanorods/nanowires grown on single layer graphene studied using sub-band gap excitation

    NASA Astrophysics Data System (ADS)

    Biroju, Ravi K.; Giri, P. K.

    2017-07-01

    Fabrication and optoelectronic applications of graphene based hybrid 2D-1D semiconductor nanostructures have gained tremendous research interest in recent times. Herein, we present a systematic study on the origin and evolution of strong broad band visible and near infrared (NIR) photoluminescence (PL) from vertical ZnO nanorods (NRs) and nanowires (NWs) grown on single layer graphene using both above band gap and sub-band gap optical excitations. High resolution field emission scanning electron microscopy and X-ray diffraction studies are carried out to reveal the morphology and crystalline quality of as-grown and annealed ZnO NRs/NWs on graphene. Room temperature PL studies reveal that besides the UV and visible PL bands, a new near-infrared (NIR) PL emission band appears in the range between 815 nm and 886 nm (1.40-1.52 eV). X-ray photoelectron spectroscopy studies revealed excess oxygen content and unreacted metallic Zn in the as-grown ZnO nanostructures, owing to the low temperature growth by a physical vapor deposition method. Post-growth annealing at 700 °C in the Ar gas ambient results in the enhanced intensity of both visible and NIR PL bands. On the other hand, subsequent high vacuum annealing at 700 °C results in a drastic reduction in the visible PL band and complete suppression of the NIR PL band. PL decay dynamics of green emission in Ar annealed samples show tri-exponential decay on the nanosecond timescale including a very slow decay component (time constant ˜604.5 ns). Based on these results, the NIR PL band comprising two peaks centered at ˜820 nm and ˜860 nm is tentatively assigned to neutral and negatively charged oxygen interstitial (Oi) defects in ZnO, detected experimentally for the first time. The evidence for oxygen induced trap states on the ZnO NW surface is further substantiated by the slow photocurrent response of graphene-ZnO NRs/NWs. These results are important for tunable light emission, photodetection, and other cutting edge

  5. Structural and optical characterization of highly anisotropic low loss Al:ZnO/ZnO multilayered metamaterial with hyperbolic dispersion grown by pulsed layer deposition

    NASA Astrophysics Data System (ADS)

    Kelly, Priscilla; Zhang, Wenrui; Liu, Mingzhao; Kuznetsova, Lyuba

    2017-08-01

    Transparent conductive oxide materials have shown unique optical properties, such as negative refraction, hyperbolic dispersion, and epsilon-near-zero dispersion. In particular, aluminum-doped zinc oxide (Al:ZnO) has shown the most promising results over traditionally used noble metals. Pulsed layer deposition is a popular technique due to its fast and controlled growth rate, as well as the stoichiometric target-to-substrate material transfer. But, since it uses large and inhomogeneous kinetic energy, samples could be prone to macro- and microscopic defects. In this work, we investigate multilayered samples of Al:ZnO/ZnO grown by pulsed laser deposition with the goal of developing a low-loss metamaterial with hyperbolic dispersion. Different fabrication conditions, such as Al:ZnO/ZnO ratio, the thickness of an individual layer, different substrates, and deposition temperatures, were investigated. Results of the ellipsometry analysis, based on fitting spectroscopy data using the Berreman formalism, show that the hyperbolic dispersion transition (Re ɛ∥>0, Re ɛ⊥< 0) is achieved at λc=1868 nm wavelength (Im (ɛ⊥) 0.03) for samples with 1:4 Al:ZnO/ZnO deposition ratio. The fitted dielectric functions for samples with various parameters show that a lower deposition temperature leads to a shorter transition wavelength.

  6. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  7. Strained multilayer structures with pseudomorphic GeSiSn layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Timofeev, V. A., E-mail: Vyacheslav.t@isp.nsc.ru; Nikiforov, A. I.; Tuktamyshev, A. R.

    2016-12-15

    The temperature and composition dependences of the critical thickness of the 2D–3D transition for a GeSiSn film on Si(100) have been studied. The regularities of the formation of multilayer structures with pseudomorphic GeSiSn layers directly on a Si substrate, without relaxed buffer layers, were investigated for the first time. The possibility of forming multilayer structures based on pseudomorphic GeSiSn layers has been shown and the lattice parameters have been determined using transmission electron microscopy. The grown structures demonstrate photoluminescence for Sn contents from 3.5 to 5% in GeSiSn layers.

  8. Near-ultraviolet micro-Raman study of diamond grown on GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nazari, M., E-mail: m-n79@txstate.edu; Hancock, B. L.; Anderson, J.

    2016-01-18

    Ultraviolet (UV) micro-Raman measurements are reported of diamond grown on GaN using chemical vapor deposition. UV excitation permits simultaneous investigation of the diamond (D) and disordered carbon (DC) comprising the polycrystalline layer. From line scans of a cross-section along the diamond growth direction, the DC component of the diamond layer is found to be highest near the GaN-on-diamond interface and diminish with characteristic length scale of ∼3.5 μm. Transmission electron microscopy (TEM) of the diamond near the interface confirms the presence of DC. Combined micro-Raman and TEM are used to develop an optical method for estimating the DC volume fraction.

  9. Epitaxially Self-Assembled Alkane Layers for Graphene Electronics.

    PubMed

    Yu, Young-Jun; Lee, Gwan-Hyoung; Choi, Ji Il; Shim, Yoon Su; Lee, Chul-Ho; Kang, Seok Ju; Lee, Sunwoo; Rim, Kwang Taeg; Flynn, George W; Hone, James; Kim, Yong-Hoon; Kim, Philip; Nuckolls, Colin; Ahn, Seokhoon

    2017-02-01

    The epitaxially grown alkane layers on graphene are prepared by a simple drop-casting method and greatly reduce the environmentally driven doping and charge impurities in graphene. Multiscale simulation studies show that this enhancement of charge homogeneity in graphene originates from the lifting of graphene from the SiO 2 surface toward the well-ordered and rigid alkane self-assembled layers. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  11. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  12. Negative charge trapping effects in Al{sub 2}O{sub 3} films grown by atomic layer deposition onto thermally oxidized 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schilirò, Emanuela, E-mail: emanuela.schiliro@imm.cnr.it; Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania; Lo Nigro, Raffaella

    This letter reports on the negative charge trapping in Al{sub 2}O{sub 3} thin films grown by atomic layer deposition onto oxidized silicon carbide (4H-SiC). The films exhibited a permittivity of 8.4, a breakdown field of 9.2 MV/cm and small hysteresis under moderate bias cycles. However, severe electron trapping inside the Al{sub 2}O{sub 3} film (1 × 10{sup 12} cm{sup −2}) occurs upon high positive bias stress (>10 V). Capacitance-voltage measurements at different temperatures and stress conditions have been used to determine an activation energy of 0.1 eV. The results provide indications on the possible nature of the trapping defects and,more » hence, on the strategies to improve this technology for 4H-SiC devices.« less

  13. Spontaneous perpendicular exchange bias effect in L10-MnGa/FeMn bilayers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, X. P.; Lu, J.; Mao, S. W.; Yu, Z. F.; Wei, D. H.; Zhao, J. H.

    2018-01-01

    We report on the spontaneous perpendicular exchange bias effect in as-grown L10-MnGa/FeMn bilayers. An FeMn layer with different thicknesses is introduced as an antiferromagnetic layer to couple with single-crystalline ferromagnetic L10-MnGa, which is epitaxially grown on a GaAs (001) substrate by molecular-beam epitaxy. The perpendicular exchange bias shows a strong dependence on both the thickness of the FeMn layer and the measurement temperature. A large spontaneous perpendicular exchange bias up to 8.9 kOe is achieved in L10-MnGa/FeMn bilayers at 5 K without any external magnetic treatment. The corresponding effective interfacial exchange energy Jeff is estimated to be 1.4 mJ/m2. The spontaneous perpendicular exchange bias effect in the (001) textured L10-MnGa/FeMn bilayers paves the way for spintronic devices based on exchange biased perpendicularly magnetized materials.

  14. Ferromagnetism in CVT grown tungsten diselenide single crystals with nickel doping

    NASA Astrophysics Data System (ADS)

    Habib, Muhammad; Muhammad, Zahir; Khan, Rashid; Wu, Chuanqiang; Rehman, Zia ur; Zhou, Yu; Liu, Hengjie; Song, Li

    2018-03-01

    Two dimensional (2D) single crystal layered transition materials have had extensive consideration owing to their interesting magnetic properties, originating from their lattices and strong spin-orbit coupling, which make them of vital importance for spintronic applications. Herein, we present synthesis of a highly crystalline tungsten diselenide layered single crystal grown by chemical vapor transport technique and doped with nickel (Ni) to tailor its magnetic properties. The pristine WSe2 single crystal and Ni-doped crystal were characterized and analyzed for magnetic properties using both experimental and computational aspects. It was found that the magnetic behavior of the 2D layered WSe2 crystal changed from diamagnetic to ferromagnetic after Ni-doping at all tested temperatures. Moreover, first principle density functional theory (DFT) calculations further confirmed the origin of room temperature ferromagnetism of Ni-doped WSe2, where the d-orbitals of the doped Ni atom promoted the spin moment and thus largely contributed to the magnetism change in the 2D layered material.

  15. New CVD-based method for the growth of high-quality crystalline zinc oxide layers

    NASA Astrophysics Data System (ADS)

    Huber, Florian; Madel, Manfred; Reiser, Anton; Bauer, Sebastian; Thonke, Klaus

    2016-07-01

    High-quality zinc oxide (ZnO) layers were grown using a new chemical vapour deposition (CVD)-based low-cost growth method. The process is characterized by total simplicity, high growth rates, and cheap, less hazardous precursors. To produce elementary zinc vapour, methane (CH4) is used to reduce a ZnO powder. By re-oxidizing the zinc with pure oxygen, highly crystalline ZnO layers were grown on gallium nitride (GaN) layers and on sapphire substrates with an aluminum nitride (AlN) nucleation layer. Using simple CH4 as precursor has the big advantage of good controllability and the avoidance of highly toxic gases like nitrogen oxides. In photoluminescence (PL) measurements the samples show a strong near-band-edge emission and a sharp line width at 5 K. The good crystal quality has been confirmed in high resolution X-ray diffraction (HRXRD) measurements. This new growth method has great potential for industrial large-scale production of high-quality single crystal ZnO layers.

  16. Abrupt GaN/p-GaN:Mg junctions grown via metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Gupta, Chirag; Alhassan, Abdullah; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-11-01

    An improvement in the suppression of surface riding of magnesium from p-GaN:Mg into subsequent layers was achieved via low temperature flow modulation epitaxy. In particular, the slope of the Mg concentration drop was reduced to 5 nm/dec for a growth temperature of 620 °C — the lowest value ever reported for metalorganic chemical vapor deposition. The electrical quality of the top layer was verified by creating a two-dimensional electron gas on top of the buried p-GaN layer, which exhibited a mobility of 1300 cm2 V-1 s-1. In addition, layers grown using flow modulation epitaxy were shown to block the propagation of Mg more efficiently than samples in which an ex situ wet etch was used.

  17. Design of a three-layer antireflection coating for high efficiency indium phosphide solar cells using a chemical oxide as first layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moulot, J.; Faur, M.; Faur, M.

    1995-10-01

    It is well known that the behavior of III-V compound based solar cells is largely controlled by their surface, since the majority of light generated carriers (63% for GaAs and 79% for InP) are created within 0.2 mu m of the surface of the illuminated cell. Consequently, the always observed high surface recombination velocity (SRV) on these cells is a serious limiting factor for their high efficiency performance, especially for those with p-n junction made by either thermal diffusion or ion implantation. A good surface passivation layer, ideally a grown oxide as opposed to a deposited one, will cause amore » significant reduction in the SRV without adding interface problems, thus improving the performance of III-V compound based solar cells. Another significant benefit to the overall performance of the solar cells can be achieved by a substantial reduction of their large surface optical reflection by the use of a well designed antireflection (AR) coating. In this paper, the authors demonstrate the effectiveness of using a chemically grown thermally and chemically stable oxide, not only for surface passivation but also as an integral part of a 3-layer AR coating for thermally diffused p+n InP solar cells. A phosphorus-rich interfacial oxide, In(PO3)3, is grown at the surface of the p+ emitter using an etchant based on HNO3, o-H3PO4 and H2O2. This oxide has the unique properties of passivating the surface as well as serving as an efficient antireflective layer yielding a measured record high AMO open-circuit voltage of 890.3 mV on a thermally diffused InP(Cd,S) solar cell. Unlike conventional single layer AR coatings such as ZnS, Sb2O3, SiO or double layer AR coatings such as ZnS/MgF2 deposited by e-beam or resistive evaporation, this oxide preserves the stoichiometry of the InP surface.« less

  18. Detachment of CVD-grown graphene from single crystalline Ni films by a pure gas phase reaction

    NASA Astrophysics Data System (ADS)

    Zeller, Patrick; Henß, Ann-Kathrin; Weinl, Michael; Diehl, Leo; Keefer, Daniel; Lippmann, Judith; Schulz, Anne; Kraus, Jürgen; Schreck, Matthias; Wintterlin, Joost

    2016-11-01

    Despite great previous efforts there is still a high need for a simple, clean, and upscalable method for detaching epitaxial graphene from the metal support on which it was grown. We present a method based on a pure gas phase reaction that is free of solvents and polymer supports and avoids mechanical transfer steps. The graphene was grown on 150 nm thick, single crystalline Ni(111) films on Si(111) wafers with YSZ buffer layers. Its quality was monitored by using low energy electron diffraction and scanning tunneling microscopy. The gas phase etching uses a chemical transport reaction, the so-called Mond process, based on the formation of gaseous nickel tetracarbonyl in 1 bar of CO at 75 °C and by adding small amounts of sulfide catalysts. X-ray photoelectron spectroscopy, Raman spectroscopy and scanning electron microscopy were used to characterize the detached graphene. It was found that the method successfully removes the nickel from underneath the graphene layer, so that the graphene lies on the insulating oxide buffer layer. Small residual particles of nickel sulfide and cracks in the obtained graphene layer were identified. The defect concentrations were comparable to graphene samples obtained by wet chemical etching and by the bubbling transfer.

  19. Developmental, nutritional and hormonal anomalies of weightlessness-grown wheat.

    PubMed

    Carman, J G; Hole, P; Salisbury, F B; Bingham, G E

    2015-07-01

    The behavior of water in weightlessness, as occurs in orbiting spacecraft, presents multiple challenges for plant growth. Soils remain saturated, impeding aeration, and leaf surfaces remain wet, impeding gas exchange. Herein we report developmental and biochemical anomalies of "Super Dwarf" wheat (Triticum aestivum L.) grown aboard Space Station Mir during the 1996-97 "Greenhouse 2" experiment. Leaves of Mir-grown wheat were hyperhydric, senesced precociously and accumulated aromatic and branched-chain amino acids typical of tissues experiencing oxidative stress. The highest levels of stress-specific amino acids occurred in precociously-senescing leaves. Our results suggest that the leaf ventilation system of the Svet Greenhouse failed to remove sufficient boundary layer water, thus leading to poor gas exchange and onset of oxidative stress. As oxidative stress in plants has been observed in recent space-flight experiments, we recommend that percentage water content in apoplast free-spaces of leaves be used to evaluate leaf ventilation effectiveness. Mir-grown plants also tillered excessively. Crowns and culms of these plants contained low levels of abscisic acid but high levels of cytokinins. High ethylene levels may have suppressed abscisic acid synthesis, thus permitting cytokinins to accumulate and tillering to occur. Copyright © 2015 The Committee on Space Research (COSPAR). Published by Elsevier Ltd. All rights reserved.

  20. Structural tuning of residual conductivity in highly mismatched III-V layers

    DOEpatents

    Han, Jung; Figiel, Jeffrey J.

    2002-01-01

    A new process to control the electrical conductivity of gallium nitride layers grown on a sapphire substrate has been developed. This process is based on initially coating the sapphire substrate with a thin layer of aluminum nitride, then depositing the gallium nitride thereon. This process allows one to controllably produce gallium nitride layers with resistivity varying over as much as 10 orders of magnitude, without requiring the introduction and activation of suitable dopants.

  1. Dependence of seed layer thickness on sensitivity of nano-ZnO cholesterol biosensor

    NASA Astrophysics Data System (ADS)

    Lu, Yang-Ming; Wang, Po-Chin; Tang, Jian-Fu; Chu, Sheng-Yuan

    2017-01-01

    The anemone-like ZnO nanostructures have been synthesized by hydrothermal method and were further adsorbed immobilized cholesterol oxidase (ChOx) as a nano-biosensor. In this study, the sensitivity of biosensor were improved by varying the thickness of the ZnO seed layer. The SEM analysis showed changes in thickness of seed layer will not affect the morphologies of anemone-like ZnO nanostructures. The X-ray Diffraction patterns showed that the (002) plane of anemone-like ZnO grown on various thickness of the seed layer was more prouded than other crystal plane. Abioelectrode (ChOx/ZnO/ITO/glass) grown on the 30nm of ZnO seed layer with high sensitivity of 57.533μAmM-1cm-2 (1.488 μA (mg/dl) -1cm-2), a wide sensitive range from 25 to 500 mg/dl. It is concluded that the thinner sputtered ZnO seed layer for growing anemone-like ZnO nanostructure can effectively improve the sensitivity of the ZnO biosensor.

  2. High-performance ultraviolet photodetectors based on solution-grown ZnS nanobelts sandwiched between graphene layers

    PubMed Central

    Kim, Yeonho; Kim, Sang Jin; Cho, Sung-Pyo; Hong, Byung Hee; Jang, Du-Jeon

    2015-01-01

    Ultraviolet (UV) light photodetectors constructed from solely inorganic semiconductors still remain unsatisfactory because of their low electrical performances. To overcome this limitation, the hybridization is one of the key approaches that have been recently adopted to enhance the photocurrent. High-performance UV photodetectors showing stable on-off switching and excellent spectral selectivity have been fabricated based on the hybrid structure of solution-grown ZnS nanobelts and CVD-grown graphene. Sandwiched structures and multilayer stacking strategies have been applied to expand effective junction between graphene and photoactive ZnS nanobelts. A multiply sandwich-structured photodetector of graphene/ZnS has shown a photocurrent of 0.115 mA under illumination of 1.2 mWcm−2 in air at a bias of 1.0 V, which is higher 107 times than literature values. The multiple-sandwich structure of UV-light sensors with graphene having high conductivity, flexibility, and impermeability is suggested to be beneficial for the facile fabrication of UV photodetectors with extremely efficient performances. PMID:26197784

  3. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  4. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  5. Heusler alloys with bcc tungsten seed layers for GMR junctions

    NASA Astrophysics Data System (ADS)

    Frost, William; Hirohata, Atsufumi

    2018-05-01

    We demonstrate that polycrystalline Co2FeSi Heusler alloys films can be grown with perpendicular anisotropy without the use of an MgO interface. By heating the substrate to 400 °C prior to deposition and using a tungsten seed layer perpendicular anisotropy is induced in the Heusler layer. This is maintained as the thickness of the Co2FeSi is increased up to 12.5 nm. The layers with thickness dependent coercivity can be implemented into a giant magnetoresistance structure leading to spin-valve behaviour without the need for an exchange biased pinned layer.

  6. Germanium layers grown by zone thermal crystallization from a discrete liquid source

    NASA Astrophysics Data System (ADS)

    Yatsenko, A. N.; Chebotarev, S. N.; Lozovskii, V. N.; Mohamed, A. A. A.; Erimeev, G. A.; Goncharova, L. M.; Varnavskaya, A. A.

    2017-11-01

    It is proposed and investigated a method for growing thin uniform germanium layers onto large silicon substrates. The technique uses the hexagonally arranged local sources filled with liquid germanium. Germanium evaporates on very close substrate and in these conditions the residual gases vapor pressure highly reduces. It is shown that to achieve uniformity of the deposited layer better than 97% the critical thickness of the vacuum zone must be equal to l cr = 1.2 mm for a hexagonal arranged system of round local sources with the radius of r = 0.75 mm and the distance between the sources of h = 0.5 mm.

  7. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  8. Drastic reduction of adsorption of CO and H2 on (111)-type Pd layers

    NASA Technical Reports Server (NTRS)

    Poppa, H.; Soria, F.

    1983-01-01

    Clean surfaces of (111)-type Pd layers, grown from the vapor phase on Mo(110) at room temperature, were used to study the adsorption of CO and H2 by temperature-programmed desorption, Auger electron spectroscopy, and low-energy electron diffraction. Mild annealing of the as-grown layers during a single desorption cycle (to about 600 K) drastically reduces the adsorption for both adsorbates. Low-dose argon-ion bombardment introduces surface imperfections which restore a high adsorption probability. The results are interpreted in terms of particular (111)-type surface structures that persist tp layer thicknesses of about four monolayers; the results raise questions with respect to the surface structure of supported thin epitaxial islands and particles of Pd and possibly also with respect to conventional methods of preparing bulk surfaces of Pd for adsorption studies.

  9. STM study of the Ga thin films grown on Si(111) surface

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Ye, Juan; Hao, Shao-Jie; Xiao, Hua-Fang; Wang, Ya-Li; Xie, Zheng-Bo; Wang, Jun-Zhong

    2017-09-01

    Structural evolution of Ga thin films grown on the Si(111)-√{ 3 } × √{ 3 } -Ga template have been investigated with a low-temperature scanning tunneling microscopy (STM). The first Ga layer exhibits a stripe structure along the base vectors of Si(111) lattices. Individual Ga dimers have been directly visualized from the high-resolution STM images of the first Ga layer. The second Ga layer reveals a pseudo 1×1 structure with respect to the Si(111). A new 5×5 phase has been found in the second Ga layer when annealing the sample to 120 ℃. Further annealing to 150 ℃ leads to the formation of 6.3×6.3 phase, which is more stable than the 5×5 phase. The existences of a variety of superstructures of Ga films demonstrates the delicate balance between the interactions of Si(111)-Ga and Ga-Ga. These results shed important light on the epitaxial growth mechanism of Ga films on semiconductor surfaces.

  10. Stencil lithography of superconducting contacts on MBE-grown topological insulator thin films

    NASA Astrophysics Data System (ADS)

    Schüffelgen, Peter; Rosenbach, Daniel; Neumann, Elmar; Stehno, Martin P.; Lanius, Martin; Zhao, Jialin; Wang, Meng; Sheehan, Brendan; Schmidt, Michael; Gao, Bo; Brinkman, Alexander; Mussler, Gregor; Schäpers, Thomas; Grützmacher, Detlev

    2017-11-01

    Topological insulator (Bi0.06Sb0.94)2Te3 thin films grown by molecular beam epitaxy have been capped in-situ with a 2 nm Al film to conserve the pristine topological surface states. Subsequently, a shadow mask - structured by means of focus ion beam - was in-situ placed underneath the sample to deposit a thick layer of Al on well-defined microscopically small areas. The 2 nm thin Al layer fully oxidizes after exposure to air and in this way protects the TI surface from degradation. The thick Al layer remains metallic underneath a 3-4 nm thick native oxide layer and therefore serves as (super-) conducting contacts. Superconductor-Topological Insulator-Superconductor junctions with lateral dimensions in the nm range have then been fabricated via an alternative stencil lithography technique. Despite the in-situ deposition, transport measurements and transmission electron microscope analysis indicate a low transparency, due to an intermixed region at the interface between topological insulator thin film and metallic Al.

  11. Antimicrobial-Resistant Campylobacter in Organically and Conventionally Raised Layer Chickens.

    PubMed

    Kassem, Issmat I; Kehinde, Olugbenga; Kumar, Anand; Rajashekara, Gireesh

    2017-01-01

    Poultry is a major source of Campylobacter, which can cause foodborne bacterial gastroenteritis in humans. Additionally, poultry-associated Campylobacter can develop resistance to important antimicrobials, which increases the risk to public health. While broiler chickens have been the focus of many studies, the emergence of antimicrobial-resistant Campylobacter on layer farms has not received equal attention. However, the growing popularity of cage-free and organic layer farming necessitates a closer assessment of (1) the impact of these farming practices on the emergence of antimicrobial-resistant Campylobacter and (2) layers as a potential source for the transmission of these pathogens. Here, we showed that the prevalence of Campylobacter on organic and conventional layer farms was statistically similar (p > 0.05). However, the average number of Campylobacter jejuni-positive organically grown hens was lower (p < 0.05) in comparison to conventionally grown hens. Campylobacter isolated from both production systems carried antimicrobial resistance genes. The tet(O) and cmeB were the most frequently detected genes, while the occurrence of aph-3-1 and blaOXA-61 was significantly lower (p < 0.05). Farming practices appeared to have an effect on the antimicrobial resistance phenotype, because the isolates from organically grown hens on two farms (OF-2 and OF-3) exhibited significantly lower resistance (p < 0.05) to ciprofloxacin, erythromycin, and tylosin. However, on one of the sampled organic farms (OF-1), a relatively high number of antimicrobial-resistant Campylobacter were isolated. We conclude that organic farming can potentially impact the emergence of antimicrobial-resistant Campylobacter. Nevertheless, this impact should be regularly monitored to avoid potential relapses.

  12. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001) Patterned Si Substrates by Metal Organic Chemical Vapor Deposition.

    PubMed

    Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O; Taylor, Aidan; Isaac, Brandon; Bowers, John E; Klamkin, Jonathan

    2018-02-26

    We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO₂) stripes and oriented along the [110] direction. Undercut at the Si/SiO₂ interface was used to reduce the propagation of defects into the III-V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 10⁸/cm² and 1.2 nm; respectively and 7.8 × 10⁷/cm² and 10.8 nm for the GaAs-on-Si layer.

  13. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001) Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    PubMed Central

    Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O.; Taylor, Aidan; Isaac, Brandon; Klamkin, Jonathan

    2018-01-01

    We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2) stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer. PMID:29495381

  14. Nucleation and Early Stages of Layer-by-Layer Growth of Metal Organic Frameworks on Surfaces

    PubMed Central

    2015-01-01

    High resolution atomic force microscopy (AFM) is used to resolve the evolution of crystallites of a metal organic framework (HKUST-1) grown on Au(111) using a liquid-phase layer-by-layer methodology. The nucleation and faceting of individual crystallites is followed by repeatedly imaging the same submicron region after each cycle of growth and we find that the growing surface is terminated by {111} facets leading to the formation of pyramidal nanostructures for [100] oriented crystallites, and triangular [111] islands with typical lateral dimensions of tens of nanometres. AFM images reveal that crystallites can grow by 5–10 layers in each cycle. The growth rate depends on crystallographic orientation and the morphology of the gold substrate, and we demonstrate that under these conditions the growth is nanocrystalline with a morphology determined by the minimum energy surface. PMID:26709359

  15. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  16. Cryogenic target system for hydrogen layering

    DOE PAGES

    Parham, T.; Kozioziemski, B.; Atkinson, D.; ...

    2015-11-24

    Here, a cryogenic target positioning system was designed and installed on the National Ignition Facility (NIF) target chamber. This instrument incorporates the ability to fill, form, and characterize the NIF targets with hydrogen isotopes needed for ignition experiments inside the NIF target bay then transport and position them in the target chamber. This effort brought to fruition years of research in growing and metrologizing high-quality hydrogen fuel layers and landed it in an especially demanding operations environment in the NIF facility. D-T (deuterium-tritium) layers for NIF ignition experiments have extremely tight specifications and must be grown in a very highlymore » constrained environment: a NIF ignition target inside a cryogenic target positioner inside the NIF target bay. Exquisite control of temperature, pressure, contaminant level, and thermal uniformity are necessary throughout seed formation and layer growth to create an essentially-groove-free single crystal layer.« less

  17. Frictional behavior of atomically thin sheets: hexagonal-shaped graphene islands grown on copper by chemical vapor deposition.

    PubMed

    Egberts, Philip; Han, Gang Hee; Liu, Xin Z; Johnson, A T Charlie; Carpick, Robert W

    2014-05-27

    Single asperity friction experiments using atomic force microscopy (AFM) have been conducted on chemical vapor deposited (CVD) graphene grown on polycrystalline copper foils. Graphene substantially lowers the friction force experienced by the sliding asperity of a silicon AFM tip compared to the surrounding oxidized copper surface by a factor ranging from 1.5 to 7 over loads from the adhesive minimum up to 80 nN. No damage to the graphene was observed over this range, showing that friction force microscopy serves as a facile, high contrast probe for identifying the presence of graphene on Cu. Consistent with studies of epitaxially grown, thermally grown, and mechanically exfoliated graphene films, the friction force measured between the tip and these CVD-prepared films depends on the number of layers of graphene present on the surface and reduces friction in comparison to the substrate. Friction results on graphene indicate that the layer-dependent friction properties result from puckering of the graphene sheet around the sliding tip. Substantial hysteresis in the normal force dependence of friction is observed with repeated scanning without breaking contact with a graphene-covered region. Because of the hysteresis, friction measured on graphene changes with time and maximum applied force, unless the tip slides over the edge of the graphene island or contact with the surface is broken. These results also indicate that relatively weak binding forces exist between the copper foil and these CVD-grown graphene sheets.

  18. The effect of Bi composition on the properties of InP{sub 1−x}Bi{sub x} grown by liquid phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, T. D., E-mail: tddas@hotmail.com

    InP{sub 1−x}Bi{sub x} epilayers (x ≥ 1.2%) on InP (001) are grown reproducibly by liquid phase epitaxy with conventional solution baking in a H{sub 2} environment. The Bi composition and surface morphology of the grown layers are studied by secondary ion mass spectroscopy and atomic force microscopy, respectively. High-resolution x-ray diffraction is used to characterize the lattice parameters and the crystalline quality of the layers. 10 K photoluminescence measurements indicate three clearly resolved peaks in undoped InP layers with band-to-band transition at 1.42 eV which is redshifted with Bi incorporation in the layer with a maximum band gap reduction of 50 meV/% Bi. The effectmore » is attributed to the interaction between the valence band edge and Bi-related defect states as is explained here by valence-band anticrossing model. Room temperature Hall measurements indicate that the mobility of the layer is not significantly affected for Bi concentration up to 1.2%.« less

  19. Flexible IZO/Ag/IZO/Ag multilayer electrode grown on a polyethylene terephthalate substrate using roll-to-roll sputtering

    PubMed Central

    2012-01-01

    We investigated the optical, electrical, structural, and surface properties of roll-to-roll [R2R] sputter-grown flexible IZO/Ag/IZO/Ag [IAIA] multilayer films on polyethylene terephthalate substrates as a function of the top indium zinc oxide [IZO] thickness. It was found that the optical transmittance of the IAIA multilayer was significantly influenced by the top IZO layer thickness, which was grown on identical AIA multilayers. However, the sheet resistance of the IAIA multilayer was maintained between the range 5.01 to 5.1 Ω/square regardless of the top IZO thickness because the sheet resistance of the IAIA multilayer was mainly dependent on the thickness of the Ag layers. Notably, the optimized IAIA multilayer had a constant resistance change (ΔR/R0) under repeated outer bending tests with a radius of 10 mm. The mechanical integrity of the R2R-sputtered IAIA multilayer indicated that hybridization of an IZO and Ag metal layer is a promising flexible electrode scheme for the next-generation flexible optoelectronics. PMID:22222144

  20. Positron annihilation on the surfaces of SiO 2 films thermally grown on single crystal of Cz-Si

    NASA Astrophysics Data System (ADS)

    Deng, Wen; Yue, Li; Zhang, Wei; Cheng, Xu-xin; Zhu, Yan-yan; Huang, Yu-yang

    2009-09-01

    Two-detector coincidence system and mono-energetic slow positron beam has been applied to measure the Doppler broadening spectra for single crystals of SiO2, SiO2 films with different thickness thermally grown on single crystal of Cz-Si, and single crystal of Si without oxide film. Oxygen is recognized as a peak at about 11.85 × 10-3m0c on the ratio curves. The S parameters decrease with the increase of positron implantation energy for the single crystal of SiO2 and Si without oxide film. However, for the thermally grown SiO2-Si sample, the S parameters in near surface of the sample increase with positron implantation energy. It is due to the formation of silicon oxide at the surface, which lead to lower S value. S and W parameters vary with positron implantation depth indicate that the SiO2-Si system consist of a surface layer, a SiO2 layer, a SiO2-Si interface layer and a semi-infinite Si substrate.

  1. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  2. Characterization of SiGe/Ge heterostructures and graded layers using variable angle spectroscopic ellipsometry

    NASA Technical Reports Server (NTRS)

    Croke, E. T.; Wang, K. L.; Heyd, A. R.; Alterovitz, S. A.; Lee, C. H.

    1996-01-01

    Variable angle spectroscopic ellipsometry (VASE) has been used to characterize Si(x)Ge(1-x)/Ge superlattices (SLs) grown on Ge substrates and thick Si(x)Ge(1-x)/Ge heterostructures grown on Si substrates. Our VASE analysis yielded the thicknesses and alloy compositions of all layers within the optical penetration depth of the surface. In addition, strain effects were observed in the VASE results for layers under both compressive and tensile strain. Results for the SL structures were found to be in close agreement with high resolution x-ray diffraction measurements made on the same samples. The VASE analysis has been upgraded to characterize linearly graded Si(x)Ge(1-x) buffer layers. The algorithm has been used to determine the total thickness of the buffer layer along with the start and end alloy composition by breaking the total thickness into many (typically more than 20) equal layers. Our ellipsometric results for 1 (mu)m buffer layers graded in the ranges 0.7 less than or = x less than or = 1.0, and 0.5 less than or = x less than or = 1.0 are presented, and compare favorably with the nominal values.

  3. Fabrication of heterojunction solar cells by improved tin oxide deposition on insulating layer

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1980-01-01

    Highly efficient tin oxide-silicon heterojunction solar cells are prepared by heating a silicon substrate, having an insulating layer thereon, to provide a substrate temperature in the range of about 300.degree. C. to about 400.degree. C. and thereafter spraying the so-heated substrate with a solution of tin tetrachloride in a organic ester boiling below about 250.degree. C. Preferably the insulating layer is naturally grown silicon oxide layer.

  4. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    NASA Astrophysics Data System (ADS)

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices.

  5. Fabrication of magnetic tunnel junctions with epitaxial and textured ferromagnetic layers

    DOEpatents

    Chang, Y. Austin; Yang, Jianhua Joshua

    2008-11-11

    This invention relates to magnetic tunnel junctions and methods for making the magnetic tunnel junctions. The magnetic tunnel junctions include a tunnel barrier oxide layer sandwiched between two ferromagnetic layers both of which are epitaxial or textured with respect to the underlying substrate upon which the magnetic tunnel junctions are grown. The magnetic tunnel junctions provide improved magnetic properties, sharper interfaces and few defects.

  6. Efficient Planar Structured Perovskite Solar Cells with Enhanced Open-Circuit Voltage and Suppressed Charge Recombination Based on a Slow Grown Perovskite Layer from Lead Acetate Precursor.

    PubMed

    Li, Cong; Guo, Qiang; Wang, Zhibin; Bai, Yiming; Liu, Lin; Wang, Fuzhi; Zhou, Erjun; Hayat, Tasawar; Alsaedi, Ahmed; Tan, Zhan'ao

    2017-12-06

    For planar structured organic-inorganic hybrid perovskite solar cells (PerSCs) with the poly(3,4-ethylenedioxythiophene:polystyrene sulfonate) (PEDOT:PSS) hole transport layer, the open-circuit voltage (V oc ) of the device is limited to be about 1.0 V, resulting in inferior performance in comparison with TiO 2 -based planar counterparts. Therefore, increasing V oc of the PEDOT:PSS-based planar device is an important way to enhance the efficiency of the PerSCs. Herein, we demonstrate a novel approach for perovskite film formation and the film is formed by slow growth from lead acetate precursor via a one-step spin-coating process without the thermal annealing (TA) process. Because the perovskite layer grows slowly and naturally, high-quality perovskite film can be achieved with larger crystalline particles, less defects, and smoother surface morphology. Ultraviolet absorption, X-ray diffraction, scanning electron microscopy, steady-state fluorescence spectroscopy (photoluminescence), and time-resolved fluorescence spectroscopy are used to clarify the crystallinity, morphology, and internal defects of perovskite thin films. The power conversion efficiency of p-i-n PerSCs based on slow-grown film (16.33%) shows greatly enhanced performance compared to that of the control device based on traditional thermally annealed perovskite film (14.33%). Furthermore, the V oc of the slow-growing device reaches 1.12 V, which is 0.1 V higher than that of the TA device. These findings indicate that slow growth of the perovskite layer from lead acetate precursor is a promising approach to achieve high-quality perovskite film for high-performance PerSCs.

  7. Superconductivity in few-layer stanene

    NASA Astrophysics Data System (ADS)

    Liao, Menghan; Zang, Yunyi; Guan, Zhaoyong; Li, Haiwei; Gong, Yan; Zhu, Kejing; Hu, Xiao-Peng; Zhang, Ding; Xu, Yong; Wang, Ya-Yu; He, Ke; Ma, Xu-Cun; Zhang, Shou-Cheng; Xue, Qi-Kun

    2018-04-01

    A single atomic slice of α-tin—stanene—has been predicted to host the quantum spin Hall effect at room temperature, offering an ideal platform to study low-dimensional and topological physics. Although recent research has focused on monolayer stanene, the quantum size effect in few-layer stanene could profoundly change material properties, but remains unexplored. By exploring the layer degree of freedom, we discover superconductivity in few-layer stanene down to a bilayer grown on PbTe, while bulk α-tin is not superconductive. Through substrate engineering, we further realize a transition from a single-band to a two-band superconductor with a doubling of the transition temperature. In situ angle-resolved photoemission spectroscopy (ARPES) together with first-principles calculations elucidate the corresponding band structure. The theory also indicates the existence of a topologically non-trivial band. Our experimental findings open up novel strategies for constructing two-dimensional topological superconductors.

  8. Coalescence induced dislocation reduction in selectively grown lattice-mismatched heteroepitaxy: Theoretical prediction and experimental verification

    NASA Astrophysics Data System (ADS)

    Yako, Motoki; Ishikawa, Yasuhiko; Wada, Kazumi

    2018-05-01

    A method for reduction of threading dislocation density (TDD) in lattice-mismatched heteroepitaxy is proposed, and the reduction is experimentally verified for Ge on Si. Flat-top epitaxial layers are formed through coalescences of non-planar selectively grown epitaxial layers, and enable the TDD reduction in terms of image force. Numerical calculations and experiments for Ge on Si verify the TDD reduction by this method. The method should be applicable to not only Ge on Si but also other lattice-mismatched heteroepitaxy such as III-V on Si.

  9. Microscopic Electronic and Mechanical Properties of Ultra-Thin Layered Materials

    DTIC Science & Technology

    2016-07-25

    Graphene single layers grown by chemical vapor deposition on single crystal Cu substrates are subject to nonuniform physisorption strains that...the observed highly nonuniform strains. 4. Connecting dopant bond type with electronic structure in N-doped graphene (reference [4]) Robust methods

  10. High resolution x-ray diffraction of high quality 2 micron quaternary indium gallium arsenide antimonide digital alloy heterostructures grown by modulated molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Mourad, Carole Issa

    2000-10-01

    Growth of high quality mixed anion alloys such as InGaAsSb and AlGaAsSb are critical to laser heterostructures designed for 2--4 micron emission. However, run-to-run reproducibility as well as the ability to reproducibly change alloy compositions within a heterostructure tend to be poor. This is because the competition for incorporation between the two anions (As and Sb) is extremely sensitive to a large number of growth parameters such as temperature, incident fluxes, and growth rate, which may drift during the course of deposition, or are difficult to reset during growth. With the intent of improving reproducibility, we have grown and characterized InGaAsSb and AlGaAsSb "digital alloys" deposited using modulated incident As2 and Sb2 incident fluxes. In0.1Ga 0.9AsySb1-y alloy layers were grown by alternately exposing the film surface to As2 and Sb2 fluxes with a periodicity ranging from ˜9 to ˜22 A. Average alloy composition is determined by the duty-cycle of the anion-oven shutters. Structural characterization using high-resolution x-ray diffraction (HRXRD) shows clear satellite peaks indicating that the digital alloys retain the compositional modulation. Optical characterization using photoluminescence indicate that the digital alloys can successfully replace the conventionally grown quaternary alloys with the same average composition. In addition we have characterized digitally grown InGaAsSb layers using HRXRD and measured the sensitivity of the resulting average composition to the growth temperature. We find that the composition of In0.1Ga0.9AsySb1-y alloy layers grown digitally on GaSb substrates is nearly three times less sensitive to the growth temperature as conventional growth. Digital growth of InGaAsSb and AlGaAsSb layers has enabled the growth of heterostructures containing multiple alloy compositions by toggling between shutter duty-cycles during growth, without necessitating changes to the oven temperatures throughout deposition. We have grown and

  11. Layer-by-layer growth by pulsed laser deposition in the unit-cell limit.

    NASA Astrophysics Data System (ADS)

    Kareev, M.; Prosandeev, S.; Liu, J.; Ryan, P.; Freeland, J. W.; Chakhalian, J.

    2009-03-01

    Unlike conventional growth of complex oxide heterostructures, the ultimate unit cell limit imposes strict constrains for a multitude of parameters critical to layer-by-layer growth. Here we report on detailed analysis of far-from-equilibrium growth by interrupted pulsed laser deposition with application to RENiO3/LaAlO3 superlattices grown on a diverse set of substrates SrTiO3, NdGaO3, LSAT and LaAlO3. A combination of in-situ high-pressure RHEED and AFM along with extensive data obtained from synchrotron based XRD and resonant XAS allows us critically assess the meaning of RHEED intensity oscillation and the effect of a polar/non-polar interface on the heteroepitaxial growth. The role of defects formed during the initial stages of growth is also addressed.

  12. Heterojunction light emitting diodes fabricated with different n-layer oxide structures on p-GaN layers by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kong, Bo Hyun; Han, Won Suk; Kim, Young Yi; Cho, Hyung Koun; Kim, Jae Hyun

    2010-06-01

    We grew heterojunction light emitting diode (LED) structures with various n-type semiconducting layers by magnetron sputtering on p-type GaN at high temperature. Because the undoped ZnO used as an active layer was grown under oxygen rich atmosphere, all LED devices showed the EL characteristics corresponding to orange-red wavelength due to high density of oxygen interstitial, which was coincident with the deep level photoluminescence emission of undoped ZnO. The use of the Ga doped layers as a top layer provided the sufficient electron carriers to active region and resulted in the intense EL emission. The LED sample with small quantity of Mg incorporated in MgZnO as an n-type top layer showed more intense emission than the LED with ZnO, in spite of the deteriorated electrical and structural properties of the MgZnO film. This might be due to the improvement of output extraction efficiency induced by rough surface.

  13. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  14. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    NASA Astrophysics Data System (ADS)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  15. Growth and characterization of thin Cu-phthalocyanine films on MgO(001) layer for organic light-emitting diodes.

    PubMed

    Bae, Yu Jeong; Lee, Nyun Jong; Kim, Tae Hee; Cho, Hyunduck; Lee, Changhee; Fleet, Luke; Hirohata, Atsufumi

    2012-11-26

    Surface morphology and thermal stability of Cu-phthalocyanine (CuPc) films grown on an epitaxially grown MgO(001) layer were investigated by using atomic force microscope and X-ray diffractometer. The (002) textured β phase of CuPc films were prepared at room temperature beyond the epitaxial MgO/Fe/MgO(001) buffer layer by the vacuum deposition technique. The CuPc structure remained stable even after post-annealing at 350°C for 1 h under vacuum, which is an important advantage of device fabrication. In order to improve the device performance, we investigated also current-voltage-luminescence characteristics for the new top-emitting organic light-emitting diodes with different thicknesses of CuPc layer.

  16. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  17. Metallic atomically-thin layered silicon epitaxially grown on silicene/ZrB 2

    DOE PAGES

    Gill, Tobias G.; Fleurence, Antoine; Warner, Ben; ...

    2017-02-17

    We observe a new two-dimensional (2D) silicon crystal, using low energy electron diffraction (LEED) and scanning tunnelling microscopy (STM) and it's formed by depositing additional Si atoms onto spontaneously-formed epitaxial silicene on a ZrB 2 thin film. From scanning tunnelling spectroscopy (STS) studies, we find that this atomically-thin layered silicon has distinctly different electronic properties. Angle resolved photoelectron spectroscopy (ARPES) reveals that, in sharp contrast to epitaxial silicene, the layered silicon exhibits significantly enhanced density of states at the Fermi level resulting from newly formed metallic bands. Furthermore, the 2D growth of this material could allow for direct contacting tomore » the silicene surface and demonstrates the dramatic changes in electronic structure that can occur by the addition of even a single monolayer amount of material in 2D systems.« less

  18. Low Temperature Grown and Highly Non-Stoichiometric GaAs and Related Materials

    DTIC Science & Technology

    1994-08-03

    Ser. No. 67 (1983), p. 285.attributed to the nonuniformity of crystal growth 2T. Figielski, T. Wonsinski and A. Mokosa, Phys. Stat. Solidi (a) condition...1.75 pyramidal defect distribution was nonuniform in this pm; sample C, 1.6 pm; and sample D, 0.95 prm. Each sample, the defects being separated...the layers grown on [0011 oriented substrates whereas growth on the near [1101 substrates resulted in compositional nonuniformities , macrosteps for

  19. Catalytically enhanced thermal decomposition of chemically grown silicon oxide layers on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leroy, F., E-mail: leroy@cinam.univ-mrs.fr; Passanante, T.; Cheynis, F.

    2016-03-14

    The thermal decomposition of Si dioxide layers formed by wet chemical treatment on Si(001) has been studied by low-energy electron microscopy. Independent nucleations of voids occur into the Si oxide layers that open by reaction at the void periphery. Depending on the voids, the reaction rates exhibit large differences via the occurrence of a nonlinear growth of the void radius. This non-steady state regime is attributed to the accumulation of defects and silicon hydroxyl species at the SiO{sub 2}/Si interface that enhances the silicon oxide decomposition at the void periphery.

  20. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    NASA Astrophysics Data System (ADS)

    Freitas, Jaime A., Jr.; Feigelson, Boris N.; Anderson, Travis J.

    2013-11-01

    Detailed spectrometry and optical spectroscopy studies carried out on GaN crystals grown in solution detect and identify Mg as the dominant shallow acceptor. Selective etching of crystals with higher Mg levels than that of the donor concentration background indicates that Mg acceptors incorporate preferentially in the N-polar face. Electrical transport measurements verified an efficient incorporation and activation of the Mg acceptors. These results suggest that this growth method has the potential to produce p-type doped epitaxial layers or p-type substrates characterized by high hole concentration and low defect density.

  1. Space-charge behavior of 'Thin-MOS' diodes with MBE-grown silicon films

    NASA Technical Reports Server (NTRS)

    Lieneweg, U.; Bean, J. C.

    1984-01-01

    Basic theoretical and experimental characteristics of a novel 'Thin-MOS' technology, which has promising aspects for integrated high-frequency devices up to several hundred gigahertz are presented. The operation of such devices depends on charge injection into undoped silicon layers of about 1000-A thickness, grown by molecular beam epitaxy on heavily doped substrates, and isolation by thermally grown oxides of about 100-A thickness. Capacitance-voltage characteristics measured at high and low frequencies agree well with theoretical ones derived from uni and ambipolar space-charge models. It is concluded that after oxidation the residual doping in the epilayer is less than approximately 10 to the 16th/cu cm and rises by 3 orders of magnitude at the substrate interface within less than 100 A and that interface states at the oxide interface can be kept low.

  2. Spatial Atmospheric Pressure Atomic Layer Deposition of Tin Oxide as an Impermeable Electron Extraction Layer for Perovskite Solar Cells with Enhanced Thermal Stability.

    PubMed

    Hoffmann, Lukas; Brinkmann, Kai O; Malerczyk, Jessica; Rogalla, Detlef; Becker, Tim; Theirich, Detlef; Shutsko, Ivan; Görrn, Patrick; Riedl, Thomas

    2018-02-14

    Despite the notable success of hybrid halide perovskite-based solar cells, their long-term stability is still a key-issue. Aside from optimizing the photoactive perovskite, the cell design states a powerful lever to improve stability under various stress conditions. Dedicated electrically conductive diffusion barriers inside the cell stack, that counteract the ingress of moisture and prevent the migration of corrosive halogen species, can substantially improve ambient and thermal stability. Although atomic layer deposition (ALD) is excellently suited to prepare such functional layers, ALD suffers from the requirement of vacuum and only allows for a very limited throughput. Here, we demonstrate for the first time spatial ALD-grown SnO x at atmospheric pressure as impermeable electron extraction layers for perovskite solar cells. We achieve optical transmittance and electrical conductivity similar to those in SnO x grown by conventional vacuum-based ALD. A low deposition temperature of 80 °C and a high substrate speed of 2.4 m min -1 yield SnO x layers with a low water vapor transmission rate of ∼10 -4 gm -2 day -1 (at 60 °C/60% RH). Thereby, in perovskite solar cells, dense hybrid Al:ZnO/SnO x electron extraction layers are created that are the key for stable cell characteristics beyond 1000 h in ambient air and over 3000 h at 60 °C. Most notably, our work of introducing spatial ALD at atmospheric pressure paves the way to the future roll-to-roll manufacturing of stable perovskite solar cells.

  3. Method and apparatus for stable silicon dioxide layers on silicon grown in silicon nitride ambient

    NASA Technical Reports Server (NTRS)

    Cohen, R. A.; Wheeler, R. K. (Inventor)

    1974-01-01

    A method and apparatus for thermally growing stable silicon dioxide layers on silicon is disclosed. A previously etched and baked silicon nitride tube placed in a furnace is used to grow the silicon dioxide. First, pure oxygen is allowed to flow through the tube to initially coat the inside surface of the tube with a thin layer of silicon dioxide. After the tube is coated with the thin layer of silicon dioxide, the silicon is oxidized thermally in a normal fashion. If the tube becomes contaminated, the silicon dioxide is etched off thereby exposing clean silicon nitride and then the inside of the tube is recoated with silicon dioxide. As is disclosed, the silicon nitride tube can also be used as the ambient for the pyrolytic decomposition of silane and ammonia to form thin layers of clean silicon nitride.

  4. Superconductivity in few-layer stanene

    DOE PAGES

    Liao, Menghan; Zang, Yunyi; Guan, Zhaoyong; ...

    2018-01-15

    A single atomic slice of α-tin—stanene—has been predicted to host the quantum spin Hall effect at room temperature, offering an ideal platform to study low-dimensional and topological physics. Although recent research has focused on monolayer stanene, the quantum size effect in few-layer stanene could profoundly change material properties, but remains unexplored. By exploring the layer degree of freedom, we discover superconductivity in few-layer stanene down to a bilayer grown on PbTe, while bulk α-tin is not superconductive. Through substrate engineering, we further realize a transition from a single-band to a two-band superconductor with a doubling of the transition temperature. Inmore » situ angle-resolved photoemission spectroscopy (ARPES) together with first-principles calculations elucidate the corresponding band structure. The theory also indicates the existence of a topologically non-trivial band. Thus, our experimental findings open up novel strategies for constructing two-dimensional topological superconductors.« less

  5. Superconductivity in few-layer stanene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Menghan; Zang, Yunyi; Guan, Zhaoyong

    A single atomic slice of α-tin—stanene—has been predicted to host the quantum spin Hall effect at room temperature, offering an ideal platform to study low-dimensional and topological physics. Although recent research has focused on monolayer stanene, the quantum size effect in few-layer stanene could profoundly change material properties, but remains unexplored. By exploring the layer degree of freedom, we discover superconductivity in few-layer stanene down to a bilayer grown on PbTe, while bulk α-tin is not superconductive. Through substrate engineering, we further realize a transition from a single-band to a two-band superconductor with a doubling of the transition temperature. Inmore » situ angle-resolved photoemission spectroscopy (ARPES) together with first-principles calculations elucidate the corresponding band structure. The theory also indicates the existence of a topologically non-trivial band. Thus, our experimental findings open up novel strategies for constructing two-dimensional topological superconductors.« less

  6. High free carrier concentration in p-GaN grown on AlN substrates

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mita, Seiji; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Tweedie, James; Bryan, Isaac; Bryan, Zachary; Kirste, Ronny; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko

    2017-07-01

    A high free hole concentration in III-nitrides is important for next generation optoelectronic and high power electronic devices. The free hole concentration exceeding 1018 cm-3 and resistivity as low as 0.7 Ω cm are reported for p-GaN layers grown by metalorganic vapor phase epitaxy on single crystal AlN substrates. Temperature dependent Hall measurements confirmed a much lower activation energy, 60-80 mV, for p-GaN grown on AlN as compared to sapphire substrates; the lowering of the activation energy was due to screening of Coulomb potential by free carriers. It is also shown that a higher doping density (more than 5 × 1019 cm-3) can be achieved in p-GaN/AlN without the onset of self-compensation.

  7. High-performance InGaN/GaN MQW LEDs with Al-doped ZnO transparent conductive layers grown by MOCVD using H2O as an oxidizer

    NASA Astrophysics Data System (ADS)

    Lin, Jia-Yong; Pei, Yan-Li; Zhuo, Yi; Chen, Zi-Min; Hu, Rui-Qin; Cai, Guang-Shuo; Wang, Gang

    2016-11-01

    In this study, the high performance of InGaN/GaN multiple quantum well light-emitting diodes (LEDs) with Al-doped ZnO (AZO) transparent conductive layers (TCLs) has been demonstrated. The AZO-TCLs were fabricated on the n+-InGaN contact layer by metal organic chemical vapor deposition (MOCVD) using H2O as an oxidizer at temperatures as low as 400 °C without any post-deposition annealing. It shows a high transparency (98%), low resistivity (510-4 Ω·cm), and an epitaxial-like excellent interface on p-GaN with an n+-InGaN contact layer. A forward voltage of 2.82 V @ 20 mA was obtained. Most importantly, the power efficiencies can be markedly improved by 53.8%@20 mA current injection and 39.6%@350 mA current injection compared with conventional LEDs with indium tin oxide TCL (LED-III), and by 28.8%@20 mA current injection and 4.92%@350 mA current injection compared with LEDs with AZO-TCL prepared by MOCVD using O2 as an oxidizer (LED-II), respectively. The results indicate that the AZO-TCL grown by MOCVD using H2O as an oxidizer is a promising TCL for a low-cost and high-efficiency GaN-based LED application. Project supported by the National Natural Science Foundation of China (Grant Nos. 61204091, 61404177, 51402366, and U1201254) and the Science and Technology Planning Project of Guangdong Province, China (Grant No. 2015B010132006).

  8. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    NASA Astrophysics Data System (ADS)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  9. Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD

    NASA Astrophysics Data System (ADS)

    O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.

    2018-04-01

    High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.

  10. Effect of AlInGaN barrier layers with various TMGa flows on optoelectronic characteristics of near UV light-emitting diodes grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fu, Yi-Keng; Lu, Yu-Hsuan; Jiang, Ren-Hao; Chen, Bo-Chun; Fang, Yen-Hsiang; Xuan, Rong; Su, Yan-Kuin; Lin, Chia-Feng; Chen, Jebb-Fang

    2011-08-01

    Near ultraviolet light-emitting diodes (LEDs) with quaternary AlInGaN quantum barriers (QBs) are grown by atmospheric pressure metalorganic vapor phase epitaxy. The indium mole fraction of AlInGaN QB could be enhanced as we increased the TMG flow rate. Both the wavelength shift in EL spectra and forward voltage at 20 mA current injection were reduced by using AlInGaN QB. Under 100 mA current injection, the LED output power with Al 0.089In 0.035Ga 0.876N QB can be enhanced by 15.9%, compared to LED with GaN QB. It should be attributed to a reduction of lattice mismatch induced polarization mismatch in the active layer.

  11. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  12. Strained-layer InGaAs/GaAs/AlGaAs single quantum well lasers with high internal quantum efficiency

    NASA Technical Reports Server (NTRS)

    Larsson, Anders; Cody, Jeffrey; Lang, Robert J.

    1989-01-01

    Low threshold current density strained-layer In(0.2)Ga(0.8)As/GaAs/AlGaAs single quantum well lasers, emitting at 980 nm, have been grown by molecular beam epitaxy. Contrary to what has been reported for broad-area lasers with pseudomorphic InGaAs active layers grown by metalorganic chemical vapor deposition, these layers exhibit a high internal quantum efficiency (about 90 percent). The maximum external differential quantum efficiency is 70 percent, limited by an anomalously high internal loss possibly caused by a large lateral spreading of the optical mode. In addition, experimental results supporting the theoretically predicted strain-induced reduction of the valence-band nonparabolicity and density of states are presented.

  13. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  14. Extended wavelength mid-infrared photoluminescence from type-I InAsN and InGaAsN dilute nitride quantum wells grown on InP

    NASA Astrophysics Data System (ADS)

    Wheatley, R.; Kesaria, M.; Mawst, L. J.; Kirch, J. D.; Kuech, T. F.; Marshall, A.; Zhuang, Q. D.; Krier, A.

    2015-06-01

    Extended wavelength photoluminescence emission within the technologically important 2-5 μm spectral range has been demonstrated from InAs1-xNx and In1-yGayAs1-xNx type I quantum wells grown onto InP. Samples containing N ˜ 1% and 2% exhibited 4 K photoluminescence emission at 2.0 and 2.7 μm, respectively. The emission wavelength was extended out to 2.9 μm (3.3 μm at 300 K) using a metamorphic buffer layer to accommodate the lattice mismatch. The quantum wells were grown by molecular beam epitaxy and found to be of a high structural perfection as evidenced in the high resolution x-ray diffraction measurements. The photoluminescence was more intense from the quantum wells grown on the metamorphic buffer layer and persisted up to room temperature. The mid-infrared emission spectra were analysed, and the observed transitions were found to be in good agreement with the calculated emission energies.

  15. Effect of NiFeCr seed and capping layers on exchange bias and planar Hall voltage response of NiFe/Au/IrMn trilayer structures

    NASA Astrophysics Data System (ADS)

    Talantsev, Artem; Elzwawy, Amir; Kim, CheolGi

    2018-05-01

    Thin films and cross junctions, based on NiFe/Au/IrMn structures, were grown on Ta and NiFeCr seed layers by magnetron sputtering. The effects of substitution of Ta with NiFeCr in seed and capping layers on an exchange bias field are studied. A threefold improvement of the exchange bias value in the structures, grown with NiFeCr seed and capping layers, is demonstrated. The reasons for this effect are discussed. Formation of clusters in the NiFeCr capping layer is proved by atomic force microscopy technique. Ta replacement on NiFeCr in the capping layer results in the enhancement of magnetoresistive response and a reduction of noise.

  16. Lipids of Pseudomonas aeruginosa Cells Grown on Hydrocarbons and on Trypticase Soy Broth1

    PubMed Central

    Edmonds, Paul; Cooney, J. J.

    1969-01-01

    Lipids were extracted from cells of Pseudomonas aeruginosa grown on a pure hydrocarbon (tridecane), mixed hydrocarbons (JP-4 jet fuel), and on Trypticase Soy Broth. Total lipids produced from each substrate represented from 7.1 to 8.2% of cellular dry weight, of which 5.0 to 6.4% were obtained before cellular hydrolysis (free lipids) and 1.7 to 2.0% were extracted after cellular hydrolysis (bound lipids). Free lipids from cells grown on each medium were separated into four fractions by thin-layer chromatography. All fractions were present in cells from each type of medium, and the “neutral fraction” constituted the largest fraction. The fatty acid composition of free lipids was determined by gas-liquid chromatography. Cells grown on each medium contained saturated and unsaturated C14 to C20 fatty acids. Trace amounts of C13 fatty acids were found in tridecane-grown cells. Saturated C16 and C18 were the major acids present in all cells. Quantitative differences were found in fatty acids produced on the three media, but specific correlations between substrate carbon sources and fatty acid content of cells were not evident. Tridecane-grown cells contained only traces of C13 acid and small amounts of C15 and C17 acids, suggesting that the organism's fatty acids were derived from de novo synthesis rather than by direct incorporation of the hydrocarbon. PMID:4976464

  17. Growth and characterization of thin Cu-phthalocyanine films on MgO(001) layer for organic light-emitting diodes

    PubMed Central

    2012-01-01

    Surface morphology and thermal stability of Cu-phthalocyanine (CuPc) films grown on an epitaxially grown MgO(001) layer were investigated by using atomic force microscope and X-ray diffractometer. The (002) textured β phase of CuPc films were prepared at room temperature beyond the epitaxial MgO/Fe/MgO(001) buffer layer by the vacuum deposition technique. The CuPc structure remained stable even after post-annealing at 350°C for 1 h under vacuum, which is an important advantage of device fabrication. In order to improve the device performance, we investigated also current-voltage-luminescence characteristics for the new top-emitting organic light-emitting diodes with different thicknesses of CuPc layer. PMID:23181826

  18. Suppression of gate leakage current in in-situ grown AlN/InAlN/AlN/GaN heterostructures based on the control of internal polarization fields

    NASA Astrophysics Data System (ADS)

    Kotani, Junji; Yamada, Atsushi; Ishiguro, Tetsuro; Yamaguchi, Hideshi; Nakamura, Norikazu

    2017-03-01

    This paper investigates the gate leakage characteristics of in-situ AlN capped InAlN/AlN/GaN heterostructures grown by metal-organic vapor phase epitaxy. It was revealed that the leakage characteristics of AlN capped InAlN/AlN/GaN heterostructures are strongly dependent on the growth temperature of the AlN cap. For an AlN capped structure with an AlN growth temperature of 740 °C, the leakage current even increased although there exists a large bandgap material on InAlN/AlN/GaN heterostructures. On the other hand, a large reduction of the gate leakage current by 4-5 orders of magnitudes was achieved with a very low AlN growth temperature of 430 °C. X-ray diffraction analysis of the AlN cap grown at 740 °C indicated that the AlN layer is tensile-strained. In contrast to this result, the amorphous structure was confirmed for the AlN cap grown at 430 °C by transmission electron microscopy. Furthermore, theoretical analysis based on one-dimensional band simulation was carried out, and the large increase in two-dimensional electron gas (2DEG) observed in Hall measurements was well reproduced by taking into account the spontaneous and piezo-electric polarization in the AlN layer grown at 740 °C. For the AlN capped structure grown at 430 °C, it is believed that the reduced polarization field in the AlN cap suppressed the penetration of 2DEG into the InAlN barrier layer, resulting in a small impact on 2DEG mobility and density. We believe that an in-situ grown AlN cap with a very low growth temperature of 430 °C is a promising candidate for high-frequency/high-power GaN-based devices with low gate leakage current.

  19. Strain-Engineered Graphene Grown on Hexagonal Boron Nitride by Molecular Beam Epitaxy

    PubMed Central

    Summerfield, Alex; Davies, Andrew; Cheng, Tin S.; Korolkov, Vladimir V.; Cho, YongJin; Mellor, Christopher J.; Foxon, C. Thomas; Khlobystov, Andrei N.; Watanabe, Kenji; Taniguchi, Takashi; Eaves, Laurence; Novikov, Sergei V.; Beton, Peter H.

    2016-01-01

    Graphene grown by high temperature molecular beam epitaxy on hexagonal boron nitride (hBN) forms continuous domains with dimensions of order 20 μm, and exhibits moiré patterns with large periodicities, up to ~30 nm, indicating that the layers are highly strained. Topological defects in the moiré patterns are observed and attributed to the relaxation of graphene islands which nucleate at different sites and subsequently coalesce. In addition, cracks are formed leading to strain relaxation, highly anisotropic strain fields, and abrupt boundaries between regions with different moiré periods. These cracks can also be formed by modification of the layers with a local probe resulting in the contraction and physical displacement of graphene layers. The Raman spectra of regions with a large moiré period reveal split and shifted G and 2D peaks confirming the presence of strain. Our work demonstrates a new approach to the growth of epitaxial graphene and a means of generating and modifying strain in graphene. PMID:26928710

  20. Mechanics of graded glass composites and zinc oxide thin films grown at 90 degrees Celsius in water

    NASA Astrophysics Data System (ADS)

    Fillery, Scott Pierson

    2007-06-01

    The purpose of this research was to study the mechanical stability of two different material systems. The glass laminate system, exhibiting a threshold strength when placed under an applied load and ZnO thin films grown on GaN buffered Al2O3 substrates, exhibiting variations in film stability with changes to the Lateral Epitaxial Overgrowth architecture. The glass laminates were fabricated to contain periodic thin layers containing biaxial compressive stresses using ion exchange treatments to create residual compressive stresses at the surface of soda lime silicate glass sheets. Wafer direct bonding of the ion exchanged glass sheets resulted in the fabrication of glass laminates with thin layers of compressive stress adjacent to the glass interfaces. The threshold flexural strength of the ion exchanged glass laminates was determined to be 112 MPa after the introduction of indentation cracks with indent loads ranging from 1kg to 5kg and the laminates were found to exhibit a threshold strength, i.e., a stress below which failure will not occur. Contrary to similar ceramic laminates where cracks either propagate across the compressive layer or bifurcate within the compressive layer, the cracks in the glass laminates were deflected along the interface between the bonded sheets. ZnO films were grown on (0001) GaN buffered Al2O3 substrates by aqueous solution routes at 90°C. The films were found to buckle under compressive residual stresses at film thicknesses greater than 4mum. Lateral epitaxial overgrowth techniques using hexagonal hole arrays showed an increasing film stability with larger array spacing, resulting in film thicknesses up to 92mum. Stress determinations using Raman spectroscopy indicated that stress relaxation at the free surface during film growth played a major role in film stability. Investigations using Finite Element Analysis and Raman spectroscopy demonstrated that the strain energy within the film/substrate system decreased with increasing array

  1. Characterization of oxide scales grown on alloy 310S stainless steel after long term exposure to supercritical water at 500 °C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Behnamian, Yashar, E-mail: behnamia@ualberta.ca

    The oxide scale grown of static capsules made of alloy 310S stainless steel was investigated by exposure to the supercritical water at 500 °C 25 MPa for various exposure times up to 20,000 h. Characterization techniques such as X-ray diffraction, scanning/transmission electron microscopy, energy dispersive spectroscopy, and fast Fourier transformation were employed on the oxide scales. The elemental and phase analyses indicated that long term exposure to the SCW resulted in the formation of scales identified as Fe{sub 3}O{sub 4} (outer layer), Fe-Cr spinel (inner layer), Cr{sub 2}O{sub 3} (transition layer) on the substrate, and Ni-enrichment (chrome depleted region) inmore » the alloy 310S. It was found that the layer thickness and weight gain vs. exposure time followed parabolic law. The oxidation mechanism and scales grown on the alloy 310S stainless steel exposed to SCW are discussed. - Highlights: •Oxidation of alloy 310S stainless steel exposed to SCW (500 °C/25 MPa) •The layer thickness and weight gain vs. exposure time followed parabolic law. •Oxide layers including Fe{sub 3}O{sub 4} (outer), Fe-Cr spinel (inner) and Cr{sub 2}O{sub 3} (transition) •Ni element is segregated by the selective oxidation of Cr.« less

  2. Strain-balanced InAs/GaSb type-II superlattice structures and photodiodes grown on InAs substrates by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Huang, Yong; Ryou, Jae-Hyun; Dupuis, Russell D.; Zuo, Daniel; Kesler, Benjamin; Chuang, Shun-Lien; Hu, Hefei; Kim, Kyou-Hyun; Ting Lu, Yen; Hsieh, K. C.; Zuo, Jian-Min

    2011-07-01

    We propose and demonstrate strain-balanced InAs/GaSb type-II superlattices (T2SLs) grown on InAs substrates employing GaAs-like interfacial (IF) layers by metalorganic chemical vapor deposition (MOCVD) for effective strain management, simplified growth scheme, improved materials crystalline quality, and reduced substrate absorption. The in-plane compressive strain from the GaSb layers in the T2SLs on the InAs was completely balanced by the GaAs-like IF layers formed by controlled precursor carry-over and anion exchange effects, avoiding the use of complicated IF layers and precursor switching schemes that were used for the MOCVD growth of T2SLs on GaSb. An infrared (IR) p-i-n photodiode structure with 320-period InAs/GaSb T2SLs on InAs was grown and the fabricated devices show improved performance characteristics with a peak responsivity of ˜1.9 A/W and a detectivity of ˜6.78 × 109 Jones at 8 μm at 78 K. In addition, the InAs buffer layer and substrate show a lower IR absorption coefficient than GaSb substrates in most of the mid- and long-IR spectral range.

  3. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    NASA Astrophysics Data System (ADS)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  4. Critical thickness of MBE-grown Ga 1-xIn xSb ( x<0.2) on GaSb

    NASA Astrophysics Data System (ADS)

    Nilsen, T. A.; Breivik, M.; Selvig, E.; Fimland, B. O.

    2009-03-01

    Several Ga 1-xIn xSb layers, capped with 1 μm of GaSb, were grown on GaSb(0 0 1) substrates by molecular beam epitaxy in a Varian Gen II Modular system using either the conventional sample growth position with substrate rotation, or a tilted sample position with no substrate rotation. The GaInSb layers were examined by X-ray diffraction (XRD) using both symmetrical and asymmetrical reflections. The "tilted sample method" gave a variation of ±25% in thickness of the Ga 1-xIn xSb layers, while the indium (In) content varied by ±10% around the nominal value. The disappearance of thickness fringes in 004 XRD scans was used to determine the onset of relaxation, as determining the in-plane lattice constant for tilted samples was found to be difficult. Determining residual strain in samples grown by the tilted method was likewise found to be very difficult. The critical thickness for several In mole fractions between 5% and 19% was determined and was found to be from 2.2 to 2.7 times higher than predicted by Matthews and Blakeslee (1974) [J. Crystal Growth 27 (1974) 118] but lower than that predicted by People and Bean (1985) [Appl. Phys. Lett. 47 (1985) 322].

  5. Disentangling atomic-layer-specific x-ray absorption spectra by Auger electron diffraction spectroscopy

    NASA Astrophysics Data System (ADS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Kato, Yukako; Hashimoto, Mie; Daimon, Hiroshi

    2009-11-01

    In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, Auger electron diffraction spectroscopy, which is the combination of x-ray absorption spectroscopy (XAS) and Auger electron diffraction (AED) techniques. We have measured a series of Ni LMM AED patterns of the Ni film grown on Cu(001) surface for various thicknesses. Then we deduced a set of atomic-layer-specific AED patterns in a numerical way. Furthermore, we developed an algorithm to disentangle XANES spectra from different atomic layers using these atomic-layer-specific AED patterns. Surface and subsurface core level shift were determined for each atomic layer.

  6. Energetics of cubic and hexagonal phases in Mn-doped GaN : First-principles pseudopotential calculations

    NASA Astrophysics Data System (ADS)

    Choi, Eun-Ae; Kang, Joongoo; Chang, K. J.

    2006-12-01

    We perform first-principles pseudopotential calculations to study the influence of Mn doping on the stability of two polytypes, wurtzite and zinc-blende, in GaN . In Mn δ -doped GaN and GaMnN alloys, we find similar critical concentrations of the Mn ions for stabilizing the zinc-blende phase against the wurtzite phase. Using a slab geometry of hexagonal lattices, we find that it is energetically unfavorable to form inversion domains with Mn exposure, in contrast to Mg doping. At the initial stage of epitaxial growth, a stacking fault that leads to the cubic bonds can be generated with the Mn exposure to the Ga-polar surface. However, the influence of the Mn δ -doped layer on the formation of the cubic phase is only effective for GaN layers deposited up to two monolayers. We find that the Mn ions are energetically more stable on the growth front than in the bulk, indicating that these ions act as a surfactant. Thus it is possible to grow cubic GaN if the Mn ions are periodically supplied or diffuse out from the Mn δ -doped layer to the growth front during the growth process.

  7. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  8. Characterization of Cu buffer layers for growth of L10-FeNi thin films

    NASA Astrophysics Data System (ADS)

    Mizuguchi, M.; Sekiya, S.; Takanashi, K.

    2010-05-01

    A Cu(001) layer was fabricated on a Au(001) layer to investigate the use of Cu as a buffer layer for growing L10-FeNi thin films. The epitaxial growth of a Cu buffer layer was observed using reflection high-energy electron diffraction. The flatness of the layer improved drastically with an increase in the substrate temperature although the layer was an alloy (AuCu3). An FeNi thin film was epitaxially grown on the AuCu3 buffer layer by alternate monatomic layer deposition and the formation of an L10-FeNi ordered alloy was expected. The AuCu3 buffer layer is thus a promising candidate material for the growth of L10-FeNi thin films.

  9. Multi-Layer SnSe Nanoflake Field-Effect Transistors with Low-Resistance Au Ohmic Contacts

    NASA Astrophysics Data System (ADS)

    Cho, Sang-Hyeok; Cho, Kwanghee; Park, No-Won; Park, Soonyong; Koh, Jung-Hyuk; Lee, Sang-Kwon

    2017-05-01

    We report p-type tin monoselenide (SnSe) single crystals, grown in double-sealed quartz ampoules using a modified Bridgman technique at 920 °C. X-ray powder diffraction (XRD) and energy dispersive X-ray spectroscopy (EDX) measurements clearly confirm that the grown SnSe consists of single-crystal SnSe. Electrical transport of multi-layer SnSe nanoflakes, which were prepared by exfoliation from bulk single crystals, was conducted using back-gated field-effect transistor (FET) structures with Au and Ti contacts on SiO2/Si substrates, revealing that multi-layer SnSe nanoflakes exhibit p-type semiconductor characteristics owing to the Sn vacancies on the surfaces of SnSe nanoflakes. In addition, a strong carrier screening effect was observed in 70-90-nm-thick SnSe nanoflake FETs. Furthermore, the effect of the metal contacts to multi-layer SnSe nanoflake-based FETs is also discussed with two different metals, such as Ti/Au and Au contacts.

  10. Impact of thickness on the structural properties of high tin content GeSn layers

    NASA Astrophysics Data System (ADS)

    Aubin, J.; Hartmann, J. M.; Gassenq, A.; Milord, L.; Pauc, N.; Reboud, V.; Calvo, V.

    2017-09-01

    We have grown various thicknesses of GeSn layers in a 200 mm industrial Reduced Pressure - Chemical Vapor Deposition cluster tool using digermane (Ge2H6) and tin tetrachloride (SnCl4). The growth pressure (100 Torr) and the F(Ge2H6)/F(SnCl4) mass-flow ratio were kept constant, and incorporation of tin in the range of 10-15% was achieved with a reduction in temperature: 325 °C for 10% to 301 °C for 15% of Sn. The layers were grown on 2.5 μm thick Ge Strain Relaxed Buffers, themselves on Si(0 0 1) substrates. We used X-ray Diffraction, Atomic Force Microscopy, Raman spectroscopy and Scanning Electron Microscopy to measure the Sn concentration, the strain state, the surface roughness and thickness as a function of growth duration. A dramatic degradation of the film was seen when the Sn concentration and layer thickness were too high resulting in rough/milky surfaces and significant Sn segregation.

  11. Effects of Rapid Thermal Annealing on the Structural, Electrical, and Optical Properties of Zr-Doped ZnO Thin Films Grown by Atomic Layer Deposition.

    PubMed

    Wu, Jingjin; Zhao, Yinchao; Zhao, Ce Zhou; Yang, Li; Lu, Qifeng; Zhang, Qian; Smith, Jeremy; Zhao, Yongming

    2016-08-13

    The 4 at. % zirconium-doped zinc oxide (ZnO:Zr) films grown by atomic layer deposition (ALD) were annealed at various temperatures ranging from 350 to 950 °C. The structural, electrical, and optical properties of rapid thermal annealing (RTA) treated ZnO:Zr films have been evaluated to find out the stability limit. It was found that the grain size increased at 350 °C and decreased between 350 and 850 °C, while creeping up again at 850 °C. UV-vis characterization shows that the optical band gap shifts towards larger wavelengths. The Hall measurement shows that the resistivity almost keeps constant at low annealing temperatures, and increases rapidly after treatment at 750 °C due to the effect of both the carrier concentration and the Hall mobility. The best annealing temperature is found in the range of 350-550 °C. The ZnO:Zr film-coated glass substrates show good optical and electrical performance up to 550 °C during superstrate thin film solar cell deposition.

  12. Effects of Rapid Thermal Annealing on the Structural, Electrical, and Optical Properties of Zr-Doped ZnO Thin Films Grown by Atomic Layer Deposition

    PubMed Central

    Wu, Jingjin; Zhao, Yinchao; Zhao, Ce Zhou; Yang, Li; Lu, Qifeng; Zhang, Qian; Smith, Jeremy; Zhao, Yongming

    2016-01-01

    The 4 at. % zirconium-doped zinc oxide (ZnO:Zr) films grown by atomic layer deposition (ALD) were annealed at various temperatures ranging from 350 to 950 °C. The structural, electrical, and optical properties of rapid thermal annealing (RTA) treated ZnO:Zr films have been evaluated to find out the stability limit. It was found that the grain size increased at 350 °C and decreased between 350 and 850 °C, while creeping up again at 850 °C. UV–vis characterization shows that the optical band gap shifts towards larger wavelengths. The Hall measurement shows that the resistivity almost keeps constant at low annealing temperatures, and increases rapidly after treatment at 750 °C due to the effect of both the carrier concentration and the Hall mobility. The best annealing temperature is found in the range of 350–550 °C. The ZnO:Zr film-coated glass substrates show good optical and electrical performance up to 550 °C during superstrate thin film solar cell deposition. PMID:28773816

  13. Superconducting proximity effect in MBE grown Nb-InAs junctions

    NASA Astrophysics Data System (ADS)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  14. Organic Light Emitting Diodes with Opal Photonic Crystal Layer and Carbon Nanotube Anode

    NASA Astrophysics Data System (ADS)

    Ovalle Robles, Raquel; Del Rocio Nava, Maria; Williams, Christopher; Zhang, Mei; Fang, Shaoli; Lee, Sergey; Baughman, Ray; Zakhidov, Anvar

    2007-03-01

    We report electroluminescence intensity and spectral changes in light emission from organic light emitting diode (OLEDs) structures, which have thin transparent films of opal photonic crystal (PC). The anode in such PC-OLED is laminated on opal layer from free standing optically transparent multiwall carbon nanotubes (T-CNT) sheets made by dry spinning from CVD grown forests. Silica and polystyrene opal films were grown on glass substrates by vertical sedimentation in colloids in thermal baths and the particle size of opal spheres ranges from 300 nm to 450 nm. The use of T-CNTs, (coated by PEDOT-PSS to avoid shorting) as hole injector, allows to eliminate the use of vacuum deposition of metals and permits to achieve tunneling hole injection regime from CNT tips into Alq^3 emission layer

  15. Nanostructured diamond layers enhance the infrared spectroscopy of biomolecules.

    PubMed

    Kozak, Halyna; Babchenko, Oleg; Artemenko, Anna; Ukraintsev, Egor; Remes, Zdenek; Rezek, Bohuslav; Kromka, Alexander

    2014-03-04

    We report on the fabrication and practical use of high-quality optical elements based on Au mirrors coated with diamond layers with flat, nanocolumnar, and nanoporous morphologies. Diamond layers (100 nm thickness) are grown at low temperatures (about 300 °C) from a methane, carbon dioxide, and hydrogen gas mixture by a pulsed microwave plasma system with linear antennas. Using grazing angle reflectance (GAR) Fourier transform infrared spectroscopy with p-polarized light, we compare the IR spectra of fetal bovine serum proteins adsorbed on diamond layers with oxidized (hydrophilic) surfaces. We show that the nanoporous diamond layers provide IR spectra with a signal gain of about 600% and a significantly improved sensitivity limit. This is attributed to its enhanced internal surface area. The improved sensitivity enabled us to distinguish weak infrared absorption peaks of <10-nm-thick protein layers and thereby to analyze the intimate diamond-molecule interface.

  16. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  17. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  18. Optical properties of single ZnTe nanowires grown at low temperature

    NASA Astrophysics Data System (ADS)

    Artioli, A.; Rueda-Fonseca, P.; Stepanov, P.; Bellet-Amalric, E.; Den Hertog, M.; Bougerol, C.; Genuist, Y.; Donatini, F.; André, R.; Nogues, G.; Kheng, K.; Tatarenko, S.; Ferrand, D.; Cibert, J.

    2013-11-01

    Optically active gold-catalyzed ZnTe nanowires have been grown by molecular beam epitaxy, on a ZnTe(111) buffer layer, at low temperature (350 °C) under Te rich conditions, and at ultra-low density (from 1 to 5 nanowires per μm2). The crystalline structure is zinc blende as identified by transmission electron microscopy. All nanowires are tapered and the majority of them are ⟨111⟩ oriented. Low temperature micro-photoluminescence and cathodoluminescence experiments have been performed on single nanowires. We observe a narrow emission line with a blue-shift of 2 or 3 meV with respect to the exciton energy in bulk ZnTe. This shift is attributed to the strain induced by a 5 nm-thick oxide layer covering the nanowires, and this assumption is supported by a quantitative estimation of the strain in the nanowires.

  19. Atom probe tomography of a Ti-Si-Al-C-N coating grown on a cemented carbide substrate.

    PubMed

    Thuvander, M; Östberg, G; Ahlgren, M; Falk, L K L

    2015-12-01

    The elemental distribution within a Ti-Si-Al-C-N coating grown by physical vapour deposition on a Cr-doped WC-Co cemented carbide substrate has been investigated by atom probe tomography. Special attention was paid to the coating/substrate interface region. The results indicated a diffusion of substrate binder phase elements into the Ti-N adhesion layer. The composition of this layer, and the Ti-Al-N interlayer present between the adhesion layer and the main Ti-Si-Al-C-N layer, appeared to be sub-stoichiometric. The analysis of the interlayer showed the presence of internal surfaces, possibly grain boundaries, depleted in Al. The composition of the main Ti-Al-Si-C-N layer varied periodically in the growth direction; layers enriched in Ti appeared with a periodicity of around 30 nm. Laser pulsing resulted in a good mass resolution that made it possible to distinguish between N(+) and Si(2+) at 14 Da. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. Impurity distribution and microstructure of Ga-doped ZnO films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kvit, A. V.; Yankovich, A. B.; Avrutin, V.; Liu, H.; Izyumskaya, N.; Özgür, Ü.; Morkoç, H.; Voyles, P. M.

    2012-12-01

    We report microstructural characterization of heavily Ga-doped ZnO (GZO) thin films on GaN and sapphire by aberration-corrected scanning transmission electron microscopy. Growth under oxygen-rich and metal-rich growth conditions leads to changes in the GZO polarity and different extended defects. For GZO layers on sapphire, the primary extended defects are voids, inversion domain boundaries, and low-angle grain boundaries. Ga doping of ZnO grown under metal-rich conditions causes a switch from pure oxygen polarity to mixed oxygen and zinc polarity in small domains. Electron energy loss spectroscopy and energy dispersive spectroscopy spectrum imaging show that Ga is homogeneous, but other residual impurities tend to accumulate at the GZO surface and at extended defects. GZO grown on GaN on c-plane sapphire has Zn polarity and no voids. There are misfit dislocations at the interfaces between GZO and an undoped ZnO buffer layer and at the buffer/GaN interface. Low-angle grain boundaries are the only threading microstructural defects. The potential effects of different extended defects and impurity distributions on free carrier scattering are discussed.

  1. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  2. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    NASA Technical Reports Server (NTRS)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  3. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers.

    PubMed

    Jana, Dipankar; Porwal, S; Sharma, T K; Kumar, Shailendra; Oak, S M

    2014-04-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates.

  4. Molecular beam epitaxy grown long wavelength infrared HgCdTe on compliant Si substrates

    NASA Astrophysics Data System (ADS)

    Wijewarnasuriya, Priyalal S.; Chen, Yuanping; Brill, Gregory; Dhar, Nibir K.; Carmody, Michael; Bailey, Robert; Arias, Jose

    2006-05-01

    At the Army Research Laboratory (ARL), a new ternary semiconductor system CdSe xTe 1-x/Si(211) is being investigated as an alternative substrate to bulk-grown CdZnTe substrates for HgCdTe growth by molecular beam epitaxy. Under optimized conditions, best layers show surface defect density less than 400 cm -2 and full width at half maximum of X-ray double crystal rocking curve as low as 100 arc-sec with excellent uniformity over 3 inch area. LW-HgCdTe layers on these compliant substrates exhibit comparable electrical properties to those grown on bulk CZT substrates. Photovoltaic devices fabricated on these LWIR material shows diffusion limited performance at 78K indicating high quality material. Measured R °A at 78K on λ co = 10 μm material is on the order of 340 Ω-cm II. In addition to single devices, we have fabricated 256x256 2-D arrays with 40 μm pixel pitch on LW-HgCdTe grown on Si compliant substrates. Data shows excellent QE operability of 99% at 78K under a tactical background flux of 6.7x10 15 ph/cm2sec. Most probable dark current at the peak distribution is 5.5 x 10 9 e-/sec and is very much consistent with the measured R °A values from single devices. Initial results indicate NETD of 33 mK for a cut-off wavelength of 10 μm with 40 micron pixels size. This work demonstrates CdSe xTe 1-x/Si(211) substrates provides a potential road map to more affordable, robust 3 rd generation FPAs.

  5. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  6. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  7. Effects of surface morphology of ZnO seed layers on growth of ZnO nanostructures prepared by hydrothermal method and annealing.

    PubMed

    Yim, Kwang Gug; Kim, Min Su; Leem, Jae-Young

    2013-05-01

    ZnO nanostructures were grown on Si (111) substrates by a hydrothermal method. Prior to growing the ZnO nanostructures, ZnO seed layers with different post-heat temperatures were prepared by a spin-coating process. Then, the ZnO nanostructures were annealed at 500 degrees C for 20 min under an Ar atmosphere. Scanning electron microscopy (SEM), X-ray diffraction (XRD), and photoluminescence (PL) were carried out at room temperature (RT) to investigate the structural and optical properties of the as-grown and annealed ZnO nanostructures. The surface morphologies of the seed layers changed from a smooth surface to a mountain chain-like structure as the post-heating temperatures increased. The as-grown and annealed ZnO nanostructures exhibited a strong (002) diffraction peak. Compared to the as-grown ZnO nanostructures, the annealed ZnO nanostructures exhibited significantly strong enhancement in the PL intensity ratio by almost a factor of 2.

  8. Titanium nitride as a seed layer for Heusler compounds

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Niesen, Alessia, E-mail: aniesen@physik.uni-bielefeld.de; Glas, Manuel; Ludwig, Jana

    Titanium nitride (TiN) shows low resistivity at room temperature (27 μΩ cm), high thermal stability and thus has the potential to serve as seed layer in magnetic tunnel junctions. High quality TiN thin films with regard to the crystallographic and electrical properties were grown and characterized by x-ray diffraction and 4-terminal transport measurements. Element specific x-ray absorption spectroscopy revealed pure TiN inside the thin films. To investigate the influence of a TiN seed layer on a ferro(i)magnetic bottom electrode in magnetic tunnel junctions, an out-of-plane magnetized Mn{sub 2.45}Ga as well as in- and out-of-plane magnetized Co{sub 2}FeAl thin films were depositedmore » on a TiN buffer, respectively. The magnetic properties were investigated using a superconducting quantum interference device and anomalous Hall effect for Mn{sub 2.45}Ga. Magneto optical Kerr effect measurements were carried out to investigate the magnetic properties of Co{sub 2}FeAl. TiN buffered Mn{sub 2.45}Ga thin films showed higher coercivity and squareness ratio compared to unbuffered samples. The Heusler compound Co{sub 2}FeAl showed already good crystallinity when grown at room temperature on a TiN seed-layer.« less

  9. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  10. Geometric confinement effects on the metal-insulator transition temperature and stress relaxation in VO2 thin films grown on silicon

    NASA Astrophysics Data System (ADS)

    Viswanath, Changhyun Ko, B.; Yang, Zheng; Ramanathan, Shriram

    2011-03-01

    VO2 undergoes a sharp metal-insulator transition at ˜67 °C with several orders of change in conductivity and optical transmittance. Understanding and control of the properties of vanadium oxide layers grown on technologically relevant substrates such as Si (100) single crystals is therefore of great interest. In this work, we show tunability of metal-insulator transition temperature as well as recoverable stress in VO2 thin films grown on Si substrate by introducing nanoscale atomic layer deposited HfO2 interfacial layers with no degradation in the resistance ratio. For a confined VO2 film, the metal-insulator transition temperature is suppressed by ˜16 °C and the recoverable stress is 150 MPa, compared to 400 MPa for a bare film. These observations are further correlated with in situ variable temperature measurement of stress changes occurring during the phase transition. Structural and microstructural studies on the various samples have been carried out by x ray diffraction and cross-sectional transmission electron microscopy. The strategy of tuning the metal-insulator transition characteristics by nanoscale interfacial dielectrics is of broader relevance in design of programmable materials and integration into solid state devices for electronics.

  11. Structural and magnetic properties of hexagonal Cr1-δTe films grown on CdTe(001) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanazawa, Ken; Yamawaki, Kazuma; Sekita, Naoya; Nishio, Yôtarô; Kuroda, Shinji; Mitome, Masanori; Bando, Yoshio

    2015-04-01

    We investigated the structural and magnetic properties of Cr1-δTe thin films grown on CdTe(001) layers by molecular beam epitaxy (MBE) with systematic variations of the ratio between Cr and Te fluxes and the substrate temperature Ts during the growth. Cr1-δTe of the hexagonal structure (hex-Cr1-δTe) was always formed irrespective of the growth conditions, but the growth orientation was different depending on the Cr/Te flux ratio and Ts. Hex-Cr1-δTe was grown in the [0001] axis in the range of small Cr/Te ratios and high Ts while it was also grown in the direction normal to the (1-102) plane at larger Cr/Te ratios or lower Ts. Hex-Cr1-δTe films grown in the both orientations show ferromagnetism, but they exhibit a clear contrast in the field dependence of perpendicular magnetization at 2 K; a square hysteretic loop in the film grown in the [0001] axis versus a round-shape loop in the film grown in the direction normal to the (1-102) plane. Moreover, the films grown in the [0001] axis at the smallest Cr/Te ratio show variations of ferromagnetic properties with Curie temperature (Tc) and the coercivity (Hc) varying according to the value of Ts.

  12. SrZnO nanostructures grown on templated <0001> Al2O3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on <0001>Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  13. Synthesis and characterization of Zn(O,OH)S and AgInS2 layers to be used in thin film solar cells

    NASA Astrophysics Data System (ADS)

    Vallejo, W.; Arredondo, C. A.; Gordillo, G.

    2010-11-01

    In this paper AgInS2 and Zn(O,OH)S thin films were synthesized and characterized. AgInS2 layers were grown by co-evaporation from metal precursors in a two-step process, and, Zn(O,OH)S thin films were deposited from chemical bath containing thiourea, zinc acetate, sodium citrate and ammonia. X-ray diffraction measurements indicated that AgInS2 thin films grown with chalcopyrite structure, and the as-grown Zn(O,OH)S thin films were polycrystalline. It was also found that the AgInS2 films presented p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and energy band-gap Eg of about 1.95 eV, Zn(O,OH),S thin films presented Eg of about 3.89 eV. Morphological analysis showed that under this synthesis conditions Zn(O,OH),S thin films coated uniformly the absorber layer. Additionally, the Zn(O,OH)S kinetic growth on AgInS2 layer was studied also. Finally, the results suggest that these layers possibly could be used in one-junction solar cells and/or as top cell in a tandem solar cell.

  14. Interface magnetic anisotropy for monatomic layer-controlled Co/Ni epitaxial multilayers

    NASA Astrophysics Data System (ADS)

    Shioda, A.; Seki, T.; Shimada, J.; Takanashi, K.

    2015-05-01

    The magnetic properties for monatomic layer (ML)-controlled Co/Ni epitaxial multilayers were investigated in order to evaluate the interface magnetic anisotropy energy (Ks) between Ni and Co layers. The Co/Ni epitaxial multilayers were prepared on an Al2O3 (11-20) substrate with V/Au buffer layers. The value of Ks was definitely larger than that for the textured Co/Ni grown on a thermally oxidized Si substrate. We consider that the sharp interface for the epitaxial Co/Ni played a role to increase the value of Ks, which also enabled us to obtain perpendicular magnetization even for the 1 ML-Co/1 ML-Ni multilayer.

  15. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  16. Patterned growth of p-type MoS 2 atomic layers using sol-gel as precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Wei; Lin, Junhao; Feng, Wei

    2D layered MoS 2 has drawn intense attention for its applications in flexible electronic, optoelectronic, and spintronic devices. Most of the MoS 2 atomic layers grown by conventional chemical vapor deposition techniques are n-type due to the abundant sulfur vacancies. Facile production of MoS 2 atomic layers with p-type behavior, however, remains challenging. Here, a novel one-step growth has been developed to attain p-type MoS 2 layers in large scale by using Mo-containing sol–gel, including 1% tungsten (W). Atomic-resolution electron microscopy characterization reveals that small tungsten oxide clusters are commonly present on the as-grown MoS 2 film due to themore » incomplete reduction of W precursor at the reaction temperature. These omnipresent small tungsten oxide clusters contribute to the p-type behavior, as verified by density functional theory calculations, while preserving the crystallinity of the MoS 2 atomic layers. The Mo containing sol–gel precursor is compatible with the soft-lithography techniques, which enables patterned growth of p-type MoS 2 atomic layers into regular arrays with different shapes, holding great promise for highly integrated device applications. Lastly, an atomically thin p–n junction is fabricated by the as-prepared MoS 2, which shows strong rectifying behavior.« less

  17. Patterned growth of p-type MoS 2 atomic layers using sol-gel as precursor

    DOE PAGES

    Zheng, Wei; Lin, Junhao; Feng, Wei; ...

    2016-07-19

    2D layered MoS 2 has drawn intense attention for its applications in flexible electronic, optoelectronic, and spintronic devices. Most of the MoS 2 atomic layers grown by conventional chemical vapor deposition techniques are n-type due to the abundant sulfur vacancies. Facile production of MoS 2 atomic layers with p-type behavior, however, remains challenging. Here, a novel one-step growth has been developed to attain p-type MoS 2 layers in large scale by using Mo-containing sol–gel, including 1% tungsten (W). Atomic-resolution electron microscopy characterization reveals that small tungsten oxide clusters are commonly present on the as-grown MoS 2 film due to themore » incomplete reduction of W precursor at the reaction temperature. These omnipresent small tungsten oxide clusters contribute to the p-type behavior, as verified by density functional theory calculations, while preserving the crystallinity of the MoS 2 atomic layers. The Mo containing sol–gel precursor is compatible with the soft-lithography techniques, which enables patterned growth of p-type MoS 2 atomic layers into regular arrays with different shapes, holding great promise for highly integrated device applications. Lastly, an atomically thin p–n junction is fabricated by the as-prepared MoS 2, which shows strong rectifying behavior.« less

  18. Strain relaxation in single crystal SrTiO3 grown on Si (001) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Choi, Miri; Posadas, Agham; Dargis, Rytis; Shih, Chih-Kang; Demkov, Alexander A.; Triyoso, Dina H.; David Theodore, N.; Dubourdieu, Catherine; Bruley, John; Jordan-Sweet, Jean

    2012-03-01

    An epitaxial layer of SrTiO3 grown directly on Si may be used as a pseudo-substrate for the integration of perovskite oxides onto silicon. When SrTiO3 is initially grown on Si (001), it is nominally compressively strained. However, by subsequent annealing in oxygen at elevated temperature, an SiOx interlayer can be formed which alters the strain state of SrTiO3. We report a study of strain relaxation in SrTiO3 films grown on Si by molecular beam epitaxy as a function of annealing time and oxygen partial pressure. Using a combination of x-ray diffraction, reflection high energy electron diffraction, and transmission electron microscopy, we describe the process of interfacial oxidation and strain relaxation of SrTiO3 on Si (001). Understanding the process of strain relaxation of SrTiO3 on silicon will be useful for controlling the SrTiO3 lattice constant for lattice matching with functional oxide overlayers.

  19. Temperature Dependence of Morphology and Growth Mechanism of Vapor-Grown Cd crystals as Affected by Bi Impurities

    NASA Astrophysics Data System (ADS)

    Yumoto, Hisami; Hasiguti, Ryukiti R.

    1984-07-01

    Hexagonal prismatic Cd crystals having {10\\bar{1}0} prismatic planes, or occasionally having {11\\bar{2}0} prismatic planes, were grown as high-temperature-type Cd crystals by the thin layer VLS mechanism at Ts (growth temperature) ≥ Tt (transition temperature range: 250-260°C). Pencil-shaped Cd crystals (low-temperature-type Cd crystals) were grown, having {10\\bar{1}0} and {11\\bar{2}0} prismatic planes and {10\\bar{1}1} pyramidal planes by the mixed-type VLS mechanism at Ts≤Tt. When the growth temperature was decreased below Tt, the shape of the solid-liquid interface changed from rounded to faceted. Three processes for the termination of the mixed-type VLS growth are proposed.

  20. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    DTIC Science & Technology

    2014-11-18

    substrate and material. To better explain the experimental results and estimate the strain transferred to MoS2 layer under such tensile tests, a 3D... ACS Nano 7, 7126 7131 (2013). 29. He, K., Poole, C., Mak, K. F. & Shan, J. Experimental demonstration of continuous electronic structure tuning via...transition as it is thinned down from multi layer to monolayer, producing a significant enhancement of photoluminescence (PL) quantum yield as a result of the

  1. Robust optical properties of sandwiched lateral composition modulation GaInP structure grown by molecular beam epitaxy

    DOE PAGES

    Park, Kwangwook; Kang, Seokjin; Ravindran, Sooraj; ...

    2016-12-26

    Double-hetero structure lateral composition modulated (LCM) GaInP and sandwiched LCM GaInP having the same active layer thickness were grown and their optical properties were compared. Sandwiched LCM GaInP showed robust optical properties due to periodic potential nature of the LCM structure, and the periodicity was undistorted even for thickness far beyond the critical layer thickness. A thick LCM GaInP structure with undistorted potential that could preserve the properties of native LCM structure was possible by stacking thin LCM GaInP structures interspaced with strain compensating GaInP layers. Furthermore, the sandwiched structure could be beneficial in realizing the LCM structure embedded highmore » efficiency solar cells.« less

  2. Reconstruction of Hyaline Cartilage Deep Layer Properties in 3-Dimensional Cultures of Human Articular Chondrocytes.

    PubMed

    Nanduri, Vibudha; Tattikota, Surendra Mohan; T, Avinash Raj; Sriramagiri, Vijaya Rama Rao; Kantipudi, Suma; Pande, Gopal

    2014-06-01

    Articular cartilage (AC) injuries and malformations are commonly noticed because of trauma or age-related degeneration. Many methods have been adopted for replacing or repairing the damaged tissue. Currently available AC repair methods, in several cases, fail to yield good-quality long-lasting results, perhaps because the reconstructed tissue lacks the cellular and matrix properties seen in hyaline cartilage (HC). To reconstruct HC tissue from 2-dimensional (2D) and 3-dimensional (3D) cultures of AC-derived human chondrocytes that would specifically exhibit the cellular and biochemical properties of the deep layer of HC. Descriptive laboratory study. Two-dimensional cultures of human AC-derived chondrocytes were established in classical medium (CM) and newly defined medium (NDM) and maintained for a period of 6 weeks. These cells were suspended in 2 mm-thick collagen I gels, placed in 24-well culture inserts, and further cultured up to 30 days. Properties of chondrocytes, grown in 2D cultures and the reconstructed 3D cartilage tissue, were studied by optical and scanning electron microscopic techniques, immunohistochemistry, and cartilage-specific gene expression profiling by reverse transcription polymerase chain reaction and were compared with those of the deep layer of native human AC. Two-dimensional chondrocyte cultures grown in NDM, in comparison with those grown in CM, showed more chondrocyte-specific gene activity and matrix properties. The NDM-grown chondrocytes in 3D cultures also showed better reproduction of deep layer properties of HC, as confirmed by microscopic and gene expression analysis. The method used in this study can yield cartilage tissue up to approximately 1.6 cm in diameter and 2 mm in thickness that satisfies the very low cell density and matrix composition properties present in the deep layer of normal HC. This study presents a novel and reproducible method for long-term culture of AC-derived chondrocytes and reconstruction of cartilage

  3. Effects of aluminum on epitaxial graphene grown on C-face SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Chao, E-mail: chaxi@ifm.liu.se; Johansson, Leif I.; Hultman, Lars

    The effects of Al layers deposited on graphene grown on C-face SiC substrates are investigated before and after subsequent annealing using low energy electron diffraction (LEED), photoelectron spectroscopy, and angle resolved photoemission. As-deposited layers appear inert. Annealing at a temperature of about 400 °C initiates migration of Al through the graphene into the graphene/SiC interface. Further annealing at temperatures from 500 °C to 700 °C induces formation of an ordered compound, producing a two domain √7× √7R19° LEED pattern and significant changes in the core level spectra that suggest formation of an Al-Si-C compound. Decomposition of this compound starts after annealing at 800 °C, andmore » at 1000 °C, Al is no longer possible to detect at the surface. On Si-face graphene, deposited Al layers did not form such an Al-Si-C compound, and Al was still detectable after annealing above 1000 °C.« less

  4. Studying Pulsed Laser Deposition conditions for Ni/C-based multi-layers

    NASA Astrophysics Data System (ADS)

    Bollmann, Tjeerd R. J.

    2018-04-01

    Nickel carbon based multi-layers are a viable route towards future hard X-ray and soft γ-ray focusing telescopes. Here, we study the Pulsed Laser Deposition growth conditions of such bilayers by Reflective High Energy Electron Diffraction, X-ray Reflectivity and Diffraction, Atomic Force Microscopy, X-ray Photoelectron Spectroscopy and cross-sectional Transmission Electron Microscopy analysis, with emphasis on optimization of process pressure and substrate temperature during growth. The thin multi-layers are grown on a treated SiO substrate resulting in Ni and C layers with surface roughnesses (RMS) of ≤0.2 nm. Small droplets resulting during melting of the targets surface increase the roughness, however, and cannot be avoided. The sequential process at temperatures beyond 300 °C results into intermixing between the two layers, being destructive for the reflectivity of the multi-layer.

  5. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    NASA Astrophysics Data System (ADS)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  6. Synthesis of Novel Double-Layer Nanostructures of SiC–WOxby a Two Step Thermal Evaporation Process

    PubMed Central

    2009-01-01

    A novel double-layer nanostructure of silicon carbide and tungsten oxide is synthesized by a two-step thermal evaporation process using NiO as the catalyst. First, SiC nanowires are grown on Si substrate and then high density W18O49nanorods are grown on these SiC nanowires to form a double-layer nanostructure. XRD and TEM analysis revealed that the synthesized nanostructures are well crystalline. The growth of W18O49nanorods on SiC nanowires is explained on the basis of vapor–solid (VS) mechanism. The reasonably better turn-on field (5.4 V/μm) measured from the field emission measurements suggest that the synthesized nanostructures could be used as potential field emitters. PMID:20596292

  7. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  8. The Assembling of Poly (3-Octyl-Thiophene) on CVD Grown Single Layer Graphene

    NASA Astrophysics Data System (ADS)

    Jiang, Yanqiu; Yang, Ling; Guo, Zongxia; Lei, Shengbin

    2015-12-01

    The interface between organic semiconductor and graphene electrode, especially the structure of the first few molecular layers at the interface, is crucial for the device properties such as the charge transport in organic field effect transistors. In this work, we have used scanning tunneling microscopy to investigate the poly (3-octyl-thiophene) (P3OT)-graphene interface. Our results reveal the dynamic assembling of P3OT on single layer graphene. As on other substrates the epitaxial effect plays a role in determining the orientation of the P3OT assembling, however, the inter-thiophene distance along the backbone is consistent with that optimized in vaccum, no compression was observed. Adsorption of P3OT on ripples is weaker due to local curvature, which has been verified both by scanning tunneling microscopy and density functional theory simulation. Scanning tunneling microscopy also reveals that P3OT tends to form hairpin folds when meets a ripple.

  9. Ultrafast optical measurements of surface waves on a patterned layered nanostructure

    NASA Astrophysics Data System (ADS)

    Daly, Brian; Bjornsson, Matteo; Connolly, Aine; Mahat, Sushant; Rachmilowitz, Bryan; Antonelli, George; Myers, Alan; Yoo, Hui-Jae; Singh, Kanwal; King, Sean

    2015-03-01

    We report ultrafast optical pump-probe measurements of 12 - 54 GHz surface acoustic waves (SAWs) on patterned layered nanostructures. These very high frequency SAWs were generated and detected on the following patterned film stack: 25 nm physically vapor deposited TiN / 180 nm porous PECVD-grown a-SiOC:H dielectric / 12 nm non-porous PECVD-grown a-SiOC:H etch-stop / 100 nm CVD-grown a-SiO2 / Si (100) substrate. The TiN layer was dry plasma etched to form lines of rectangular cross section with pitches of 420 nm, 250 nm, 180 nm, and 168 nm and the lines were oriented parallel to the [110] direction on the wafer surface. The absorption of ultrafast pulses from a Ti:sapphire oscillator operating at 800 nm generated SAWs that were detected by time-delayed probe pulses from the same oscillator via a reflectivity change (ΔR) . In each of the four cases the SAW frequency increased with decreasing pitch, but not in a linear way as had been seen in previous experiments of this sort. By comparing the results with mechanical simulations, we present evidence for the detection of different types of SAWs in each case, including Rayleigh-like waves, Sezawa waves, and leaky or radiative waves. This work was supported by NSF Award DMR1206681.

  10. Comparison of as-grown and annealed GaN/InGaN : Mg samples

    NASA Astrophysics Data System (ADS)

    Deng, Qingwen; Wang, Xiaoliang; Xiao, Hongling; Wang, Cuimei; Yin, Haibo; Chen, Hong; Lin, Defeng; Jiang, Lijuan; Feng, Chun; Li, Jinmin; Wang, Zhanguo; Hou, Xun

    2011-08-01

    Mg-doped InGaN was grown on unintentionally doped GaN layer, and Mg and defect behaviours in both GaN and InGaN : Mg were investigated through photoluminescence measurement at 7 K. Mg acceptor was found in unintentionally doped GaN after thermal annealing in N2 ambient, and Mg activation energy was estimated to be 200 meV and 110 meV for GaN and InGaN, respectively. Particularly, the ultraviolet band (3.0-3.2 eV) in the GaN layer was infrequently observed in the unannealed sample but quenched in the annealed sample; this band may be associated with oxygen-substituted nitrogen defects. Moreover, the measurement errors of photoluminescence and x-ray diffraction originated from strain were taken into account.

  11. Inorganic Substrates and Encapsulation Layers for Transient Electronics

    DTIC Science & Technology

    2014-07-01

    surface oxidation of the nitrides, the measurements were conducted shortly after oxide removal in buffered oxide etchant (BOE) 6:1 (Transene Company Inc...values for the time-dependent dissolution of thermally grown SiO2 (dry oxidation) in buffer solutions (black, pH 7.4; red, pH 8; blue, pH 10...22 5.1.3 Contractor will Identify and Measure Key Performance Characteristics of Candidate Metal Conductive Layers for

  12. Field emission from amorphous carbon films grown by electrochemical deposition using methanol liquid

    NASA Astrophysics Data System (ADS)

    Kiyota, H.; Higashi, M.; Kurosu, T.; Iida, M.

    2006-05-01

    The field emission from an amorphous carbon (a-C) film grown by electrochemical deposition has been studied. The deposition of the a-C film was accomplished by applying a direct-current potential to a substrate that was immersed in methanol. Both scanning electron microscopy and Raman results indicate that smooth and homogeneous a-C films are grown on specific substrates such as Ti and Al. Field emission measurements demonstrate excellent emission properties such as threshold fields as low as 5 V/μm. Enhancement factors are estimated to be in the range of 1300-1500; these are attributed to local field enhancements around sp2 carbon clusters that are embedded in the a-C films. Emission properties of a-C films grown on Si exhibit a current saturation under higher applied fields. These saturation characteristics are explained by effects of a potential barrier at the interface between the a-C film and the substrate. The interface barrier is reduced by formation of the Ti interfacial layer, suggesting that the formation of TiC decreases the contact resistance between the substrate and the a-C film. Therefore, an approach to use carbide formation at the interface is verified as useful to improve the emission properties of a-C films.

  13. Ferromagnetism and the electronic band structure in (Ga,Mn)(Bi,As) epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yastrubchak, O.; Sadowski, J.; Gluba, L.; Domagala, J. Z.; Rawski, M.; Żuk, J.; Kulik, M.; Andrearczyk, T.; Wosinski, T.

    2014-08-01

    Impact of Bi incorporation into (Ga,Mn)As layers on their electronic- and band-structures as well as their magnetic and structural properties has been studied. Homogenous (Ga,Mn)(Bi,As) layers of high structural perfection have been grown by the low-temperature molecular-beam epitaxy technique. Post-growth annealing treatment of the layers results in an improvement of their structural and magnetic properties and an increase in the hole concentration in the layers. The modulation photoreflectance spectroscopy results are consistent with the valence-band model of hole-mediated ferromagnetism in the layers. This material combines the properties of (Ga,Mn)As and Ga(Bi,As) ternary compounds and offers the possibility of tuning its electrical and magnetic properties by controlling the alloy composition.

  14. LWIR HgCdTe Detectors Grown on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Vilela, M. F.; Lofgreen, D. D.; Smith, E. P. G.; Newton, M. D.; Venzor, G. M.; Peterson, J. M.; Franklin, J. J.; Reddy, M.; Thai, Y.; Patten, E. A.; Johnson, S. M.; Tidrow, M. Z.

    2008-09-01

    Long-wavelength infrared (LWIR) HgCdTe p-on- n double-layer heterojunctions (DLHJs) for infrared detector applications have been grown on 100 mm Ge (112) substrates by molecular beam epitaxy (MBE). The objective of this current work was to grow our baseline p-on- n DLHJ detector structure (used earlier on Si substrates) on 100 mm Ge substrates in the 10 μm to 11 μm LWIR spectral region, evaluate the material properties, and obtain some preliminary detector performance data. Material characterization techniques included are X-ray rocking curves, etch pit density (EPD) measurements, compositional uniformity determined from Fourier-transform infrared (FTIR) transmission, and doping concentrations determined from secondary-ion mass spectroscopy (SIMS). Detector properties include resistance-area product (RoA), spectral response, and quantum efficiency. Results of LWIR HgCdTe detectors and test structure arrays (TSA) fabricated on both Ge and silicon (Si) substrates are presented and compared. Material properties demonstrated include X-ray full-width of half-maximum (FWHM) as low as 77 arcsec, typical etch pit densities in mid 106 cm-2 and wavelength cutoff maximum/minimum variation <2% across the full wafer. Detector characteristics were found to be nearly identical for HgCdTe grown on either Ge or Si substrates.

  15. B Layers and Adhesion on Armco Iron Substrate

    NASA Astrophysics Data System (ADS)

    Elias-Espinosa, M.; Ortiz-Domínguez, M.; Keddam, M.; Flores-Rentería, M. A.; Damián-Mejía, O.; Zuno-Silva, J.; Hernández-Ávila, J.; Cardoso-Legorreta, E.; Arenas-Flores, A.

    2014-08-01

    In this work, a kinetic model was suggested to evaluate the boron diffusion coefficient in the Fe2B layers grown on the Armco iron substrate by the powder-pack boriding. This thermochemical treatment was carried out in the temperature range of 1123-1273 K for treatment times ranging from 2 to 8 h. The boron diffusion coefficient in the Fe2B layers was estimated by solving the mass balance equation at the (Fe2B/substrate) interface with an inclusion of boride incubation time. To validate the present model, the simulated value of Fe2B layer thickness was compared with the experimental value obtained at 1253 K for a treatment time of 5 h. The morphology of Fe2B layers was observed by SEM and optical microscopy. Metallographic studies showed that the boride layer has a saw-tooth morphology in all the samples. The layer thickness measurements were done with the help of MSQ PLUS software. The Fe2B phase was identified by x-ray diffraction method. Finally, the adherence of Fe2B layers on the Armco iron substrate was qualitatively evaluated by using the Daimler-Benz Rockwell-C indentation technique. In addition, the estimated value of boron activation energy was compared to the literature data.

  16. Carbon reactivation kinetics in GaAs: Its dependence on dopant precursor, doping level, and layer thickness

    NASA Astrophysics Data System (ADS)

    Mimila-Arroyo, J.; Bland, S.; Barbé, M.

    2002-05-01

    The reactivation kinetics of the acceptor behavior of carbon, its dependence on dopant precursors, doping level, layer thickness, and annealing temperature, as well as the behavior of carbon-hydrogen complexes in GaAs grown by metalorganic chemical vapor deposition are studied. Independent of the carbon source, in the "as grown" material, systematically carbon hydrogen complexes are present and the hole concentration is lower than the corresponding carbon concentration. The carbon reactivation kinetics was achieved by ex situ rapid thermal annealing through a series of multistage annealing experiments and assessed at each annealing stage by infrared absorption, hydrogen secondary ion mass spectroscopy profiling, and hole concentration measurements. Carbon reactivation occurs solely by the debonding of hydrogen from the isolated carbon acceptor and its out-diffusion from the sample. The carbon reactivation kinetics can be treated as a first order one with an activation energy, Ea=1.42±0.01 eV, independent of doping precursors, doping level, and layer thickness. The reactivation constant results to decrease as doping level and layer thickness increase. An empirical formula has been obtained that allows one to calculate the reactivation constant as a function of the carbon doping, layer thickness, and annealing temperature, allowing one to determine the optimal carbon reactivation conditions for any C:GaAs layer.

  17. Electron Scattering at Surfaces of Epitaxial Metal Layers

    NASA Astrophysics Data System (ADS)

    Chawla, Jasmeet Singh

    In the field of electron transport in metal films and wires, the 'size effect' refers to the increase in the resistivity of the films and wires as their critical dimensions (thickness of film, width and height of wires) approach or become less than the electron mean free path lambda, which is, for example, 39 nm for bulk copper at room temperature. This size-effect is currently of great concern to the semiconductor industry because the continued downscaling of feature sizes has already lead to Cu interconnect wires in this size effect regime, with a reported 2.5 times higher resistivity for 40 nm wide Cu wires than for bulk Cu. Silver is a possible alternate material for interconnect wires and titanium nitride is proposed as a gate metal in novel field-effect-transistors. Therefore, it is important to develop an understanding of how the growth, the surface morphology, and the microstructure of ultrathin (few nanometers) Cu, Ag and TiN layers affect their electrical properties. This dissertation aims to advance the scientific knowledge of electron scattering at surfaces (external surfaces and grain boundaries), that are, the primary reasons for the size-effect in metal conductors. The effect of surface and grain boundary scattering on the resistivity of Cu thin films and nanowires is separately quantified using (i) in situ transport measurements on single-crystal, atomically smooth Cu(001) layers, (ii) textured polycrystalline Cu(111) layers and patterned wires with independently varying grain size, thickness and line width, and (iii) in situ grown interfaces including Cu-Ta, Cu-MgO, Cu-vacuum and Cu-oxygen. In addition, the electron surface scattering is also measured in situ for single-crystal Ag(001), (111) twinned epitaxial Ag(001), and single-crystal TiN(001) layers. Cu(001), Ag(001), and TiN(001) layers with a minimum continuous thickness of 4, 3.5 and 1.8 nm, respectively, are grown by ultra-high vacuum magnetron sputter deposition on MgO(001) substrates with

  18. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  19. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  20. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  1. Formation of a Ge-rich Si1-x Ge x (x > 0.9) fin epitaxial layer condensed by dry oxidation

    NASA Astrophysics Data System (ADS)

    Jang, Hyunchul; Kim, Byongju; Koo, Sangmo; Ko, Dae-Hong

    2017-11-01

    We have selectively grown an epitaxial Si0.35Ge0.65 fin layer in a 65 nm oxide trench pattern array and formed a Ge-rich Si1-x Ge x (x > 0.9) fin layer with condensed Ge using dry oxidation. During oxidation of the SiGe fin structure, we found that the compressive strain of the condensed SiGe layer was increased by about 1.3% while Ge was efficiently condensed due to a two-dimensional oxidation reaction. In this paper, we discussed in detail the diffusion during the two-dimensional condensation reaction as well as the asymmetric biaxial strain of the SiGe fin before and after oxidation using a reciprocal space mapping measurement. The application of dry oxidation on selectively grown SiGe fin layer can be an effective method for increasing hole mobility of SiGe fin with increased Ge content and self-induced compressive strain.

  2. Deposition of thin silicon layers on transferred large area graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, Grzegorz, E-mail: lupina@ihp-microelectronics.com; Kitzmann, Julia; Lukosius, Mindaugas

    2013-12-23

    Physical vapor deposition of Si onto transferred graphene is investigated. At elevated temperatures, Si nucleates preferably on wrinkles and multilayer graphene islands. In some cases, however, Si can be quasi-selectively grown only on the monolayer graphene regions while the multilayer islands remain uncovered. Experimental insights and ab initio calculations show that variations in the removal efficiency of carbon residuals after the transfer process can be responsible for this behavior. Low-temperature Si seed layer results in improved wetting and enables homogeneous growth. This is an important step towards realization of electronic devices in which graphene is embedded between two Si layers.

  3. Multiple delta doping of single crystal cubic boron nitride films heteroepitaxially grown on (001)diamonds

    NASA Astrophysics Data System (ADS)

    Yin, H.; Ziemann, P.

    2014-06-01

    Phase pure cubic boron nitride (c-BN) films have been epitaxially grown on (001) diamond substrates at 900 °C. The n-type doping of c-BN epitaxial films relies on the sequential growth of nominally undoped (p-) and Si doped (n-) layers with well-controlled thickness (down to several nanometer range) in the concept of multiple delta doping. The existence of nominally undoped c-BN overgrowth separates the Si doped layers, preventing Si dopant segregation that was observed for continuously doped epitaxial c-BN films. This strategy allows doping of c-BN films can be scaled up to multiple numbers of doped layers through atomic level control of the interface in the future electronic devices. Enhanced electronic transport properties with higher hall mobility (102 cm2/V s) have been demonstrated at room temperature as compared to the normally continuously Si doped c-BN films.

  4. As-Received, Ozone Cleaned and Ar+ Sputtered Surfaces of Hafnium Oxide Grown by Atomic Layer Deposition and Studied by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Herman, Jacob A.; Wallace, Robert

    2012-06-27

    In this study, X-ray photoelectron spectroscopy (XPS) characterization was performed on 47 nm thick hafnium oxide (HfO{sub 2}) films grown by atomic layer deposition using TEMA-Hf/H{sub 2}O at 250 C substrate temperature. HfO{sub 2} is currently being studied as a possible replacement for Silicon Oxide (SiO{sub 2}) as a gate dielectric in electronics transistors. XPS spectra were collected on a Physical Electronics Quantum 2000 Scanning ESCA Microprobe using a monochromatic Al K{sub a} X-ray (1486.7 eV) excitation source. The sample was analyzed under the following conditions: as received, after UV irradiation for five minutes, and after sputter cleaning with 2more » kV Ar{sup +} ions for 180 seconds. Survey scans showed carbon, oxygen, and hafnium as the major species in the film, while the only minor species of argon and carbide was detected after sputtering. Adventitious carbon initially composed approximately 18.6 AT% of the surface, but after UV cleaning it was reduced to 2.4 AT%. This demonstrated that that the majority of carbon was due to adventitious carbon. However, after 2 kV Ar{sup +} sputtering there was still only trace amounts of carbon at {approx}1 AT%, Some of this trace carbon is now in the form of a carbide due to the interaction with Ar{sup +} used for sputter cleaning. Furthermore, the stoiciometric ratio of oxygen and hafnium is consistent with a high quality HfO{sub 2} film.« less

  5. Characterization of BN rich layer on ammonia treated Nextel{trademark}312 fibers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khasgiwale, N.R.; Butler, E.P.; Tsakalakos, L.

    A BN rich layer grown on Nextel{trademark}312 fibers by appropriate ammonia treatments was evaluated using various complimentary techniques including X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), Scanning Electron Microscopy (SEM), and Transmission Electron Microscopy (TEM)/Parallel Electron Energy Loss Spectroscopy (PEELS in TEM). Three different ammonia treatments were studied. Ammonia treatment resulted in crystallization of the Nextel{trademark}312 fiber. The BN rich surface layer formed due to ammonia treatment was clearly detected in XPS and PEELS both before and after oxidation. The layer thickness was estimated to be between 5--10 nm. The layer was stable after oxidation treatment at 600 C formore » 100 hours. High resolution TEM observations of the fiber surface revealed a variable BN rich layer thickness. Patches of turbostratic BN were observed under certain conditions, however mostly the layer appeared to be amorphous.« less

  6. Elemental boron-doped p(+)-SiGe layers grown by molecular beam epitaxy for infrared detector applications

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; George, T.; Jones, E. W.; Ksendzov, A.; Huberman, M. L.

    1992-01-01

    SiGe/Si heterojunction internal photoemission (HIP) detectors have been fabricated utilizing molecular beam epitaxy of p(+)-SiGe layers on p(-)-Si substrates. Elemental boron from a high-temperature effusion cell was used as the dopant source during MBE growth, and high doping concentrations have been achieved. Strong infrared absorption, mainly by free-carrier absorption, was observed for the degenerately doped SiGe layers. The use of elemental boron as the dopant source allows a low MBE growth temperature, resulting in improved crystalline quality and smooth surface morphology of the Si(0.7)Ge(0.3) layers. Nearly ideal thermionic emission dark current characteristics have been obtained. Photoresponse of the HIP detectors in the long-wavelength infrared regime has been demonstrated.

  7. Free Radical Scavenging Activity and Comparative Metabolic Profiling of In Vitro Cultured and Field Grown Withania somnifera Roots

    PubMed Central

    Senthil, Kalaiselvi; Thirugnanasambantham, Pankajavalli; Oh, Taek Joo; Kim, So Hyun; Choi, Hyung Kyoon

    2015-01-01

    Free radical scavenging activity (FRSA), total phenolic content (TPC), and total flavonoid content (TFC) of in vitro cultured and field grown Withania somnifera (Ashwagandha) roots were investigated. Withanolides analysis and comprehensive metabolic profiling between 100% methanol extracts of in vitro and field grown root tissues was performed using high performance thin layer chromatography (HPTLC) and gas chromatography-mass spectrometry (GC-MS), respectively. Significantly higher levels of FRSA, TPC, and TFC were observed in in-vitro cultured roots compared with field grown samples. In addition, 30 day-cultured in vitro root samples (1MIR) exhibited a significantly higher FRSA (IC50 81.01 μg/mL), TPC (118.91 mg GAE/g), and TFC (32.68 mg CE/g) compared with those in 45 day-cultured samples (1.5MIR). Total of 29 metabolites were identified in in vitro cultured and field grown roots by GC-MS analysis. The metabolites included alcohols, organic acids, purine, pyrimidine, sugars, and putrescine. Vanillic acid was only observed in the in vitro cultured root samples, and higher level of the vanillic acid was observed in 1MIR when compared to 1.5MIR. Therefore, it is suggested that 1MIR might serve as an alternative to field grown roots for the development of medicinal and functional food products. PMID:25874568

  8. Epitaxial ZnO/LiNbO{sub 3}/ZnO stacked layer waveguide for application to thin-film Pockels sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp; Fukuda, Hiroshi

    We produced slab waveguides consisting of a LiNbO{sub 3} (LN) core layer that was sandwiched with Al-doped ZnO cladding layers. The ZnO/LN/ZnO stacked layers were grown on sapphire C-planes by electron cyclotron resonance (ECR) plasma sputtering and were subjected to structural, electrical, and optical characterizations. X-ray diffraction confirmed that the ZnO and LN layers were epitaxial without containing misoriented crystallites. The presence of 60°-rotational variants of ZnO and LN crystalline domains were identified from X-ray pole figures. Cross-sectional transmission electron microscopy images revealed a c-axis orientated columnar texture for LN crystals, which ensured operation as electro-optic sensors based on opticalmore » anisotropy along longitudinal and transversal directions. The interfacial roughness between the LN core and ZnO bottom layers as well as that between the ZnO top and the LN core layers was less than 20 nm, which agreed with surface images observed with atomic force microscopy. Outgrowth of triangular LN crystalline domains produced large roughness at the LN film surface. The RMS roughness of the LN film surface was twice that of the same structure grown on sapphire A-planes. Vertical optical transmittance of the stacked films was higher than 85% within the visible and infrared wavelength range. Following the approach adopted by Teng and Man [Appl. Phys. Lett. 56, 1734 (1990)], ac Pockels coefficients of r{sub 33} = 24-28 pm/V were derived for c-axis oriented LN films grown on low-resistive Si substrates. Light propagation within a ZnO/LN/ZnO slab waveguide as well as within a ZnO single layer waveguide was confirmed. The birefringence of these waveguides was 0.11 for the former and 0.05 for the latter.« less

  9. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  10. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  11. S-Layer Nanosheet Binding of Zn and Gd

    DOE Data Explorer

    Ajo-Franklin, Caroline (ORCID:0000000189096712); Charrier, Marimikel; Yang, Li

    2016-04-15

    This data characterizes binding of Zn2+ and Gd3+ to engineered nanosheets at 40C and in a brine solution. The engineered nanosheets are composed of surface-layer (S-layer) proteins which form 2 D crystalline sheets and display Zn2+- or Gd3+-binding domains on these sheets. Their ability to bind Zn2+ is compared to S-layer nanosheets that do not contain Zn2+-binding domains. We found that the purification method of these nanosheets was a critical determinant of their function and thus have provided data on the binding from two different purification methods. A key distinction of this dataset from other datasets is that the engineered nanosheets were expressed and purified from E. coli grown at 37C as described in (Kinns, 2010; Howorka, 2000), Kinns, H., et al. Identifying assembly-inhibiting and assembly-tolerant sites in the SbsB S-layer protein from Geobacillus stearothermophilus. Journal of Molecular Biology, 2010. 395(4): p. 742-753. Howorka, S., et al. Surface-accessible residues in the monomeric and assembled forms of a bacterial surface layer protein. Journal of Biological Chemistry, 2000. 275(48): p. 37876-37886.

  12. Al-doped ZnO seed layer-dependent crystallographic control of ZnO nanorods by using electrochemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Hyo-Soo; Choi, Nak-Jung; Kim, Kyoung-Bo

    Highlights: • Polar and semipolar ZnO NRs were successfully achieved by hydrothermal synthesis. • Semipolar and polar ZnO NRs were grown on ZnO and AZO/m-sapphire, respectively. • Al % of AZO/m-sapphire enhanced the lateral growth rate of polar ZnO NRs. - Abstract: We investigated the effect of an Al-doped ZnO film on the crystallographic direction of ZnO nanorods (NRs) using electrochemical deposition. From high-solution X-ray diffraction measurements, the crystallographic plane of ZnO NRs grown on (1 0 0) ZnO/m-plane sapphire was (1 0 1). The surface grain size of the (100) Al-doped ZnO (AZO) film decreased with increasing Al contentmore » in the ZnO seed layer, implying that the Al dopant accelerated the three-dimensional (3D) growth of the AZO film. In addition, it was found that with increasing Al doping concentration of the AZO seed layer, the crystal orientation of the ZnO NRs grown on the AZO seed layer changed from [1 0 1] to [0 0 1]. With increasing Al content of the nonpolar (1 0 0) AZO seed layer, the small surface grains with a few crystallographic planes of the AZO film changed from semipolar (1 0 1) ZnO NRs to polar (0 0 1) ZnO NRs due to the increase of the vertical [0 0 1] growth rate of the ZnO NRs owing to excellent electrical properties.« less

  13. Positron Spectroscopy of Hydrothermally Grown Actinide Oxides

    DTIC Science & Technology

    2014-03-27

    POSITRON SPECTROSCOPY OF HYDROTHERMALLY GROWN ACTINIDE OXIDES THESIS Edward C. Schneider...United States Government. AFIT-ENP-14-M-33 POSITRON SPECTROSCOPY OF HYDROTHERMALLY GROWN ACTINIDE OXIDES THESIS...33 POSITRON SPECTROSCOPY OF HYDROTHERMALLY GROWN ACTINIDE OXIDES Edward C. Schneider, BS Captain, USAF Approved

  14. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  15. The management of stress in MOCVD-grown InGaN/GaN LED multilayer structures on Si(1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Jiang, Quanzhong; Allsopp, Duncan W. E.; Bowen, Chris R.; Wang, Wang N.

    2013-09-01

    The tensile stress in light-emitting diode (LED)-on-Si(1 1 1) multilayer structures must be reduced so that it does not compromise the multiple quantum well emission wavelength uniformity and structural stability. In this paper it is shown for non-optimized LED structures grown on Si(1 1 1) substrates that both emission wavelength uniformity and structural stability can be achieved within the same growth process. In order to gain a deeper understanding of the stress distribution within such a structure, cross-sectional Raman and photo-luminescence spectroscopy techniques were developed. It is observed that for a Si:GaN layer grown on a low-temperature (LT) AlN intermediate layer there is a decrease in compressive stress with increasing Si:GaN layer thickness during MOCVD growth which leads to a high level of tensile stress in the upper part of the layer. This may lead to the development of cracks during cooling to room temperature. Such a phenomenon may be associated with annihilation of defects such as dislocations. Therefore, a reduction of dislocation intensity should take place at the early stage of GaN growth on an AlN or AlGaN layer in order to reduce a build up of tensile stress with thickness. Furthermore, it is also shown that a prolonged three dimensional GaN island growth on a LT AlN interlayer for the reduction of dislocations may result in a reduction in the compressive stress in the resulting GaN layer.

  16. Short-period (AlAs)(GaAs) superlattice lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blood, P.; Fletcher, E.D.; Foxon, C.T.

    1988-07-25

    We have used short-period all-binary (AlAs)(GaAs) superlattices with layers as thin as three monolayers to synthesize the barrier and cladding regions of GaAs quantum well lasers grown by molecular beam epitaxy. By studying the threshold current of single- and double-well devices as a function of cavity length and temperature, we conclude that the optical scattering losses are very low, that the gain-current characteristics are similar to alloy barrier devices, and that there is evidence for current leakage by recombination in the barriers.

  17. Linear facing target sputtering of the epitaxial Ga-doped ZnO transparent contact layer on GaN-based light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Shin, Hyun-Su; Lee, Ju-Hyun; Kwak, Joon-Seop; Lee, Hyun Hwi; Kim, Han-Ki

    2013-10-01

    In this study, we reported on the plasma damage-free sputtering of epitaxial Ga-doped ZnO (GZO) films on the p-GaN layer for use as a transparent contact layer (TCL) for GaN-based light-emitting diodes (LEDs) using linear facing target sputtering (LFTS). Effective confinement of high-density plasma between faced GZO targets and the substrate position located outside of the plasma region led to the deposition of the epitaxial GZO TCL with a low sheet resistance of 25.7 Ω/s and a high transmittance of 84.6% on a p-GaN layer without severe plasma damage, which was found using the conventional dc sputtering process. The low turn-on voltage of the GaN-based LEDs with an LFTS-grown GZO TCL layer that was grown at a longer target-to-substrate distance (TSD) indicates that the plasma damage of the GaN-LED could be effectively reduced by adjusting the TSD during the LFTS process.

  18. Preferred orientations of laterally grown silicon films over amorphous substrates using the vapor-liquid-solid technique

    NASA Astrophysics Data System (ADS)

    LeBoeuf, J. L.; Brodusch, N.; Gauvin, R.; Quitoriano, N. J.

    2014-12-01

    A novel method has been optimized so that adhesion layers are no longer needed to reliably deposit patterned gold structures on amorphous substrates. Using this technique allows for the fabrication of amorphous oxide templates known as micro-crucibles, which confine a vapor-liquid-solid (VLS) catalyst of nominally pure gold to a specific geometry. Within these confined templates of amorphous materials, faceted silicon crystals have been grown laterally. The novel deposition technique, which enables the nominally pure gold catalyst, involves the undercutting of an initial chromium adhesion layer. Using electron backscatter diffraction it was found that silicon nucleated in these micro-crucibles were 30% single crystals, 45% potentially twinned crystals and 25% polycrystals for the experimental conditions used. Single, potentially twinned, and polycrystals all had an aversion to growth with the {1 0 0} surface parallel to the amorphous substrate. Closer analysis of grain boundaries of potentially twinned and polycrystalline samples revealed that the overwhelming majority of them were of the 60° Σ3 coherent twin boundary type. The large amount of coherent twin boundaries present in the grown, two-dimensional silicon crystals suggest that lateral VLS growth occurs very close to thermodynamic equilibrium. It is suggested that free energy fluctuations during growth or cooling, and impurities were the causes for this twinning.

  19. Vertically grown nanowire crystals of dibenzotetrathienocoronene (DBTTC) on large-area graphene

    DOE PAGES

    Kim, B.; Chiu, C. -Y.; Kang, S. J.; ...

    2016-06-01

    Here we demonstrate controlled growth of vertical organic crystal nanowires on single layer graphene. Using Scanning Electron Microscopy (SEM), high-resolution transition electron microscopy (TEM), and Grazing Incidence X-ray Diffraction (GIXD), we probe the microstructure and morphology of dibenzotetrathienocoronene (DBTTC) nanowires epitaxially grown on graphene. The investigation is performed at both the ensemble and single nanowire level, and as function of growth parameters, providing insight of and control over the formation mechanism. Finally, the size, density and height of the nanowires can be tuned via growth conditions, opening new avenues for tailoring three-dimensional (3-D) nanostructured architectures for organic electronics with improvedmore » functional performance.« less

  20. Interfacial band-edge engineered TiO2 protection layer on Cu2O photocathodes for efficient water reduction reaction

    NASA Astrophysics Data System (ADS)

    Choi, Jaesuk; Song, Jun Tae; Jang, Ho Seong; Choi, Min-Jae; Sim, Dong Min; Yim, Soonmin; Lim, Hunhee; Jung, Yeon Sik; Oh, Jihun

    2017-01-01

    Photoelectrochemical (PEC) water splitting has emerged as a potential pathway to produce sustainable and renewable chemical fuels. Here, we present a highly active Cu2O/TiO2 photocathode for H2 production by enhancing the interfacial band-edge energetics of the TiO2 layer, which is realized by controlling the fixed charge density of the TiO2 protection layer. The band-edge engineered Cu2O/TiO2 (where TiO2 was grown at 80 °C via atomic layer deposition) enhances the photocurrent density up to -2.04 mA/cm2 at 0 V vs. RHE under 1 sun illumination, corresponding to about a 1,200% enhancement compared to the photocurrent density of the photocathode protected with TiO2 grown at 150 °C. Moreover, band-edge engineering of the TiO2 protection layer prevents electron accumulation at the TiO2 layer and enhances both the Faraday efficiency and the stability for hydrogen production during the PEC water reduction reaction. This facile control over the TiO2/electrolyte interface will also provide new insight for designing highly efficient and stable protection layers for various other photoelectrodes such as Si, InP, and GaAs. [Figure not available: see fulltext.

  1. Atomic Structures of Silicene Layers Grown on Ag(111): Scanning Tunneling Microscopy and Noncontact Atomic Force Microscopy Observations

    PubMed Central

    Resta, Andrea; Leoni, Thomas; Barth, Clemens; Ranguis, Alain; Becker, Conrad; Bruhn, Thomas; Vogt, Patrick; Le Lay, Guy

    2013-01-01

    Silicene, the considered equivalent of graphene for silicon, has been recently synthesized on Ag(111) surfaces. Following the tremendous success of graphene, silicene might further widen the horizon of two-dimensional materials with new allotropes artificially created. Due to stronger spin-orbit coupling, lower group symmetry and different chemistry compared to graphene, silicene presents many new interesting features. Here, we focus on very important aspects of silicene layers on Ag(111): First, we present scanning tunneling microscopy (STM) and non-contact Atomic Force Microscopy (nc-AFM) observations of the major structures of single layer and bi-layer silicene in epitaxy with Ag(111). For the (3 × 3) reconstructed first silicene layer nc-AFM represents the same lateral arrangement of silicene atoms as STM and therefore provides a timely experimental confirmation of the current picture of the atomic silicene structure. Furthermore, both nc-AFM and STM give a unifying interpretation of the second layer (√3 × √3)R ± 30° structure. Finally, we give support to the conjectured possible existence of less stable, ~2% stressed, (√7 × √7)R ± 19.1° rotated silicene domains in the first layer. PMID:23928998

  2. Structure and optical properties of 2D layered MoS2 crystals implemented with novel friction induced crystal growth

    NASA Astrophysics Data System (ADS)

    Tanabe, Tadao; Ito, Takafumi; Oyama, Yutaka

    2018-03-01

    We used X-ray diffraction, and Raman and photoluminescence (PL) spectroscopies to examine the structure and optical properties of molybdenum disulfide (MoS2) crystals grown by friction at the interface between two materials. MoS2 is produced chemically from molybdenum dithiocarbamates (MoDTC) in synthetic oil under sliding friction conditions. The X-ray diffraction (XRD) patterns indicate that the structure of the MoS2 is layered with the c-axis perpendicular to the surface. The MoS2 layer was formed on stainless steel and germanium by friction at the interface between these materials and high carbon chromium bearing steel. The number of layers is estimated to be N (N > 6) from the distance between the Raman frequencies of the E12g and A1g modes. For MoS2 grown on stainless steel, exciton peak is observed in the PL spectrum at room temperature. These results show that this friction induced crystal growth method is viable for synthesizing atomic layers of MoS2 at solid surfaces.

  3. Improvement of laser molecular beam epitaxy grown SrTiO3 thin film properties by temperature gradient modulation growth

    NASA Astrophysics Data System (ADS)

    Li, Jin Long; Hao, J. H.; Li, Y. R.

    2007-09-01

    Oxygen diffusion at the SrTiO3/Si interface was analyzed. A method called temperature gradient modulation growth was introduced to control oxygen diffusion at the interface of SrTiO3/Si. Nanoscale multilayers were grown at different temperatures at the initial growing stage of films. Continuous growth of SrTiO3 films was followed to deposit on the grown sacrificial layers. The interface and crystallinity of SrTiO3/Si were investigated by in situ reflection high energy electron diffraction and x-ray diffraction measurements. It has been shown that the modulated multilayers may help suppress the interfacial diffusion, and therefore improve SrTiO3 thin film properties.

  4. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  5. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    NASA Astrophysics Data System (ADS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-11-01

    A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  6. Critical CuI buffer layer surface density for organic molecular crystal orientation change

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Kwangseok; Kim, Jong Beom; Lee, Dong Ryeol, E-mail: drlee@ssu.ac.kr

    We have determined the critical surface density of the CuI buffer layer inserted to change the preferred orientation of copper phthalocyanine (CuPc) crystals grown on the buffer layer. X-ray reflectivity measurements were performed to obtain the density profiles of the buffer layers and out-of-plane and 2D grazing-incidence X-ray diffraction measurements were performed to determine the preferred orientations of the molecular crystals. Remarkably, it was found that the preferred orientation of the CuPc film is completely changed from edge-on (1 0 0) to face-on (1 1 −2) by a CuI buffer layer with a very low surface density, so low thatmore » a large proportion of the substrate surface is bare.« less

  7. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  8. High Luminescence Efficiency from GaAsN Layers Grown by MBE with RF Nitrogen Plasma Source

    DTIC Science & Technology

    2002-01-01

    is the goal for applications in fiber optic communication systems. 1.3 micron edge- emitting lasers and VCSELs have been recently demonstrated by...GaAsN layers. CONCLUSIONS Molecular beam epitaxial growth of GaAsj_,N, layers has been studied as a function of nitrogen content and growth regimes. We...obtained are important for further improving the characteristics of InGaAsN lasers emitting at 1.3 micron. INTRODUCTION Group-Ill nitride semiconductors

  9. Film transfer enabled by nanosheet seed layers on arbitrary sacrificial substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dral, A. P.; Nijland, M.; Koster, G.

    An approach for film transfer is demonstrated that makes use of seed layers of nanosheets on arbitrary sacrificial substrates. Epitaxial SrTiO{sub 3}, SrRuO{sub 3}, and BiFeO{sub 3} films were grown on Ca{sub 2}Nb{sub 3}O{sub 10} nanosheet seed layers on phlogopite mica substrates. Cleavage of the mica substrates enabled film transfer to flexible polyethylene terephthalate substrates. Electron backscatter diffraction, X-ray diffraction, and atomic force microscopy confirmed that crystal orientation and film morphology remained intact during transfer. The generic nature of this approach is illustrated by growing films on zinc oxide substrates with a nanosheet seed layer. Film transfer to a flexiblemore » substrate was accomplished via acid etching.« less

  10. Growth and characterization of organic layers deposited on porous-patterned Si surface

    NASA Astrophysics Data System (ADS)

    Gorbach, Tamara Ya.; Smertenko, Petro S.; Olkhovik, G. P.; Wisz, Grzegorz

    2017-01-01

    The organic layers with the thickness from a few nanometers up to few micrometers have been deposited from the chemical solution at room temperature on porous patterned Si surfaces using two medical solutions: thiamine diphosphide (pH=1÷2) and metamizole sodium (pH=6÷7). Based on evolution of morphology, structural and compositional features obtained by scanning electron microscopy, X-ray analysis, reflectance high energy electron diffraction the grown mechanisms in thin organic layers are discussed in the terms of terrace-step-kink model whereas self-organized assemblies evaluated more thick layers. Transport mechanism features and possible photovoltaic properties are discussed on the base of differential current-voltage characteristics.

  11. Protecting nickel with graphene spin-filtering membranes: A single layer is enough

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martin, M.-B.; Dlubak, B.; Piquemal-Banci, M.

    2015-07-06

    We report on the demonstration of ferromagnetic spin injectors for spintronics which are protected against oxidation through passivation by a single layer of graphene. The graphene monolayer is directly grown by catalytic chemical vapor deposition on pre-patterned nickel electrodes. X-ray photoelectron spectroscopy reveals that even with its monoatomic thickness, monolayer graphene still efficiently protects spin sources against oxidation in ambient air. The resulting single layer passivated electrodes are integrated into spin valves and demonstrated to act as spin polarizers. Strikingly, the atom-thick graphene layer is shown to be sufficient to induce a characteristic spin filtering effect evidenced through the signmore » reversal of the measured magnetoresistance.« less

  12. High-performance hybrid (electrostatic double-layer and faradaic capacitor-based) polymer actuators incorporating nickel oxide and vapor-grown carbon nanofibers.

    PubMed

    Terasawa, Naohiro; Asaka, Kinji

    2014-12-02

    The electrochemical and electromechanical properties of polymeric actuators prepared using nickel peroxide hydrate (NiO2·xH2O) or nickel peroxide anhydride (NiO2)/vapor-grown carbon nanofibers (VGCF)/ionic liquid (IL) electrodes were compared with actuators prepared using solely VGCFs or single-walled carbon nanotubes (SWCNTs) and an IL. The electrode in these actuator systems is equivalent to an electrochemical capacitor (EC) exhibiting both electrostatic double-layer capacitor (EDLC)- and faradaic capacitor (FC)-like behaviors. The capacitance of the metal oxide (NiO2·xH2O or NiO2)/VGCF/IL electrode is primarily attributable to the EDLC mechanism such that, at low frequencies, the strains exhibited by the NiO2·xH2O/VGCF/IL and NiO2/VGCF/IL actuators primarily result from the FC mechanism. The VGCFs in the NiO2·xH2O/VGCF/IL and NiO2/VGCF/IL actuators strengthen the EDLC mechanism and increase the electroconductivity of the devices. The mechanism underlying the functioning of the NiO2·xH2O/VGCF/IL actuator in which NiO2·xH2O/VGCF = 1.0 was found to be different from that of the devices produced using solely VGCFs or SWCNTs, which exhibited only the EDLC mechanism. In addition, it was found that both NiO2 and VGCFs are essential with regard to producing actuators that are capable of exhibiting strain levels greater than those of SWCNT-based polymer actuators and are thus suitable for practical applications. Furthermore, the frequency dependence of the displacement responses of the NiO2·xH2O/VGCF and NiO2/VGCF polymer actuators were successfully simulated using a double-layer charging kinetic model. This model, which accounted for the oxidization and reduction reactions of the metal oxide, can also be applied to SWCNT-based actuators. The results of electromechanical response simulations for the NiO2·xH2O/VGCF and NiO2/VGCF actuators predicted the strains at low frequencies as well as the time constants of the devices, confirming that the model is applicable

  13. The low coherence Fabry-Pérot interferometer with diamond and ZnO layers

    NASA Astrophysics Data System (ADS)

    Majchrowicz, D.; Den, W.; Hirsch, M.

    2016-09-01

    The authors present a fiber-optic Fabry-Pérot interferometer built with the application of diamond and zinc oxide (ZnO) thin layers. Thin ZnO films were deposited on the tip of a standard telecommunication single-mode optical fiber (SMF- 28) while the diamond layer was grown on the plate of silicon substrate. Investigated ZnO layers were fabricated by atomic layer deposition (ALD) and the diamond films were deposited using Microwave Plasma Enhanced Chemical Vapor Deposition (μPE CVD) system. Different thickness of layers was examined. The measurements were performed for the fiber-optic Fabry-Pérot interferometer working in the reflective mode. Spectra were registered for various thicknesses of ZnO layer and various length of the air cavity. As a light source, two superluminescent diodes (SLD) with central wavelength of 1300 nm and 1550 nm were used in measurement set-up.

  14. Gold diffusion in mercury cadmium telluride grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Selamet, Yusuf; Singh, Rasdip; Zhao, Jun; Zhou, Yong D.; Sivananthan, Sivalingam; Dhar, Nibir K.

    2003-12-01

    The growth and characterization of Au-doped HgCdTe layers on (211)B CdTe/Si substrates grown by molecular beam epitaxy reported. The electrical properties of these layers studied for diffusion are presented. For ex-situ experiments, thin Au layers were deposited by evaporation and annealed at various temperatures and times to investigate the p-type doping properties and diffusion of Au in HgCdTe. The atomic distribution of the diffused Au was determined by secondary ion mass spectroscopy. We found clear evidence for p-type doping of HgCdTe:Au by in-situ and ex-situ methods. For in-situ doped layers, we found that, the Au cell temperature needs to be around 900°C to get p-type behavior. The diffusion coefficient of Au in HgCdTe was calculated by fitting SIMS profiles after annealing. Both complementary error functions and gaussian fittings were used, and were in full agreement. Diffusion coefficient as low as 8x10-14cm2/s observed for a sample annealed at 250°C and slow component of a diffusion coefficient as low as 2x10-15 cm2/s observed for a sample annealed at 300°C. Our preliminary results indicate no appreciable diffusion of Au in HgCdTe under the conditions used in these studies. Further work is in progress to confirm these results and to quantify our SIMS profiles.

  15. Rapid and Nondestructive Identification of Polytypism and Stacking Sequences in Few-Layer Molybdenum Diselenide by Raman Spectroscopy

    DOE PAGES

    Lu, Xin; Utama, M. Iqbal Bakti; Lin, Junhao; ...

    2015-07-02

    Various combinations of interlayer shear modes emerge in few-layer molybdenum diselenide grown by chemical vapor deposition depending on the stacking configuration of the sample. Raman measurements may also reveal polytypism and stacking faults, as supported by first principles calculations and high-resolution transmission electron microscopy. Thus, Raman spectroscopy is an important tool in probing stacking-dependent properties in few-layer 2D materials.

  16. Interfacial Coupling and Electronic Structure of Two-Dimensional Silicon Grown on the Ag(111) Surface at High Temperature.

    PubMed

    Feng, Jiagui; Wagner, Sean R; Zhang, Pengpeng

    2015-06-18

    Freestanding silicene, a monolayer of Si arranged in a honeycomb structure, has been predicted to give rise to massless Dirac fermions, akin to graphene. However, Si structures grown on a supporting substrate can show properties that strongly deviate from the freestanding case. Here, combining scanning tunneling microscopy/spectroscopy and differential conductance mapping, we show that the electrical properties of the (√3 x √3) phase of few-layer Si grown on Ag(111) strongly depend on film thickness, where the electron phase coherence length decreases and the free-electron-like surface state gradually diminishes when approaching the interface. These features are presumably attributable to the inelastic inter-band electron-electron scattering originating from the overlap between the surface state, interface state and the bulk state of the substrate. We further demonstrate that the intrinsic electronic structure of the as grown (√3 x √3) phase is identical to that of the (√3 x √3)R30° reconstructed Ag on Si(111), both of which exhibit the parabolic energy-momentum dispersion relation with comparable electron effective masses. These findings highlight the essential role of interfacial coupling on the properties of two-dimensional Si structures grown on supporting substrates, which should be thoroughly scrutinized in pursuit of silicene.

  17. In situ monitoring of atomic layer epitaxy via optical ellipsometry

    NASA Astrophysics Data System (ADS)

    Lyzwa, F.; Marsik, P.; Roddatis, V.; Bernhard, C.; Jungbauer, M.; Moshnyaga, V.

    2018-03-01

    We report on the use of time-resolved optical ellipsometry to monitor the deposition of single atomic layers with subatomic sensitivity. Ruddlesden-Popper thin films of SrO(SrTiO3) n=4 were grown by means of metalorganic aerosol deposition in the atomic layer epitaxy mode on SrTiO3(1 0 0), LSAT(1 0 0) and DyScO3(1 1 0) substrates. The measured time dependences of ellipsometric angles, Δ(t) and Ψ(t), were described by using a simple optical model, considering the sequence of atomic layers SrO and TiO2 with corresponding bulk refractive indices. As a result, valuable online information on the atomic layer epitaxy process was obtained. Ex situ characterization techniques, i.e. transmission electron microscopy, x-ray diffraction and x-ray reflectometry verify the crystal structure and confirm the predictions of optical ellipsometry.

  18. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  19. Effect of metallic capping layers on the superconductivity in FeSe thin films.

    NASA Astrophysics Data System (ADS)

    Shibayev, Pavel; Salehi, Maryam; Moon, Jisoo; Oh, Seongshik; Oh Lab Team

    In the past few years, there has been an increased interest in understanding the superconducting behavior of iron selenide (FeSe). Past efforts of others aimed at growing FeSe thin films yielded some success in reaching a Tc of 40K, but at present there is a stark lack of consensus among groups working on this problem. We set a goal of growing FeSe on insulating SrTiO3 (STO) substrates by optimizing both the growth temperature and the protection layer. In our quest to achieve this, we concentrate on keeping track of each compound's structural evolution with temperature via RHEED, an aspect often overlooked in papers describing FeSe growth, thus presenting a unique perspective to tackling this multifaceted challenge. Our group has grown 1, 3, and 30 unit-cell thick FeSe on STO using a state-of-the-art molecular beam epitaxy (MBE) system in our lab. Crucially, we expect to search for superconductivity in FeSe capped by unprecedented metallic protection layers. In addition, the FeSe/STO heterostructures with FeTe protection layers will be grown to enable comparison of existing transport data and scanning tunneling spectra (STS) to data involving our own novel cappings. Support: NSF EFRI Scholars program (1542798), EPiQS Initiative (GBMF4418).

  20. High-fluence Ga-implanted silicon-The effect of annealing and cover layers

    NASA Astrophysics Data System (ADS)

    Fiedler, J.; Heera, V.; Hübner, R.; Voelskow, M.; Germer, S.; Schmidt, B.; Skorupa, W.

    2014-07-01

    The influence of SiO2 and SiNx cover layers on the dopant distribution as well as microstructure of high fluence Ga implanted Si after thermal processing is investigated. The annealing temperature determines the layer microstructure and the cover layers influence the obtained Ga profile. Rapid thermal annealing at temperatures up to 750 °C leads to a polycrystalline layer structure containing amorphous Ga-rich precipitates. Already after a short 20 ms flash lamp annealing, a Ga-rich interface layer is observed for implantation through the cover layers. This effect can partly be suppressed by annealing temperatures of at least 900 °C. However, in this case, Ga accumulates in larger, cone-like precipitates without disturbing the surrounding Si lattice parameters. Such a Ga-rich crystalline Si phase does not exist in the equilibrium phase diagram according to which the Ga solubility in Si is less than 0.1 at. %. The Ga-rich areas are capped with SiOx grown during annealing which only can be avoided by the usage of SiNx cover layers.

  1. Localized variations in electronic structure of AlGaN/GaN heterostructures grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Smith, K. V.; Yu, E. T.; Elsass, C. R.; Heying, B.; Speck, J. S.

    2001-10-01

    Local electronic properties in a molecular-beam-epitaxy-grown AlxGa1-xN/GaN heterostructure field-effect transistor epitaxial layer structure are probed using depth-resolved scanning capacitance microscopy. Theoretical analysis of contrast observed in scanning capacitance images acquired over a range of bias voltages is used to assess the possible structural origins of local inhomogeneities in electronic structure, which are shown to be concentrated in areas where Ga droplets had formed on the surface during growth. Within these regions, there are significant variations in the local electronic structure that are attributed to variations in both AlxGa1-xN layer thickness and Al composition. Increased charge trapping is also observed in these regions.

  2. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  3. Interaction of metal layers with polycrystalline Si

    NASA Technical Reports Server (NTRS)

    Nakamura, K.; Olowolafe, J. O.; Lau, S. S.; Nicolet, M.-A.; Mayer, J. W.; Shima, R.

    1976-01-01

    Solid-phase reactions of metal films deposited on 0.5-micron-thick polycrystalline layers of Si grown by chemical vapor deposition at 640 C were investigated by MeV He-4 backscattering spectrometry, glancing angle X-ray diffraction, and SEM observations. For the metals Al, Ag, and Au, which form simple eutectics, heat treatment at temperatures below the eutectic results in erosion of the poly-Si layer and growth of Si crystallites in the metal film. Crystallite formation is observed at temperatures exceeding 550 C for Ag, at those exceeding 400 C for Al, and at those exceeding 200 C for Au films. For Pd, Ni, and Cr, heat treatment results in silicide formation. The same initial silicides (Pd2Si, Ni2Si, and CrSi2), are formed at similar temperatures on single-crystal substrates.

  4. Characteristics of InN epilayers grown with H2-assistance

    NASA Astrophysics Data System (ADS)

    Zhou, Jin; Li, Jinchai; Lu, Shiqiang; Kang, Junyong; Lin, Wei

    2017-11-01

    A series of InN films were grown on GaN-on-sapphire template with H2 pulse flow by metal organic vapor phase epitaxy. The scanning electron microscopy and atomic force microscopy observations demonstrate that the smooth surface has been achieved. The X-ray diffraction and Raman spectra measurements indicate that InN layers experience stronger accommodated compressive stress, resulting in a larger fraction of (002) oriented InN grains. On the basics of the first-principles calculations, these features can be understand as competition between N-penetrating effect with the assistance of the H atom and the etching effect of H2. Finally, the absorption spectra in conjunction with simulated results reveal that the band gap energy predominantly increase with increasing compressive strain.

  5. Characterization of aluminum selenide bi-layer thin film

    NASA Astrophysics Data System (ADS)

    Boolchandani, Sarita; Soni, Gyanesh; Srivastava, Subodh; Vijay, Y. K.

    2018-05-01

    The Aluminum Selenide (AlSe) bi-layer thin films were grown on glass substrate using thermal evaporation method under high vacuum condition. The morphological characterization was done using SEM. Electrical measurement with temperature variation shows that thin films exhibit the semiconductor nature. The optical properties of prepared thin films have also been characterized by UV-VIS spectroscopy measurements. The band gap of composite thin films has been calculated by Tauc's relation at different temperature ranging 35°C-100°C.

  6. Strain Engineering of Epitaxially Transferred, Ultrathin Layers of III-V Semiconductor on Insulator

    DTIC Science & Technology

    2011-01-01

    The structure of the source wafer is shown schematically in Fig. 2a, with both InAs and AlGaSb layers coherently strained to the GaSb 001...is due to the surface plasmon-LO phonon FIG. 2. Color online a The structure of GaSb /AlGaSb/InAs source wafer with an assumed strain state for...insulator layers obtained from an epitaxial transfer process is studied. The as-grown InAs epilayer 10–20 nm thick on the GaSb /AlGaSb source wafer has the

  7. Highly Uniform Atomic Layer-Deposited MoS2@3D-Ni-Foam: A Novel Approach To Prepare an Electrode for Supercapacitors.

    PubMed

    Nandi, Dip K; Sahoo, Sumanta; Sinha, Soumyadeep; Yeo, Seungmin; Kim, Hyungjun; Bulakhe, Ravindra N; Heo, Jaeyeong; Shim, Jae-Jin; Kim, Soo-Hyun

    2017-11-22

    This article takes an effort to establish the potential of atomic layer deposition (ALD) technique toward the field of supercapacitors by preparing molybdenum disulfide (MoS 2 ) as its electrode. While molybdenum hexacarbonyl [Mo(CO) 6 ] serves as a novel precursor toward the low-temperature synthesis of ALD-grown MoS 2 , H 2 S plasma helps to deposit its polycrystalline phase at 200 °C. Several ex situ characterizations such as X-ray diffractometry (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and so forth are performed in detail to study the as-grown MoS 2 film on a Si/SiO 2 substrate. While stoichiometric MoS 2 with very negligible amount of C and O impurities was evident from XPS, the XRD and high-resolution transmission electron microscopy analyses confirmed the (002)-oriented polycrystalline h-MoS 2 phase of the as-grown film. A comparative study of ALD-grown MoS 2 as a supercapacitor electrode on 2-dimensional stainless steel and on 3-dimensional (3D) Ni-foam substrates clearly reflects the advantage and the potential of ALD for growing a uniform and conformal electrode material on a 3D-scaffold layer. Cyclic voltammetry measurements showed both double-layer capacitance and capacitance contributed by the faradic reaction at the MoS 2 electrode surface. The optimum number of ALD cycles was also found out for achieving maximum capacitance for such a MoS 2 @3D-Ni-foam electrode. A record high areal capacitance of 3400 mF/cm 2 was achieved for MoS 2 @3D-Ni-foam grown by 400 ALD cycles at a current density of 3 mA/cm 2 . Moreover, the ALD-grown MoS 2 @3D-Ni-foam composite also retains high areal capacitance, even up to a high current density of 50 mA/cm 2 . Finally, this directly grown MoS 2 electrode on 3D-Ni-foam by ALD shows high cyclic stability (>80%) over 4500 charge-discharge cycles which must invoke the research community to further explore the potential of ALD for such applications.

  8. Highly strained InxGa(1-x)As-InyAl(1-y)As (x>0.8,y<0.3) layers for short wavelength QWIP and QCL structures grown by MBE

    NASA Astrophysics Data System (ADS)

    Missous, M.; Mitchell, C.; Sly, J.; Lai, K. T.; Gupta, R.; Haywood, S. K.

    2004-01-01

    Highly strained quantum cascade laser (QCL) and quantum well infrared photodetector (QWIPs) structures based on InxGa(1-x)As-InyAl(1-y)As (x>0.8,y<0.3) layers have been grown by molecular beam epitaxy. Conditions of exact stoichiometric growth were used at a temperature of ∼420°C to produce structures that are suitable for both emission and detection in the 2- 5 μm mid-infrared regime. High structural integrity, as assessed by double crystal X-ray diffraction, room temperature photoluminescence and electrical characteristics were observed. Strong room temperature intersubband absorption in highly tensile strained and strain-compensated In 0.84Ga 0.16As/AlAs/In 0.52Al 0.48As double barrier quantum wells grown on InP substrates is demonstrated. Γ- Γ intersubband transitions have been observed across a wide range of the mid-infrared spectrum (2- 7 μm) in three structures of differing In 0.84Ga 0.16As well width (30, 45, and 80 Å). We demonstrate short-wavelength IR, intersubband operation in both detection and emission for application in QC and QWIP structures. By pushing the InGaAs-InAlAs system to its ultimate limit, we have obtained the highest band offsets that are theoretically possible in this system both for the Γ- Γ bands and the Γ-X bands, thereby opening up the way for both high power and high efficiency coupled with short-wavelength operation at room temperature. The versatility of this material system and technique in covering a wide range of the infrared spectrum is thus demonstrated.

  9. Polarization-induced hole doping in N-polar III-nitride LED grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yan, Long; Zhang, Yuantao; Han, Xu; Deng, Gaoqiang; Li, Pengchong; Yu, Ye; Chen, Liang; Li, Xiaohang; Song, Junfeng

    2018-04-01

    Polarization-induced doping has been shown to be effective for wide-bandgap III-nitrides. In this work, we demonstrated a significantly enhanced hole concentration via linearly grading an N-polar AlxGa1-xN (x = 0-0.3) layer grown by metal-organic chemical vapor deposition. The hole concentration increased by ˜17 times compared to that of N-polar p-GaN at 300 K. The fitting results of temperature-dependent hole concentration indicated that the holes in the graded p-AlGaN layer comprised both polarization-induced and thermally activated ones. By optimizing the growth conditions, the hole concentration was further increased to 9.0 × 1017 cm-3 in the graded AlGaN layer. The N-polar blue-violet light-emitting device with the graded p-AlGaN shows stronger electroluminescence than the one with the conventional p-GaN. The study indicates the potential of the polarization doping technique in high-performance N-polar light-emitting devices.

  10. Low-Temperature Growth of Two-Dimensional Layered Chalcogenide Crystals on Liquid.

    PubMed

    Zhou, Yubing; Deng, Bing; Zhou, Yu; Ren, Xibiao; Yin, Jianbo; Jin, Chuanhong; Liu, Zhongfan; Peng, Hailin

    2016-03-09

    The growth of high-quality two-dimensional (2D) layered chalcogenide crystals is highly important for practical applications in future electronics, optoelectronics, and photonics. Current route for the synthesis of 2D chalcogenide crystals by vapor deposition method mainly involves an energy intensive high-temperature growth process on solid substrates, often suffering from inhomogeneous nucleation density and grain size distribution. Here, we first demonstrate a facile vapor-phase synthesis of large-area high-quality 2D layered chalcogenide crystals on liquid metal surface with relatively low surface energy at a growth temperature as low as ∼100 °C. Uniform and large-domain-sized 2D crystals of GaSe and GaxIn1-xSe were grown on liquid metal surface even supported on a polyimide film. As-grown 2D GaSe crystals have been fabricated to flexible photodetectors, showing high photoresponse and excellent flexibility. Our strategy of energy-sustainable low-temperature growth on liquid metal surface may open a route to the synthesis of high-quality 2D crystals of Ga-, In-, Bi-, Hg-, Pb-, or Sn-based chalcogenides and halides.

  11. Role of surface energy on the morphology and optical properties of GaP micro & nano structures grown on polar and non-polar substrates

    NASA Astrophysics Data System (ADS)

    Roychowdhury, R.; Kumar, Shailendra; Wadikar, A.; Mukherjee, C.; Rajiv, K.; Sharma, T. K.; Dixit, V. K.

    2017-10-01

    Role of surface energy on the morphology, crystalline quality, electronic structure and optical properties of GaP layer grown on Si (001), Si (111), Ge (111) and GaAs (001) is investigated. GaP layers are grown on four different substrates under identical growth kinetics by metal organic vapour phase epitaxy. The atomic force microscopy images show that GaP layer completely covers the surface of GaAs substrate. On the other hand, the surfaces of Si (001), Si (111), Ge (111) substrates are partially covered with crystallographically morphed GaP island type micro and nano-structures. Origin of these crystallographically morphed GaP island is explained by the theoretical calculation of surface energy of the layer and corresponding substrates respectively. The nature of GaP island type micro and nano-structures and layers are single crystalline with existence of rotational twins on Si and Ge (111) substrates which is confirmed by the phi, omega and omega/2theta scans of high resolution x-ray diffraction. The electronic valence band offsets between the GaP and substrates have been determined from the valence band spectra of ultraviolet photoelectron spectroscopy. The valence electron plasmon of GaP are investigated by studying the energy values of Ga (3d) core level along with loss peaks in the energy dependent photoelectron spectra. The peak observed within the range of 3-6 eV from the Ga (3d) core level in the photoelectron spectra are associated to inter band transitions as their energy values are estimated from the pseudo dielectric function by the spectroscopic ellipsometry.

  12. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  13. Large area ultraviolet photodetector on surface modified Si:GaN layers

    NASA Astrophysics Data System (ADS)

    Anitha, R.; R., Ramesh; Loganathan, R.; Vavilapalli, Durga Sankar; Baskar, K.; Singh, Shubra

    2018-03-01

    Unique features of semiconductor based heterostructured photoelectric devices have drawn considerable attention in the recent past. In the present work, large area UV photodetector has been fabricated utilizing interesting Zinc oxide microstructures on etched Si:GaN layers. The surface of Si:GaN layer grown by metal organic chemical vapor deposition method on sapphire has been modified by chemical etching to control the microstructure. The photodetector exhibits response to Ultraviolet light only. Optimum etching of Si:GaN was required to exhibit higher responsivity (0.96 A/W) and detectivity (∼4.87 × 109 Jones), the two important parameters for a photodetector. Present method offers a tunable functionality of photodetector through modification of top layer microstructure. A comparison with state of art materials has also been presented.

  14. Irrigation frequency alters nutrient uptake in container-grown Rhododendron plants grown with different rates of nitrogen

    USDA-ARS?s Scientific Manuscript database

    The influence of irrigation frequency (same amount of water per day given at different times) on nutrient uptake of container-grown evergreen Rhododendron ‘P.J.M. Compact’ (PJM) and ‘English Roseum’ (ER) and deciduous Rhododendron ‘Gibraltar’ (AZ) grown with different rates of nitrogen (N) fertilize...

  15. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    NASA Astrophysics Data System (ADS)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  16. Gold coated metal nanostructures grown by glancing angle deposition and pulsed electroplating

    NASA Astrophysics Data System (ADS)

    Grüner, Christoph; Reeck, Pascal; Jacobs, Paul-Philipp; Liedtke, Susann; Lotnyk, Andriy; Rauschenbach, Bernd

    2018-05-01

    Nickel based nanostructures are grown by glancing angle deposition (GLAD) on flat and pre-patterned substrates. These fabricated porous thin films were subsequently coated by pulsed electroplating with gold. The morphology and conformity of the gold coating were investigated by scanning electron microscopy and X-ray diffraction. Controlled growth of closed gold layers on the nanostructures could be achieved, while the open-pore structure of the nanosculptured thin films was preserved. Such gold coated nanostructures are a candidate for optical sensing and catalysis applications. The demonstrated method can be applied for numerous material combinations, allowing to provide GLAD thin films with new surface properties.

  17. Magnetomechanical effect in silicon (Cz-Si) surface layers

    NASA Astrophysics Data System (ADS)

    Koplak, O. V.; Dmitriev, A. I.; Morgunov, R. B.

    2012-07-01

    The mechanical properties of near-surface layers of Czochralski-grown silicon crystals Cz- n-Si(111) have been found to undergo changes in response to an external constant magnetic field ( B ˜ 0.1 T). A magnetically induced variation in the microhardness, Young's modulus, and coefficient of plasticity of silicon crystals correlates with the change in the lattice parameter and internal stresses of the sample. The growth of an oxide film under exposure to a magnetic field plays the principal role in the magnetomechanical effect due to a decrease in the concentration of oxygen complexes in the near-surface layers of the sample. In microstructured silicon, where the surface is considerably more developed, the magnetic field induces more profound changes in the internal stresses as compared to single crystals.

  18. Comparison of O2 and H2O as oxygen source for homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Konishi, Keita; Goto, Ken; Togashi, Rie; Murakami, Hisashi; Higashiwaki, Masataka; Kuramata, Akito; Yamakoshi, Shigenobu; Monemar, Bo; Kumagai, Yoshinao

    2018-06-01

    Homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy (HVPE) using O2 or H2O as an oxygen source was investigated by thermodynamic analysis, and compared with measured properties after growth. The thermodynamic analysis revealed that Ga2O3 growth is expected even at 1000 °C using both oxygen sources due to positive driving forces for Ga2O3 deposition. The experimental results for homoepitaxial growth on (0 0 1) β-Ga2O3 substrates showed that the surfaces of the layers grown with H2O were smoother than those grown with O2, although the growth rate with H2O was approximately half that with O2. However, in the homoepitaxial layer grown using H2O, incorporation of Si impurities with a concentration almost equal to the effective donor concentration (2 × 1016 cm-3) was confirmed, which was caused by decomposition of the quartz glass reactor due to the presence of hydrogen in the system.

  19. Surface characterization of low-temperature grown yttrium oxide

    NASA Astrophysics Data System (ADS)

    Krawczyk, Mirosław; Lisowski, Wojciech; Pisarek, Marcin; Nikiforow, Kostiantyn; Jablonski, Aleksander

    2018-04-01

    The step-by-step growth of yttrium oxide layer was controlled in situ using X-ray photoelectron spectroscopy (XPS). The O/Y atomic concentration (AC) ratio in the surface layer of finally oxidized Y substrate was found to be equal to 1.48. The as-grown yttrium oxide layers were then analyzed ex situ using combination of Auger electron spectroscopy (AES), elastic-peak electron spectroscopy (EPES) and scanning electron microscopy (SEM) in order to characterize their surface chemical composition, electron transport phenomena and surface morphology. Prior to EPES measurements, the Y oxide surface was pre-sputtered by 3 kV argon ions, and the resulting AES-derived composition was found to be Y0.383O0.465C0.152 (O/Y AC ratio of 1.21). The SEM images revealed different surface morphology of sample before and after Ar sputtering. The oxide precipitates were observed on the top of un-sputtered Y oxide layer, whereas the oxide growth at the Ar ion-sputtered surface proceeded along defects lines normal to the layer plane. The inelastic mean free path (IMFP) characterizing electron transport was evaluated as a function of energy in the range of 0.5-2 keV from the EPES method. Two reference materials (Ni and Au) were used in these measurements. Experimental IMFPs determined for the Y0.383O0.465C0.152 and Y2O3 surface compositions, λ, were uncorrected for surface excitations and approximated by the simple function λ = kEp at electron energies E between 500 eV and 2000 eV, where k and p were fitted parameters. These values were also compared with IMFPs resulting from the TPP-2 M predictive equation for both oxide compositions. The fitted functions were found to be reasonably consistent with the measured and predicted IMFPs. In both cases, the average value of the mean percentage deviation from the fits varied between 5% and 37%. The IMFPs measured for Y0.383O0.465C0.152 surface composition were found to be similar to the IMFPs for Y2O3.

  20. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo

    2014-09-26

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm,more » as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers.« less

  1. Preferred orientations of laterally grown silicon films over amorphous substrates using the vapor–liquid–solid technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LeBoeuf, J. L., E-mail: jerome.leboeuf@mail.mcgill.ca; Brodusch, N.; Gauvin, R.

    2014-12-28

    A novel method has been optimized so that adhesion layers are no longer needed to reliably deposit patterned gold structures on amorphous substrates. Using this technique allows for the fabrication of amorphous oxide templates known as micro-crucibles, which confine a vapor–liquid–solid (VLS) catalyst of nominally pure gold to a specific geometry. Within these confined templates of amorphous materials, faceted silicon crystals have been grown laterally. The novel deposition technique, which enables the nominally pure gold catalyst, involves the undercutting of an initial chromium adhesion layer. Using electron backscatter diffraction it was found that silicon nucleated in these micro-crucibles were 30%more » single crystals, 45% potentially twinned crystals and 25% polycrystals for the experimental conditions used. Single, potentially twinned, and polycrystals all had an aversion to growth with the (1 0 0) surface parallel to the amorphous substrate. Closer analysis of grain boundaries of potentially twinned and polycrystalline samples revealed that the overwhelming majority of them were of the 60° Σ3 coherent twin boundary type. The large amount of coherent twin boundaries present in the grown, two-dimensional silicon crystals suggest that lateral VLS growth occurs very close to thermodynamic equilibrium. It is suggested that free energy fluctuations during growth or cooling, and impurities were the causes for this twinning.« less

  2. A novel perovskite solar cell design using aligned TiO2 nano-bundles grown on a sputtered Ti layer and a benzothiadiazole-based, dopant-free hole-transporting material.

    PubMed

    Ameen, Sadia; Nazim, M; Akhtar, M Shaheer; Nazeeruddin, Mohammad Khaja; Shin, Hyung-Shik

    2017-11-16

    This work highlights the utilization of a novel hole-transporting material (HTM) derived from benzothiadiazole: 4-(3,5-bis(trifluoromethyl)phenyl)-7-(5'-hexyl-[2,2'-bithiophen]-5-yl)benzo[c][1,2,5]thiadiazole (CF-BTz-ThR) and aligned TiO 2 nano-bundles (TiO 2 NBs) as the electron transporting layer (ETL) for perovskite solar cells (PSCs). The aligned TiO 2 NBs were grown on titanium (Ti)-coated FTO substrates using a facile hydrothermal method. The newly designed CF-BTz-ThR molecule with suitable highest occupied molecular orbital (HOMO) favored the effective hole injection from perovskite deposited aligned TiO 2 NBs thin film. The PSCs demonstrated a power conversion efficiency (PCE) of ∼15.4% with a short circuit current density (J sc ) of ∼22.42 mA cm -2 and an open circuit voltage (V oc ) of ∼1.02 V. The efficiency data show the importance of proper molecular engineering whilst highlighting the advantages of dopant-free HTMs in PSCs.

  3. Gamma and proton irradiation effects and thermal stability of electrical characteristics of metal-oxide-silicon capacitors with atomic layer deposited Al 2O 3 dielectric

    DOE PAGES

    J. M. Rafi; Lynn, D.; Pellegrini, G.; ...

    2015-12-11

    The radiation hardness and thermal stability of the electrical characteristics of atomic layer deposited Al 2O 3 layers to be used as passivation films for silicon radiation detectors with slim edges are investigated. To directly measure the interface charge and to evaluate its change with the ionizing dose, metal-oxide-silicon (MOS) capacitors implementing differently processed Al 2O 3 layers were fabricated on p-type silicon substrates. Qualitatively similar results are obtained for degradation of capacitance–voltage and current–voltage characteristics under gamma and proton irradiations up to equivalent doses of 30 Mrad and 21.07 Mrad, respectively. While similar negative charge densities are initially extractedmore » for all non-irradiated capacitors, superior radiation hardness is obtained for MOS structures with alumina layers grown with H 2O instead of O 3 as oxidant precursor. Competing effects between radiation-induced positive charge trapping and hydrogen release from the H 2O-grown Al 2O 3 layers may explain their higher radiation resistance. Finally, irradiated and non-irradiated MOS capacitors with differently processed Al 2O 3 layers have been subjected to thermal treatments in air at temperatures ranging between 100 °C and 200 °C and the thermal stability of their electrical characteristics has been evaluated. Partial recovery of the gamma-induced degradation has been noticed for O 3-grown MOS structures. Lastly, this can be explained by a trapped holes emission process, for which an activation energy of 1.38 ± 0.15 eV has been extracted.« less

  4. Gentamicin-Eluting Titanium Dioxide Nanotubes Grown on the Ultrafine-Grained Titanium.

    PubMed

    Nemati, Sima Hashemi; Hadjizadeh, Afra

    2017-08-01

    Titanium (Ti)-based materials is the most appropriate choices for the applications as orthopedic and dental implants. In this regard, ultrafine-grained (UFG) titanium with an enhanced mechanical properties and surface energy has attracted more attention. Titanium dioxide (TiO 2 ) nanotubes grown on the titanium could enhance bone bonding, cellular response and are good reservoirs for loading drugs and antibacterial agents. This article investigates gentamicin loading into and release from the TiO 2 nanotubes, grown on the UFG compared to coarse-grained (CG) titanium substrate surfaces. Equal Channel Angular Pressing (ECAP) was employed to produce the UFG structure titanium. TiO 2 nanotubes were grown by the anodizing technique on both UFG and CG titanium substrate surfaces. Scanning electron microscopy (SEM) imaging confirmed TiO 2 nanotube growth on the surface. The UV-vis spectroscopy analysis results show that the amount of gentamicin load-release in the anodized UFG titanium sample is higher than that of CG one which can be explained in terms of thicker TiO 2 nanotube arrays layer formed on UFG sample. Moreover, the anodized UFG titanium samples released the drug in a longer time than CG (1 day for the UFG titanium vs. 3 h for the CG one). Regarding wettability analysis, anodized UFG titanium sample showed more enhanced hydrophilicity than CG counterpart. Therefore, the significantly smaller grain size of pure titanium provided by the ECAP technique coupled with appropriate subsequent anodization treatment not only offers a good combination of biocompatibility and adequate mechanical properties but also it provides a delayed release condition for gentamicin.

  5. Production flush of Agaricus blazei on Brazilian casing layers

    PubMed Central

    Colauto, Nelson Barros; da Silveira, Adriano Reis; da Eira, Augusto Ferreira; Linde, Giani Andrea

    2011-01-01

    This study aimed to verify the biological efficiency and production flushes of Agaricus blazei strains on different casing layers during 90 cultivation days. Four casing layers were used: mixture of subsoil and charcoal (VCS), lime schist (LSC), São Paulo peat (SPP) and Santa Catarina peat (SCP); and two genetically distant A. blazei strains. The fungus was grown in composted substratum and, after total colonization, a pasteurized casing layer was added over the substratum, and fructification was induced. Mushrooms were picked up daily when the basidiocarp veil was stretched, but before the lamella were exposed. The biological efficiency (BE) was determined by the fresh basidiocarp mass divided by the substratum dry mass, expressed in percentage. The production flushes were also determined over time production. The BE and production flushes during 90 days were affected by the strains as well as by the casing layers. The ABL26 and LSC produced the best BE of 60.4%. Although VCS is the most used casing layer in Brazil, it is inferior to other casing layers, for all strains, throughout cultivation time. The strain, not the casing layer, is responsible for eventual variations of the average mushroom mass. In average, circa 50% of the mushroom production occurs around the first month, 30% in the second month, and 20% in third month. The casing layer water management depends on the casing layer type and the strain. Production flush responds better to water reposition, mainly with ABL26, and better porosity to LSC and SCP casing layers. PMID:24031673

  6. Improved light extraction efficiency of GaN-based flip-chip light-emitting diodes with an antireflective interface layer

    NASA Astrophysics Data System (ADS)

    Wu, Dongxue; Ma, Ping; Liu, Boting; Zhang, Shuo; Wang, Junxi; Li, Jinmin

    2016-05-01

    GaN-based flip-chip light-emitting diodes (FC-LEDs) grown on nanopatterned sapphire substrates (NPSS) are fabricated using self-assembled SiO2 nanospheres as masks during inductively coupled plasma etching. By controlling the pattern spacing, epitaxial GaN can be grown from the top or bottom of patterns to obtain two different GaN/substrate interfaces. The optoelectronic characteristics of FC-LED chips with different GaN/sapphire interfaces are studied. The FC-LED with an antireflective interface layer consisting of a NPSS with GaN in the pattern spacings demonstrates better optical properties than the FC-LED with an interface embedded with air voids. Our study indicates that the two types of FC-LEDs grown on NPSS show higher crystal quality and improved electrical and optical characteristics compared with those of FC-LEDs grown on conventional planar sapphire substrates.

  7. Growth and characterization of AlInAsSb layers lattice-matched to GaSb

    NASA Astrophysics Data System (ADS)

    Tournet, J.; Rouillard, Y.; Tournié, E.

    2017-11-01

    We report on the growth by solid-source MBE of random-alloy AlxIn1-xAsySb1-y layers lattice-matched to (0 0 1)-GaSb substrates, with xAl ∈ [0.25; 0.75]. The samples quality and morphology were characterized by X-ray diffraction, Nomarski microscopy and atomic force microscopy. Layers grown at 400 °C demonstrated smooth surfaces and no sign of phase decomposition. Samples with xAl ≤ 0.60 demonstrated photoluminescence (PL) at 300 K whereas samples with higher Al content only demonstrated PL at low temperature. Samples grown at 430 °C, in contrast, exhibited PL at low temperature only, whatever their composition. Inferred bandgap energies corroborate the estimation of a non-null quaternary bowing parameter made by Donati, Kaspi and Malloy in Journal of Applied Physics 94 (2003) 5814. Upon annealing, the PL peak energies increased, getting even closer to the theoretical values. These results are in agreement with recently published results on digital AlInAsSb alloys. Our work, which reports the first evidence for PL emission from random-alloy AlInAsSb layers lattice-matched to GaSb, opens the way to their use in optoelectronic devices.

  8. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  9. Work function of few layer graphene covered nickel thin films measured with Kelvin probe force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eren, B.; Material Sciences Division, Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720; Gysin, U.

    2016-01-25

    Few layer graphene and graphite are simultaneously grown on a ∼100 nm thick polycrystalline nickel film. The work function of few layer graphene/Ni is found to be 4.15 eV with a variation of 50 meV by local measurements with Kelvin probe force microscopy. This value is lower than the work function of free standing graphene due to peculiar electronic structure resulting from metal 3d-carbon 2p(π) hybridization.

  10. Layer uniformity in glucose oxidase immobilization on SiO 2 surfaces

    NASA Astrophysics Data System (ADS)

    Libertino, Sebania; Scandurra, Antonino; Aiello, Venera; Giannazzo, Filippo; Sinatra, Fulvia; Renis, Marcella; Fichera, Manuela

    2007-09-01

    The goal of this work was the characterization, step by step, of the enzyme glucose oxidase (GOx) immobilization on silicon oxide surfaces, mainly by means of X-Ray photoelectron spectroscopy (XPS). The immobilization protocol consists of four steps: oxide activation, silanization, linker molecule deposition and GOx immobilization. The linker molecule, glutaraldehyde (GA) in this study, must be able to form a uniform layer on the sample surface in order to maximize the sites available for enzyme bonding and achieve the best enzyme deposition. Using a thin SiO 2 layer grown on Si wafers and following the XPS Si2p signal of the Si substrate during the immobilization steps, we demonstrated both the glutaraldehyde layer uniformity and the possibility to use XPS to monitor thin layer uniformity. In fact, the XPS substrate signal, not shielded by the oxide, is suppressed only when a uniform layer is deposited. The enzyme correct immobilization was monitored using the XPS C1s and N1s signals. Atomic force microscopy (AFM) measurements carried out on the same samples confirmed the results.

  11. InGaN-Based Light-Emitting Diodes Grown on a Micro/Nanoscale Hybrid Patterned Sapphire Substrate.

    PubMed

    Ke, Wen-Cheng; Lee, Fang-Wei; Chiang, Chih-Yung; Liang, Zhong-Yi; Chen, Wei-Kuo; Seong, Tae-Yeon

    2016-12-21

    A hybrid patterned sapphire substrate (hybrid-PSS) was prepared using an anodic aluminum oxide etching mask to transfer nanopatterns onto a conventional patterned sapphire substrate with microscale patterns (bare-PSS). The threading dislocation (TD) suppression of light-emitting diodes (LEDs) grown on a hybrid-PSS (HP-LED) exhibits a smaller reverse leakage current compared with that of LEDs grown on a bare-PSS (BP-LED). The strain-free GaN buffer layer and fully strained InGaN active layer were evidenced by cross-sectional Raman spectra and reciprocal space mapping of the X-ray diffraction intensity for both samples. The calculated piezoelectric fields for both samples are close, implying that the quantum-confined Stark effect was not a dominant mechanism influencing the electroluminescence (EL) peak wavelength under a high injection current. The bandgap shrinkage effect of the InGaN well layer was considered to explain the large red-shifted EL peak wavelength under high injection currents. The estimated LED chip temperatures rise from room temperature to 150 °C and 75 °C for BP-LED and HP-LED, respectively, at a 600-mA injection current. This smaller temperature rise of the LED chip is attributed to the increased contact area between the sapphire and the LED structural layer because of the embedded nanopattern. Although the chip generates more heat at high injection currents, the accumulated heat can be removed to outside the chip effectively. The high diffuse reflection (DR) rate of hybrid-PSS increases the escape probability of photons, resulting in an increase in the viewing angle of the LEDs from 130° to 145°. The efficiency droop was reduced from 46% to 35%, effects which can be attributed to the elimination of TDs and strain relaxation by embedded nanopatterns. In addition, the light output power of HP-LED at 360-mA injection currents exhibits a ∼ 22.3% enhancement, demonstrating that hybrid-PSSs are beneficial to apply in high-power LEDs.

  12. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  13. Interfacial Coupling and Electronic Structure of Two-Dimensional Silicon Grown on the Ag(111) Surface at High Temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Jiagui; Wagner, Sean R.; Zhang, Pengpeng

    Freestanding silicene, a monolayer of Si arranged in a honeycomb structure, has been predicted to give rise to massless Dirac fermions, akin to graphene. However, Si structures grown on a supporting substrate can show properties that strongly deviate from the freestanding case. Here, combining scanning tunneling microscopy/spectroscopy and differential conductance mapping, we show that the electrical properties of the (√3 x √3) phase of few-layer Si grown on Ag(111) strongly depend on film thickness, where the electron phase coherence length decreases and the free-electron-like surface state gradually diminishes when approaching the interface. These features are presumably attributable to the inelasticmore » inter-band electron-electron scattering originating from the overlap between the surface state, interface state and the bulk state of the substrate. We further demonstrate that the intrinsic electronic structure of the as grown (√3 x √3) phase is identical to that of the (√3 x √3) R30° reconstructed Ag on Si(111), both of which exhibit the parabolic energy-momentum dispersion relation with comparable electron effective masses. Lastly, these findings highlight the essential role of interfacial coupling on the properties of two-dimensional Si structures grown on supporting substrates, which should be thoroughly scrutinized in pursuit of silicene.« less

  14. Relaxor properties of barium titanate crystals grown by Remeika method

    NASA Astrophysics Data System (ADS)

    Roth, Michel; Tiagunov, Jenia; Dul'kin, Evgeniy; Mojaev, Evgeny

    2017-06-01

    Barium titanate (BaTiO3, BT) crystals have been grown by the Remeika method using both the regular KF and mixed KF-NaF (0.6-0.4) solvents. Typical acute angle "butterfly wing" BT crystals have been obtained, and they were characterized using x-ray diffraction, scanning electron microscopy (including energy dispersive spectroscopy), conventional dielectric and acoustic emission methods. A typical wing has a triangular plate shape which is up to 0.5 mm thick with a 10-15 mm2 area. The plate has a (001) habit and an atomically smooth outer surface. Both K+ and F- solvent ions are incorporated as dopants into the crystal lattice during growth substituting for Ba2+ and O2- ions respectively. The dopants' distribution is found to be inhomogeneous, their content being almost an order of magnitude higher (up to 2 mol%) at out surface of the plate relatively to the bulk. A few μm thick surface layer is formed where a multidomain ferroelectric net is confined between two≤1 μm thick dopant-rich surfaces. The layer as a whole possess relaxor ferroelectric properties, which is apparent from the appearance of additional broad maxima, Tm, in the temperature dependence of the dielectric permittivity around the ferroelectric phase transition. Intense acoustic emission responses detected at temperatures corresponding to the Tm values allow to observe the Tm shift to lower temperatures at higher frequencies, or dispersion, typical for relaxor ferroelectrics. The outer surface of the BT wing can thus serve as a relaxor thin film for various electronic application, such as capacitors, or as a substrate for BT-based multiferroic structure. Crystals grown from KF-NaF fluxes contain sodium atoms as an additional impurity, but the crystal yield is much smaller, and while the ferroelectric transition peak is diffuse it does not show any sign of dispersion typical for relaxor behavior.

  15. Structural and optical properties of PbS thin films grown by chemical bath deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seghaier, S.; Kamoun, N.; Guasch, C.

    2007-09-19

    Lead sulphide thin films are grown on glass substrates at various deposition times tD, in the range of 40-60 min per step of 2 min, using the chemical bath deposition technique. X-ray diffraction and atomic force microscopy are used to characterize the film structure. The surface composition is analysed by Auger electron spectroscopy. It appears that the as-prepared thin films are polycrystalline with cubic structure. Nanometric scale crystallites are uniformly distributed on the surface. They exhibit almost a stoechiometric composition with a [Pb]/[S] ratio equal to 1.10. Optical properties are studied in the range of 300-3300 nm by spectrophotometric measurements.more » Analysis of the optical absorption data of lead sulphide thin layers reveals a narrow optical direct band gap equal to 0.46 eV for the layer corresponding to a deposition time equal to 60 min.« less

  16. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Meng; Bhattacharya, Pallab; Guo, Wei; Banerjee, Animesh

    2010-03-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 °C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1×1018 cm-3. The corresponding doping efficiency and hole mobility are ˜4.9% and 3.7 cm2/V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λpeak=529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  17. Electrically pumped continuous wave quantum dot lasers epitaxially grown on patterned, on-axis (001) Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Norman, Justin; Kennedy, M. J.; Selvidge, Jennifer

    High performance III-V lasers at datacom and telecom wavelengths on on-axis (001) Si are needed for scalable datacenter interconnect technologies. We demonstrate electrically injected quantum dot lasers grown on on-axis (001) Si patterned with {111} v-grooves lying in the [110] direction. No additional Ge buffers or substrate miscut was used. The active region consists of five InAs/InGaAs dot-in-a-well layers. Here, we achieve continuous wave lasing with thresholds as low as 36 mA and operation up to 80°C.

  18. Electrically pumped continuous wave quantum dot lasers epitaxially grown on patterned, on-axis (001) Si

    DOE PAGES

    Norman, Justin; Kennedy, M. J.; Selvidge, Jennifer; ...

    2017-02-14

    High performance III-V lasers at datacom and telecom wavelengths on on-axis (001) Si are needed for scalable datacenter interconnect technologies. We demonstrate electrically injected quantum dot lasers grown on on-axis (001) Si patterned with {111} v-grooves lying in the [110] direction. No additional Ge buffers or substrate miscut was used. The active region consists of five InAs/InGaAs dot-in-a-well layers. Here, we achieve continuous wave lasing with thresholds as low as 36 mA and operation up to 80°C.

  19. Oxidative stress in entomopathogenic fungi grown on insect-like hydrocarbons.

    PubMed

    Huarte-Bonnet, Carla; Juárez, M Patricia; Pedrini, Nicolás

    2015-08-01

    Entomopathogenic fungi mostly attack their insect hosts by penetration through the cuticle. The outermost insect surface is covered by a lipid-rich layer, usually composed of very long chain hydrocarbons. These fungi are apt to grow on straight chain hydrocarbons (alkanes) as the sole carbon source. Insect-like hydrocarbons are first hydroxylated by a microsomal P450 monooxygenase system, and then fully catabolized by peroxisomal β-oxidation reactions in Beauveria bassiana. In this review, we will discuss lipid metabolism adaptations in alkane-grown fungi, and how an oxidative stress scenario is established under these conditions. Fungi have to pay a high cost for hydrocarbon utilization; high levels of reactive oxygen species are produced and a concomitant antioxidant response is triggered in fungal cells to cope with this drawback.

  20. Remote catalyzation for direct formation of graphene layers on oxides.

    PubMed

    Teng, Po-Yuan; Lu, Chun-Chieh; Akiyama-Hasegawa, Kotone; Lin, Yung-Chang; Yeh, Chao-Hui; Suenaga, Kazu; Chiu, Po-Wen

    2012-03-14

    Direct deposition of high-quality graphene layers on insulating substrates such as SiO(2) paves the way toward the development of graphene-based high-speed electronics. Here, we describe a novel growth technique that enables the direct deposition of graphene layers on SiO(2) with crystalline quality potentially comparable to graphene grown on Cu foils using chemical vapor deposition (CVD). Rather than using Cu foils as substrates, our approach uses them to provide subliming Cu atoms in the CVD process. The prime feature of the proposed technique is remote catalyzation using floating Cu and H atoms for the decomposition of hydrocarbons. This allows for the direct graphitization of carbon radicals on oxide surfaces, forming isolated low-defect graphene layers without the need for postgrowth etching or evaporation of the metal catalyst. The defect density of the resulting graphene layers can be significantly reduced by tuning growth parameters such as the gas ratios, Cu surface areas, and substrate-to-Cu distance. Under optimized conditions, graphene layers with nondiscernible Raman D peaks can be obtained when predeposited graphite flakes are used as seeds for extended growth. © 2012 American Chemical Society

  1. Spatial Distribution of Photosynthesis during Drought in Field-Grown and Acclimated and Nonacclimated Growth Chamber-Grown Cotton 1

    PubMed Central

    Wise, Robert R.; Ortiz-Lopez, Adriana; Ort, Donald R.

    1992-01-01

    Inhomogeneous photosynthetic activity has been reported to occur in drought-stressed leaves. In addition, it has been suggested that these water stress-induced nonuniformities in photosynthesis are caused by “patchy” stomatal closure and that the phenomenon may have created the illusion of a nonstomatal component to the inhibition of photosynthesis. Because these earlier studies were performed with nonacclimated growth chamber-grown plants, we sought to determine whether such “patches” existed in drought-treated, field-grown plants or in chamber-grown plants that had been acclimated to low leaf water potentials (ψleaf). Cotton (Gossypium hirsutum L.) was grown in the field and subjected to drought by withholding irrigation and rain from 24 d after planting. The distribution of photosynthesis, which may reflect the stomatal aperture distribution in a heterobaric species such as cotton, was assayed by autoradiography after briefly exposing attached leaves of field-grown plants to 14CO2. A homogeneous distribution of radioactive photosynthate was evident even at the lowest ψleaf of −1.34 MPa. “Patchiness” could, however, be induced by uprooting the plant and allowing the shoot to air dry for 6 to 8 min. In parallel studies, growth chamber-grown plants were acclimated to drought by withholding irrigation for three 5-d drought cycles interspersed with irrigation. This drought acclimation lowered the ψleaf value at which control rates of photosynthesis could be sustained by approximately 0.7 MPa and was accompanied by a similar decline in the ψleaf at which patchiness first appeared. Photosynthetic inhomogeneities in chamber-grown plants that were visible during moderate water stress and ambient levels of CO2 could be largely removed with elevated CO2 levels (3000 μL L−1), suggesting that they were stomatal in nature. However, advanced dehydration (less than approximately 2.0 MPa) resulted in “patches” that could not be so removed and were

  2. High-fluence Ga-implanted silicon—The effect of annealing and cover layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fiedler, J., E-mail: jan.fiedler@hzdr.de; Heera, V.; Hübner, R.

    2014-07-14

    The influence of SiO{sub 2} and SiN{sub x} cover layers on the dopant distribution as well as microstructure of high fluence Ga implanted Si after thermal processing is investigated. The annealing temperature determines the layer microstructure and the cover layers influence the obtained Ga profile. Rapid thermal annealing at temperatures up to 750 °C leads to a polycrystalline layer structure containing amorphous Ga-rich precipitates. Already after a short 20 ms flash lamp annealing, a Ga-rich interface layer is observed for implantation through the cover layers. This effect can partly be suppressed by annealing temperatures of at least 900 °C. However, in this case,more » Ga accumulates in larger, cone-like precipitates without disturbing the surrounding Si lattice parameters. Such a Ga-rich crystalline Si phase does not exist in the equilibrium phase diagram according to which the Ga solubility in Si is less than 0.1 at. %. The Ga-rich areas are capped with SiO{sub x} grown during annealing which only can be avoided by the usage of SiN{sub x} cover layers.« less

  3. β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures grown on β-Ga{sub 2}O{sub 3} (010) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaun, Stephen W., E-mail: skaun@umail.ucsb.edu; Wu, Feng; Speck, James S.

    2015-07-15

    By systematically changing growth parameters, the growth of β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures by plasma-assisted molecular beam epitaxy was optimized. Through variation of the Al flux under O-rich conditions at 600 °C, β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) layers spanning ∼10% to ∼18% Al{sub 2}O{sub 3} were grown directly on β-Ga{sub 2}O{sub 3} (010) substrates. Nominal β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) compositions were determined through Al:Ga flux ratios. With x = ∼0.18, the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak in a high-resolution x-ray diffraction (HRXRD) ω-2θ scan was barely discernible, and Pendellösung fringes were not visible.more » This indicated that the phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) at 600 °C was less than ∼18%. The substrate temperature was then varied for a series of β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers, and the smoothest layer was grown at 650 °C. The phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) appeared to increase with growth temperature, as the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak with x = ∼0.18 was easily distinguishable by HRXRD in a sample grown at 650 °C. Cross-sectional transmission electron microscopy (TEM) indicated that β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers (14.4% Al{sub 2}O{sub 3} by energy dispersive x-ray spectroscopy) grown at 650 °C were homogeneous. β-(Al{sub ∼0.20}Ga{sub ∼0.80}){sub 2}O{sub 3} (010) layers, however, displayed a phase transition. TEM images of a β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) superlattice grown at 650 °C showed abrupt layer interfaces and high alloy homogeneity.« less

  4. Investigation of layered structure SAW devices fabricated using low temperature grown AlN thin film on GaN/sapphire.

    PubMed

    Lin, Hui-Feng; Wu, Chun-Te; Chien, Wei-Cheng; Chen, Sheng-Wen; Kao, Hui-Ling; Chyi, Jen-Inn; Chen, Jyh-Shin

    2005-05-01

    Epitaxial AlN films have been grown on GaN/sapphire using helicon sputtering at 300 degrees C. The surface acoustic wave (SAW) filters fabricated on AlN/GaN/sapphire exhibit more superior characteristics than those made on GaN/sapphire. This composite structure of AlN on GaN may bring about the development of high-frequency components, which integrate and use their semiconducting, optoelectronic, and piezoelectric properties.

  5. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electronmore » mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.« less

  6. Molecular Beam Epitaxy of Layered Material Superlattices and Heterostructures

    NASA Astrophysics Data System (ADS)

    Vishwanath, Suresh; Liu, Xinyu; Rouvimov, Sergei; Furdyna, Jacek K.; Jena, Debdeep; Xing, Huili Grace

    2014-03-01

    Stacking of various layered materials is being pursued widely to realize various devices and observe novel physics. Mostly, these have been limited to exfoliation and stacking either manually or in solution, where control on rotational alignment or order of stacking is lost. We have demonstrated molecular beam epitaxy (MBE) growth of Bi2Se3/MoSe2 superlatticeand Bi2Se3/MoSe2/SnSe2 heterostructure on sapphire. We have achieved a better control on the order of stacking and number of layers as compared to the solution technique. We have characterized these structures using RHEED, Raman spectroscopy, XPS, AFM, X-ray reflectometry, cross-section (cs) and in-plane (ip) TEM. The rotational alignment is dictated by thermodynamics and is understood using ip-TEM diffraction patterns. Layered growth and long range order is evident from the streaky RHEED pattern. Abrupt change in RHEED pattern, clear demarcation of boundary between layers seen using cs-TEM and observation of Raman peaks corresponding to all the layers suggest van-der-waals epitaxy. In our knowledge this is a first demonstration of as grown superlattices and heterostuctures involving transition metal dichalcogenides and is an important step towards the goal of stacking of 2D crystals like lego blocks.

  7. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  8. Matching characteristics of different buffer layers with VO2 thin films

    NASA Astrophysics Data System (ADS)

    Yang, Kai; Zhang, Dongping; Liu, Yi; Guan, Tianrui; Qin, Xiaonan; Zhong, Aihua; Cai, Xingmin; Fan, Ping; Lv, Weizhong

    2016-10-01

    VO2 thin films were fabricated by reactive DC magnetron sputtering on different buffer layers of MgF2, Al2O3 and TiO2, respectively. The crystallinity and orientation relationship, thickness of VO2 thin films, atoms vibrational modes, optical and electrical property, surface morphology of films were characterized by X-ray diffraction, Raman scattering microscopy, step profiler, spectrophotometer, four-probe technique, and scanning electron microscopy, respectively. XRD results investigated that the films have preferential crystalline planes VO2 (011). The crystallinity of VO2 films grown on TiO2 buffer layers are superior to VO2 directly deposited on soda-lime glass. The Raman bands of the VO2 films correspond to an Ag symmetry mode of VO2 (M). The sample prepared on 100nm TiO2 buffer layer appears nanorods structure, and exhibits remarkable solar energy modulation ability as high as 5.82% in full spectrum and 23% in near infrared spectrum. Cross-sectional SEM image of the thin films samples indicate that MgF2 buffer layer has clear interface with VO2 layer. But there are serious interdiffusion phenomenons between Al2O3, TiO2 buffer layer with VO2 layer.

  9. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  10. Synthesis of Large-area Crystalline MoTe2 Atomic layer from Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Zhou, Lin; Zubair, Ahmad; Xu, Kai; Kong, Jing; Dresselhaus, Mildred

    The controlled synthesis of highly crystalline large-area molybdenum ditelluride MoTe2 atomic layers is crucial for the practical applications of this emerging material. Here we develop a novel approach for the growth of large-area, uniform and highly crystalline few-layer MoTe2 film via chemical vapour deposition (CVD). Large-area atomically thin MoTe2 film has been successfully synthesized by tellurization of a MoO3 film. The as-grown MoTe2 film is uniform, stoichiometric, and highly crystalline. As a result of the high crystallinity, the electronic properties of MoTe2 film are comparable with that of mechanically exfoliated MoTe2 flakes. Moreover, we found that two different phases of MoTe2 (2H and 1T') can be grown depending on the choice of Mo precursor. Since the MoTe2 film is highly homogenous, and the size of the film is only limited by the substrate and CVD system size, our growth method paves the way for large-scale application of MoTe2 in high performance nanoelectronics and optoelectronics.

  11. MOVPE growth of N-polar AlN on 4H-SiC: Effect of substrate miscut on layer quality

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Kauppinen, C.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present the effect of miscut angle of SiC substrates on N-polar AlN growth. The N-polar AlN layers were grown on C-face 4H-SiC substrates with a miscut towards 〈 1 bar 1 0 0 〉 by metal-organic vapor phase epitaxy (MOVPE). The optimal V/III ratios for high-quality AlN growth on 1 ° and 4 ° miscut substrates were found to be 20,000 and 1000, respectively. MOVPE grown N-polar AlN layer without hexagonal hillocks or step bunching was achieved using a 4H-SiC substrate with an intentional miscut of 1 ° towards 〈 1 bar 1 0 0 〉 . The 200-nm-thick AlN layer exhibited X-ray rocking curve full width half maximums of 203 arcsec and 389 arcsec for (0 0 2) and (1 0 2) reflections, respectively. The root mean square roughness was 0.4 nm for a 2 μm × 2 μm atomic force microscope scan.

  12. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  13. Container-Grown Longleaf Pine Seedling Quality

    Treesearch

    Mark J. Hainds; James P. Barnett

    2004-01-01

    This study examines the comparative hardiness of various classes or grades of container-grown longleaf pine (Pinus palustris Mill.) seedlings. Most container longleaf seedlings are grown in small ribbed containers averaging 5 to 7 cubic inches in volume and 3 to 6 inches in depth. Great variability is often exhibited in typical lots of container-...

  14. Growth and characterization of CdS buffer layers by CBD and MOCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morrone, A.A.; Huang, C.; Li, S.S.

    1999-03-01

    Thin film CdS has been widely used in thin-film photovoltaic devices. The most efficient Cu(In,&hthinsp;Ga)Se{sub 2} (CIGS) solar cells reported to date utilized a thin CdS buffer layer prepared by a reactive solution growth technique known as chemical bath deposition (CBD). Considerable effort has been directed to better understand the role and find a replacement for the CBD CdS process in CIGS-based solar cells. We reported a low temperature ({approximately}150&hthinsp;{degree}C) Metalorganic Chemical Vapor Deposition (MOCVD) CdS thin film buffer layer process for CIGS absorbers. Many prior studies have reported that CBD CdS contains a mixture of crystal structures. Recent investigationsmore » of CBD CdS thin films by ellipsometry suggested a multilayer structure. In this study we compare CdS thin films prepared by CBD and MOCVD and the effects of annealing. TED and XRD are used to characterize the crystal structure, the film microstructure is studied by HRTEM, and the optical properties are studied by Raman and spectrophotometry. All of these characterization techniques reveal superior crystalline film quality for CdS films grown by MOCVD compared to those grown by CBD. Dual Beam Optical Modulation (DBOM) studies showed that the MOCVD and CBD CdS buffer layer processes have nearly the same effect on CIGS absorbers when combined with a cadmium partial electrolyte aqueous dip. {copyright} {ital 1999 American Institute of Physics.}« less

  15. InGaAs(0.98 μm)/GaAs vertical cavity surface emitting laser grown by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Houng, Y. M.; Tan, M. R. T.; Liang, B. W.; Wang, S. Y.; Yang, L.; Mars, D. E.

    1994-03-01

    We report the growth of InGaAs/GaAs vertical cavity surface emitting lasers (VCSELs) with an emission wavelength at 0.98 μm by gas-source molecular beam epitaxy (GSMBE). The surface emitting laser diodes are composed of a 15-pair p + GaAs/AlAs graded mirror with a 3-quantum well In 0.2Ga 0.8As active region and a 16.5-pair n + GaAs/AlAs grade mirror on an n + GaAs substrate. We use a simple interferometric technique for in-situ monitoring and feedback control of layer thickness to obtain a highly reproducible Bragg reflector. This technique uses an optical pyrometer to measure apparent temperature oscillations of the growing epi-layer surface. These measurements can be performed with continuous substrate rotation and without any growth interruption. The growing layer thickness can then be related to the apparent temperature oscillation spectrum. When the layer reaches the desired thickness, the growth of the subsequent layer is then initiated. By making layer thickness measurements and control in real-time throughout the entire growth cycle of the structure, the center of the mirror reflectivity and the Fabry-Pérot resonance at the desired wavelength can be reproducibly obtained. The reproducibility of the center wavelength and FWHM of the reflectivity stop-band with a variation of ≤ 0.2% was achieved in the AlAs/GaAs mirror stacks grown using this technique. The VCSEL structures with a variation of the Fabry-Pérot wavelength of ≤ 0.4% have been grown. Bottom-emitting laser diodes were fabricated and operated CW at room temperature. CW threshold currents of 3 and 6 mA are measured at room temperature for 10 and 25 μm diameter lasers, respectively. Output powers higher than 15 mW are obtained from these devices. These devices have an external quantum efficiency higher than 40%.

  16. Persistent Charge-Density-Wave Order in Single-Layer TaSe2.

    PubMed

    Ryu, Hyejin; Chen, Yi; Kim, Heejung; Tsai, Hsin-Zon; Tang, Shujie; Jiang, Juan; Liou, Franklin; Kahn, Salman; Jia, Caihong; Omrani, Arash A; Shim, Ji Hoon; Hussain, Zahid; Shen, Zhi-Xun; Kim, Kyoo; Min, Byung Il; Hwang, Choongyu; Crommie, Michael F; Mo, Sung-Kwan

    2018-02-14

    We present the electronic characterization of single-layer 1H-TaSe 2 grown by molecular beam epitaxy using a combined angle-resolved photoemission spectroscopy, scanning tunneling microscopy/spectroscopy, and density functional theory calculations. We demonstrate that 3 × 3 charge-density-wave (CDW) order persists despite distinct changes in the low energy electronic structure highlighted by the reduction in the number of bands crossing the Fermi energy and the corresponding modification of Fermi surface topology. Enhanced spin-orbit coupling and lattice distortion in the single-layer play a crucial role in the formation of CDW order. Our findings provide a deeper understanding of the nature of CDW order in the two-dimensional limit.

  17. Hydrothermal Growth of Vertically Aligned ZnO Nanorods Using a Biocomposite Seed Layer of ZnO Nanoparticles.

    PubMed

    Ibupoto, Zafar Hussain; Khun, Kimleang; Eriksson, Martin; AlSalhi, Mohammad; Atif, Muhammad; Ansari, Anees; Willander, Magnus

    2013-08-19

    Well aligned ZnO nanorods have been prepared by a low temperature aqueous chemical growth method, using a biocomposite seed layer of ZnO nanoparticles prepared in starch and cellulose bio polymers. The effect of different concentrations of biocomposite seed layer on the alignment of ZnO nanorods has been investigated. ZnO nanorods grown on a gold-coated glass substrate have been characterized by X-ray diffraction (XRD) and field emission scanning electron microscopy (FESEM) techniques. These techniques have shown that the ZnO nanorods are well aligned and perpendicular to the substrate, and grown with a high density and uniformity on the substrate. Moreover, ZnO nanorods can be grown with an orientation along the c -axis of the substrate and exhibit a wurtzite crystal structure with a dominant (002) peak in an XRD spectrum and possessed a high crystal quality. A photoluminescence (PL) spectroscopy study of the ZnO nanorods has revealed a conventional near band edge ultraviolet emission, along with emission in the visible part of the electromagnetic spectrum due to defect emission. This study provides an alternative method for the fabrication of well aligned ZnO nanorods. This method can be helpful in improving the performance of devices where alignment plays a significant role.

  18. Hydrothermal Growth of Vertically Aligned ZnO Nanorods Using a Biocomposite Seed Layer of ZnO Nanoparticles

    PubMed Central

    Ibupoto, Zafar Hussain; Khun, Kimleang; Eriksson, Martin; AlSalhi, Mohammad; Atif, Muhammad; Ansari, Anees; Willander, Magnus

    2013-01-01

    Well aligned ZnO nanorods have been prepared by a low temperature aqueous chemical growth method, using a biocomposite seed layer of ZnO nanoparticles prepared in starch and cellulose bio polymers. The effect of different concentrations of biocomposite seed layer on the alignment of ZnO nanorods has been investigated. ZnO nanorods grown on a gold-coated glass substrate have been characterized by X-ray diffraction (XRD) and field emission scanning electron microscopy (FESEM) techniques. These techniques have shown that the ZnO nanorods are well aligned and perpendicular to the substrate, and grown with a high density and uniformity on the substrate. Moreover, ZnO nanorods can be grown with an orientation along the c-axis of the substrate and exhibit a wurtzite crystal structure with a dominant (002) peak in an XRD spectrum and possessed a high crystal quality. A photoluminescence (PL) spectroscopy study of the ZnO nanorods has revealed a conventional near band edge ultraviolet emission, along with emission in the visible part of the electromagnetic spectrum due to defect emission. This study provides an alternative method for the fabrication of well aligned ZnO nanorods. This method can be helpful in improving the performance of devices where alignment plays a significant role. PMID:28811454

  19. Physical properties of spin-valve films grown on naturally oxidized metal nano-oxide surfaces

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Cerjan, Charlie; Kools, Jacques

    2002-05-01

    The physical properties of spin-valve films NiFe 25 Å/CoFe 10 Å/Cu(tCu)/CoFe 30 Å/IrMn 70 Å/Ta 20 Å with graded Cu layer thickness (tCu=18-45 Å) grown on the surface of metal nano-oxide layers (NOLs) were studied. The NOLs were formed from ultrathin Al, Cr, Cu, Nb, Ta, CoFe, NiFe, and NiFeCr layers by natural oxidation. The growth of the spin-valve films on NOLs has led to an enhancement in giant magnetoresistance value by up to 48%. A corresponding reduction in minimum film resistance by over 10% confirms that this enhancement originates from an increase in the mean free path of spin-polarized electrons due to the resultant specular reflection at the nano-oxide surfaces. A wide spectrum of oscillatory interlayer exchange coupling dependence on tCu for these NOL-bearing films suggests that a specular nano-oxide surface does not necessarily result in a smoother multilayer structure. The observation of an enhanced exchange biasing among these spin-valve films appears in contradiction to the observed deterioration of their crystallographic quality. As an important application, TaOx, CrOx, and NbOx could be employed as an alternative to AlOx as the barrier layer for magnetic tunnel junctions.

  20. Strain and structure heterogeneity in MoS 2 atomic layers grown by chemical vapour deposition

    DOE PAGES

    Liu, Zheng; Amani, Matin; Najmaei, Sina; ...

    2014-11-18

    Monolayer molybdenum disulfide (MoS 2) has attracted tremendous attention due to its promising applications in high-performance field-effect transistors, phototransistors, spintronic devices, and nonlinear optics. The enhanced photoluminescence effect in monolayer MoS 2 was discovered and, as a strong tool, was employed for strain and defect analysis in MoS 2. Recently, large-size monolayer MoS 2 has been produced by chemical vapor deposition but has not yet been fully explored. Here we systematically characterize chemical vapor deposition grown MoS 2 by PL spectroscopy and mapping, and demonstrate non-uniform strain in single-crystalline monolayer MoS 2 and strain-induced band gap engineering. We also evaluatemore » the effective strain transferred from polymer substrates to MoS 2 by three-dimensional finite element analysis. In addition, our work demonstrates that PL mapping can be used as a non-contact approach for quick identification of grain boundaries in MoS 2.« less