Sample records for gan barrier layers

  1. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  2. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer

    DOEpatents

    Chavarkar, Prashant; Smorchkova, Ioulia P.; Keller, Stacia; Mishra, Umesh; Walukiewicz, Wladyslaw; Wu, Yifeng

    2005-02-01

    A Group III nitride based high electron mobility transistors (HEMT) is disclosed that provides improved high frequency performance. One embodiment of the HEMT comprises a GaN buffer layer, with an Al.sub.y Ga.sub.1-y N (y=1 or y 1) layer on the GaN buffer layer. An Al.sub.x Ga.sub.1-x N (0.ltoreq.x.ltoreq.0.5) barrier layer on to the Al.sub.y Ga.sub.1-y N layer, opposite the GaN buffer layer, Al.sub.y Ga.sub.1-y N layer having a higher Al concentration than that of the Al.sub.x Ga.sub.1-x N barrier layer. A preferred Al.sub.y Ga.sub.1-y N layer has y=1 or y.about.1 and a preferred Al.sub.x Ga.sub.1-x N barrier layer has 0.ltoreq.x.ltoreq.0.5. A 2DEG forms at the interface between the GaN buffer layer and the Al.sub.y Ga.sub.1-y N layer. Respective source, drain and gate contacts are formed on the Al.sub.x Ga.sub.1-x N barrier layer. The HEMT can also comprising a substrate adjacent to the buffer layer, opposite the Al.sub.y Ga.sub.1-y N layer and a nucleation layer between the Al.sub.x Ga.sub.1-x N buffer layer and the substrate.

  3. Alternating InGaN barriers with GaN barriers for enhancing optical performance in InGaN light-emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Yujue; Zeng, Yiping, E-mail: ypzeng@semi.ac.cn

    2015-01-21

    InGaN-based light-emitting diodes (LEDs) with some specific designs on the quantum barrier layers by alternating InGaN barriers with GaN barriers are proposed and studied numerically. In the proposed structure, simulation results show that the carriers are widely dispersed in the multi-quantum well active region, and the radiative recombination rate is efficiently improved and the electron leakage is suppressed accordingly, due to the appropriate band engineering. The internal quantum efficiency and light-output power are thus markedly enhanced and the efficiency droop is smaller, compared to the original structures with GaN barriers or InGaN barriers. Moreover, the gradually decrease of indium compositionmore » in the alternating quantum barriers can further promote the LED performance because of the more uniform carrier distribution, which provides us a simple but highly effective approach for high-performance LED applications.« less

  4. Efficiency and droop improvement in a blue InGaN-based light emitting diode with a p-InGaN layer inserted in the GaN barriers

    NASA Astrophysics Data System (ADS)

    Wang, Xing-Fu; Tong, Jin-Hui; Zhao, Bi-Jun; Chen, Xin; Ren, Zhi-Wei; Li, Dan-Wei; Zhuo, Xiang-Jing; Zhang, Jun; Yi, Han-Xiang; Li, Shu-Ti

    2013-09-01

    The advantages of a blue InGaN-based light-emitting diode with a p-InGaN layer inserted in the GaN barriers is studied. The carrier concentration in the quantum well, radiative recombination rate in the active region, output power, and internal quantum efficiency are investigated. The simulation results show that the InGaN-based light-emitting diode with a p-InGaN layer inserted in the barriers has better performance over its conventional counterpart and the light emitting diode with p-GaN inserted in the barriers. The improvement is due to enhanced Mg acceptor activation and enhanced hole injection into the quantum wells.

  5. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    PubMed

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  6. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  7. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers

    PubMed Central

    2012-01-01

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm. PMID:23134721

  8. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  11. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  12. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  13. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  14. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  15. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  16. Leakage current reduction of vertical GaN junction barrier Schottky diodes using dual-anode process

    NASA Astrophysics Data System (ADS)

    Hayashida, Tetsuro; Nanjo, Takuma; Furukawa, Akihiko; Watahiki, Tatsuro; Yamamuka, Mikio

    2018-04-01

    The origin of the leakage current of a trench-type vertical GaN diode was discussed. We found that the edge of p-GaN is the main leakage spot. To reduce the reverse leakage current at the edge of p-GaN, a dual-anode process was proposed. As a result, the reverse blocking voltage defined at the leakage current density of 1 mA/cm2 of a vertical GaN junction barrier Schottky (JBS) diode was improved from 780 to 1,190 V, which is the highest value ever reported for vertical GaN Schottky barrier diodes (SBDs).

  17. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  18. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  19. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi

    2015-08-15

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originatedmore » from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices.« less

  20. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  1. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  2. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  3. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  4. Improved performance in vertical GaN Schottky diode assisted by AlGaN tunneling barrier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cao, Y.; Chu, R.; Li, R.

    2016-03-14

    In a vertical GaN Schottky barrier diode, the free electron concentration n in the 6-μm-thick drift layer was found to greatly impact the diode reverse leakage current, which increased from 2.1 × 10{sup −7} A to 3.9 × 10{sup −4} A as n increased from 7.5 × 10{sup 14 }cm{sup −3} to 6.3 × 10{sup 15 }cm{sup −3} at a reverse bias of 100 V. By capping the drift layer with an ultrathin 5-nm graded AlGaN layer, reverse leakage was reduced by more than three orders of magnitude with the same n in the drift layer. We attribute this to the increased Schottky barrier height with the AlGaN at the surface. Meanwhile, themore » polarization field within the graded AlGaN effectively shortened the depletion depth, which led to the formation of tunneling current at a relatively small forward bias. The turn-on voltage in the vertical Schottky diodes was reduced from 0.77 V to 0.67 V—an advantage in reducing conduction loss in power switching applications.« less

  5. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  6. A Comparative Study of AlGaN and InGaN Back-Barriers in Ultrathin-Barrier AlN/GaN Heterostructures

    NASA Astrophysics Data System (ADS)

    All Abbas, J. M.; Atmaca, G.; Narin, P.; Kutlu, E.; Sarikavak-Lisesivdin, B.; Lisesivdin, S. B.

    2017-08-01

    Investigations of the effects of back-barrier introduction on the two-dimensional electron gas (2DEG) of ultrathin-barrier AlN/GaN heterostructures with AlGaN and InGaN back-barriers are carried out using self-consistent solutions of 1-dimensional Schrödinger-Poisson equations. Inserted AlGaN and InGaN back-barriers are used to provide a good 2DEG confinement thanks to raising the conduction band edge of GaN buffer with respect to GaN channel layer. Therefore, in this paper the influence of these back-barrier layers on sheet carrier density, 2DEG confinement, and mobility are systematically and comparatively investigated. As a result of calculations, although sheet carrier density is found to decrease with InGaN back-barrier layer, it is not changed with AlGaN back-barrier layer for suggested optimise heterostructures. Obtained results can give some insights for further experimental studies.

  7. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  8. Investigation of efficiency enhancement in InGaN MQW LED with compositionally step graded GaN/InAlN/GaN multi-layer barrier

    NASA Astrophysics Data System (ADS)

    Prajoon, P.; Anuja Menokey, M.; Charles Pravin, J.; Ajayan, J.; Rajesh, S.; Nirmal, D.

    2018-04-01

    The advantage of InGaN multiple Quantum well (MQW) Light emitting diode (LED) on a SiC substrate with compositionally step graded GaN/InAlN/GaN multi-layer barrier (MLB) is studied. The Internal quantum efficiency, Optical power, current-voltage characteristics, spontaneous emission rate and carrier distribution profile in the active region are investigated using Sentaurus TCAD simulation. An analytical model is also developed to describe the QW carrier injection efficiency, by including carrier leakage mechanisms like carrier overflow, thermionic emission and tunnelling. The enhanced electron confinement, reduced carrier asymmetry, and suppressed carrier overflow in the active region of the MLB MQW LED leads to render a superior performance than the conventional GaN barrier MQW LED. The simulation result also elucidates the efficiency droop behaviour in the MLB MQW LED, it suggests that the efficiency droop effect is remarkably improved when the GaN barrier is replaced with GaN/InAlN/GaN MLB barrier. The analysis shows a dominating behaviour of carrier escape mechanism due to tunnelling. Moreover, the lower lattice mismatching of SiC substrate with GaN epitaxial layer is attributed with good crystal quality and reduced polarization effect, ultimately enhances the optical performance of the LEDs.

  9. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  10. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  11. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  12. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  13. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  14. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  15. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  16. Catalytic Activation of Mg-Doped GaN by Hydrogen Desorption Using Different Metal Thin Layers

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Wang, Junxi; Liu, Naixin; Lu, Hongxi; Zeng, Yiping; Wang, Guohong; Li, Jinmin

    2010-10-01

    The annealing of Mg-doped GaN with Pt and Mo layers has been found to effectively improve the hole concentration of such material by more than 2 times as high as those in the same material without metal. Compared with the Ni and Mo catalysts, Pt showed good activation effect for hydrogen desorption and ohmic contact to the Ni/Au electrode. Despite the weak hydrogen desorption, Mo did not diffuse into the GaN epilayer in the annealing process, thus suppressing the carrier compensation phenomenon with respect to Ni and Pt depositions, which resulted in the high activation of Mg acceptors. For the GaN activated with the Ni, Pt, and Mo layers, the blue emission became dominant, followed by a clear peak redshift and the degradation of photoluminescence signal when compared with that of GaN without metal.

  17. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  18. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  19. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  20. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  1. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    NASA Astrophysics Data System (ADS)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  2. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shuo-Wei; Epistar Corporation, Hsinchu 300, Taiwan; Li, Heng

    The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs) with ex-situ sputtered physical vapor deposition (PVD) aluminum nitride (AlN) nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study showsmore » the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.« less

  3. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  4. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  5. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    PubMed

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  6. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  7. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  8. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  9. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  10. Barrier inhomogeneities limited current and 1/f noise transport in GaN based nanoscale Schottky barrier diodes

    PubMed Central

    Kumar, Ashutosh; Heilmann, M.; Latzel, Michael; Kapoor, Raman; Sharma, Intu; Göbelt, M.; Christiansen, Silke H.; Kumar, Vikram; Singh, Rajendra

    2016-01-01

    The electrical behaviour of Schottky barrier diodes realized on vertically standing individual GaN nanorods and array of nanorods is investigated. The Schottky diodes on individual nanorod show highest barrier height in comparison with large area diodes on nanorods array and epitaxial film which is in contrast with previously published work. The discrepancy between the electrical behaviour of nanoscale Schottky diodes and large area diodes is explained using cathodoluminescence measurements, surface potential analysis using Kelvin probe force microscopy and 1ow frequency noise measurements. The noise measurements on large area diodes on nanorods array and epitaxial film suggest the presence of barrier inhomogeneities at the metal/semiconductor interface which deviate the noise spectra from Lorentzian to 1/f type. These barrier inhomogeneities in large area diodes resulted in reduced barrier height whereas due to the limited role of barrier inhomogeneities in individual nanorod based Schottky diode, a higher barrier height is obtained. PMID:27282258

  11. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  12. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  13. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  14. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  15. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  16. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  17. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    PubMed

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  18. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  19. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  20. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    NASA Astrophysics Data System (ADS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-11-01

    A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  1. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  2. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  3. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  4. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  5. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M.

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reducedmore » channel thermal conductivity must be considered.« less

  6. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  7. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  8. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  9. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  10. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  11. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  12. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  13. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  14. The role of temperature ramp-up time before barrier layer growth in optical and structural properties of InGaN/GaN multi-quantum wells

    NASA Astrophysics Data System (ADS)

    Xing, Yao; Zhao, Degang; Jiang, Desheng; Liu, Zongshun; Zhu, Jianjun; Chen, Ping; Yang, Jing; Liu, Wei; Liang, Feng; Liu, Shuangtao; Zhang, Liqun; Wang, Wenjie; Li, Mo; Zhang, Yuantao; Du, Guotong

    2018-05-01

    In InGaN/GaN multi-quantum wells (MQWs), a low temperature cap (LT-cap) layer is grown between the InGaN well layer and low temperature GaN barrier layer. During the growth, a temperature ramp-up and ramp-down process is added between LT-cap and barrier layer growth. The effect of temperature ramp-up time duration on structural and optical properties of quantum wells is studied. It is found that as the ramp-up time increases, the Indium floating layer on the top of the well layer can be diminished effectively, leading to a better interface quality between well and barrier layers, and the carrier localization effect is enhanced, thereby the internal quantum efficiency (IQE) of QWs increases surprisingly. However, if the ramp-up time is too long, the carrier localization effect is weaker, which may increase the probabilities of carriers to meet with nonradiative recombination centers. Meanwhile, more nonradiative recombination centers will be introduced into well layers due to the indium evaporation. Both of them will lead to a reduction of internal quantum efficiency (IQE) of MQWs.

  15. Suppression of the self-heating effect in GaN HEMT by few-layer graphene heat spreading elements

    NASA Astrophysics Data System (ADS)

    Volcheck, V. S.; Stempitsky, V. R.

    2017-11-01

    Self-heating has an adverse effect on characteristics of gallium nitride (GaN) high electron mobility transistors (HEMTs). Various solutions to the problem have been proposed, however, a temperature rise due to dissipated electrical power still hinders the production of high power and high speed GaN devices. In this paper, thermal management of GaN HEMT via few-layer graphene (FLG) heat spreading elements is investigated. It is shown that integration of the FLG elements on top of the device structure considerably reduces the maximum temperature and improves the DC and small signal AC performance.

  16. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  17. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  18. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Polarity determination of polar and semipolar (112¯2) InN and GaN layers by valence band photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Skuridina, D.; Dinh, D. V.; Lacroix, B.; Ruterana, P.; Hoffmann, M.; Sitar, Z.; Pristovsek, M.; Kneissl, M.; Vogt, P.

    2013-11-01

    We demonstrate that the polarity of polar (0001), (0001¯) and semipolar (112¯2) InN and GaN thin layers can be determined by valence band X-ray photoemission spectroscopy (XPS). The polarity of the layers has been confirmed by wet etching and convergent beam electron diffraction. Unlike these two techniques, XPS is a non-destructive method and unaffected by surface oxidation or roughness. Different intensities of the valence band states in spectra recorded by using AlKα X-ray radiation are observed for N-polar and group-III-polar layers. The highest intensity of the valence band state at ≈3.5 eV for InN and ≈5.2 eV for GaN correlates with the group-III polarity, while the highest intensity at ≈6.7 eV for InN and ≈9.5 eV for GaN correlates with the N-polarity. The difference between the peaks for the group-III- and N-polar orientations was found to be statistically significant at the 0.05 significance level. The polarity of semipolar (112¯2) InN and GaN layers can be determined by recording valence band photoelectrons emitted along the [000 ± 1] direction.

  20. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  1. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  2. Effect of V/III ratio on the surface morphology and electrical properties of m-plane (10 1 bar 0) GaN homoepitaxial layers

    NASA Astrophysics Data System (ADS)

    Barry, Ousmane I.; Tanaka, Atsushi; Nagamatsu, Kentaro; Bae, Si-Young; Lekhal, Kaddour; Matsushita, Junya; Deki, Manato; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi

    2017-06-01

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m-plane (10 1 bar 0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m-plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m-GaN samples were characterized. Low leakage current densities of the order of 10-10 A/cm2 at -5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

  3. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  4. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  5. Multi-layer waste containment barrier

    DOEpatents

    Smith, Ann Marie; Gardner, Bradley M.; Nickelson, David F.

    1999-01-01

    An apparatus for constructing an underground containment barrier for containing an in-situ portion of earth. The apparatus includes an excavating device for simultaneously (i) excavating earthen material from beside the in-situ portion of earth without removing the in-situ portion and thereby forming an open side trench defined by opposing earthen sidewalls, and (ii) excavating earthen material from beneath the in-situ portion of earth without removing the in-situ portion and thereby forming a generally horizontal underground trench beneath the in-situ portion defined by opposing earthen sidewalls. The apparatus further includes a barrier-forming device attached to the excavating device for simultaneously forming a side barrier within the open trench and a generally horizontal, multi-layer barrier within the generally horizontal trench. The multi-layer barrier includes at least a first layer and a second layer.

  6. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liliental-Weber, Zuzanna

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  7. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE PAGES

    Liliental-Weber, Zuzanna

    2014-09-08

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  8. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  9. Optical and structural characteristics of high indium content InGaN/GaN multi-quantum wells with varying GaN cap layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, J.; Zhao, D. G., E-mail: dgzhao@red.semi.ac.cn; Jiang, D. S.

    2015-02-07

    The optical and structural properties of InGaN/GaN multi-quantum wells (MQWs) with different thicknesses of low temperature grown GaN cap layers are investigated. It is found that the MQW emission energy red-shifts and the peak intensity decreases with increasing GaN cap layer thickness, which may be partly caused by increased floating indium atoms accumulated at quantum well (QW) surface. They will result in the increased interface roughness, higher defect density, and even lead to a thermal degradation of QW layers. An extra growth interruption introduced before the growth of GaN cap layer can help with evaporating the floating indium atoms, andmore » therefore is an effective method to improve the optical properties of high indium content InGaN/GaN MQWs.« less

  10. New GaN Schottky barrier diode employing a trench on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Ha, Min-Woo; Lee, Seung-Chul; Choi, Young-Hwan; Kim, Soo-Seong; Yun, Chong-Man; Han, Min-Koo

    2006-10-01

    A new GaN Schottky barrier diode employing a trench structure, which is proposed and fabricated, successfully decreases a forward voltage drop without sacrificing any other electric characteristics. The trench is located in the middle of Schottky contact during a mesa etch. The Schottky metal of Pt/Mo/Ti/Au is e-gun evaporated on the 300 nm-deep trench as well as the surface of the proposed GaN Schottky barrier diode. The trench forms the vertical Au Schottky contact and lateral Pt Schottky contact due to the evaporation sequence of Schottky metal. The forward voltage drops of the proposed diode and conventional one are 0.73 V and 1.25 V respectively because the metal work function (5.15 eV) of the vertical Au Schottky contact is considerably less than that of the lateral Pt Schottky contact (5.65 eV). The proposed diode exhibits the low on-resistance of 1.58 mΩ cm 2 while the conventional one exhibits 8.20 mΩ cm 2 due to the decrease of a forward voltage drop.

  11. Multilayer article having stabilized zirconia outer layer and chemical barrier layer

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P. (Inventor); Lee, Kang N. (Inventor)

    2004-01-01

    A multilayer article includes a substrate that includes at least one of a ceramic compound and a Si-containing metal alloy. An outer layer includes stabilized zirconia. Intermediate layers are located between the outer layer and the substrate and include a mullite-containing layer and a chemical barrier layer. The mullite-containing layer includes 1) mullite or 2) mullite and an alkaline earth metal aluminosilicate. The chemical barrier layer is located between the mullite-containing layer and the outer layer. The chemical barrier layer includes at least one of mullite, hafnia, hafnium silicate and rare earth silicate (e.g., at least one of RE.sub.2 SiO.sub.5 and RE.sub.2 Si.sub.2 O.sub.7 where RE is Sc or Yb). The multilayer article is characterized by the combination of the chemical barrier layer and by its lack of a layer consisting essentially of barium strontium aluminosilicate between the mullite-containing layer and the chemical barrier layer. Such a barium strontium aluminosilicate layer may undesirably lead to the formation of a low melting glass or unnecessarily increase the layer thickness with concomitant reduced durability of the multilayer article. In particular, the chemical barrier layer may include at least one of hafnia, hafnium silicate and rare earth silicate.

  12. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  13. Optical losses in p-type layers of GaN ridge waveguides in the IR region

    NASA Astrophysics Data System (ADS)

    Westreich, Ohad; Katz, Moti; Atar, Gil; Paltiel, Yossi; Sicron, Noam

    2017-07-01

    Optical losses in c-plane (0001) GaN ridge waveguides, containing Mg-doped layers, were measured at 1064 nm, using the Fabry-Perot method. The losses increase linearly with the modal content of the p-layer, indicating that the absorption in these waveguides is dominated by p-layer absorption. The p-layer absorption is strongly anisotropic with E⊥c losses 4 times higher than E∥c. The absorption is temperature independent between 10 °C and 60 °C, supporting the possibility that it is related to Mg-bound holes.

  14. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  15. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  16. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  17. In-situ transport and microstructural evolution in GaN Schottky diodes and epilayers exposed to swift heavy ion irradiation

    NASA Astrophysics Data System (ADS)

    Kumar, Ashish; Singh, R.; Kumar, Parmod; Singh, Udai B.; Asokan, K.; Karaseov, Platon A.; Titov, Andrei I.; Kanjilal, D.

    2018-04-01

    A systematic investigation of radiation hardness of Schottky barrier diodes and GaN epitaxial layers is carried out by employing in-situ electrical resistivity and cross sectional transmission electron microscopy (XTEM) microstructure measurements. The change in the current transport mechanism of Au/n-GaN Schottky barrier diodes due to irradiation is reported. The role of irradiation temperature and ion type was also investigated. Creation of damage is studied in low and medium electron energy loss regimes by selecting different ions, Ag (200 MeV) and O (100 MeV) at various fluences at two irradiation temperatures (80 K and 300 K). GaN resistivity increases up to 6 orders of magnitude under heavy Ag ions. Light O ion irradiation has a much lower influence on sheet resistance. The presence of isolated defect clusters in irradiated GaN epilayers is evident in XTEM investigation which is explained on the basis of the thermal spike model.

  18. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery.

    PubMed

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-10-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10(15) cm(-3), by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  19. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  20. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  1. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  2. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  3. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    NASA Astrophysics Data System (ADS)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  4. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  5. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    PubMed

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  6. Luminescence from defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Morkoç, H.

    2006-04-01

    We briefly review the luminescence properties of defects in GaN and focus on the most interesting defects. In particular, the blue luminescence band peaking at about 3 eV is assigned to different defects and even different types of transitions in undoped, Zn-, C-, and Mg-doped GaN. Another omnipresent luminescence band, the yellow luminescence band may have different origin in nearly dislocation-free freestanding GaN templates, undoped thin layers, and carbon-doped GaN. The Y4 and Y7 lines are caused by recombination at unidentified point defects captured by threading edge dislocations.

  7. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  8. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  9. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  10. Conductivity based on selective etch for GaN devices and applications thereof

    DOEpatents

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  11. Strained layer relaxation effect on current crowding and efficiency improvement of GaN based LED

    NASA Astrophysics Data System (ADS)

    Aurongzeb, Deeder

    2012-02-01

    Efficiency droop effect of GaN based LED at high power and high temperature is addressed by several groups based on career delocalization and photon recycling effect(radiative recombination). We extend the previous droop models to optical loss parameters. We correlate stained layer relaxation at high temperature and high current density to carrier delocalization. We propose a third order model and show that Shockley-Hall-Read and Auger recombination effect is not enough to account for the efficiency loss. Several strained layer modification scheme is proposed based on the model.

  12. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    NASA Astrophysics Data System (ADS)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  13. Contactless electroreflectance studies of surface potential barrier for N- and Ga-face epilayers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kudrawiec, R.; Janicki, L.; Gladysiewicz, M.

    2013-07-29

    Two series of N- and Ga-face GaN Van Hoof structures were grown by plasma-assisted molecular beam epitaxy to study the surface potential barrier by contactless electroreflectance (CER). A clear CER resonance followed by strong Franz-Keldysh oscillation of period varying with the thickness of undoped GaN layer was observed for these structures. This period was much shorter for N-polar structures that means smaller surface potential barrier in these structures than in Ga-polar structures. From the analysis of built-in electric field it was determined that the Fermi-level is located 0.27 ± 0.05 and 0.60 ± 0.05 eV below the conduction band formore » N- and Ga-face GaN surface, respectively.« less

  14. Ocean barrier layers' effect on tropical cyclone intensification.

    PubMed

    Balaguru, Karthik; Chang, Ping; Saravanan, R; Leung, L Ruby; Xu, Zhao; Li, Mingkui; Hsieh, Jen-Shan

    2012-09-04

    Improving a tropical cyclone's forecast and mitigating its destructive potential requires knowledge of various environmental factors that influence the cyclone's path and intensity. Herein, using a combination of observations and model simulations, we systematically demonstrate that tropical cyclone intensification is significantly affected by salinity-induced barrier layers, which are "quasi-permanent" features in the upper tropical oceans. When tropical cyclones pass over regions with barrier layers, the increased stratification and stability within the layer reduce storm-induced vertical mixing and sea surface temperature cooling. This causes an increase in enthalpy flux from the ocean to the atmosphere and, consequently, an intensification of tropical cyclones. On average, the tropical cyclone intensification rate is nearly 50% higher over regions with barrier layers, compared to regions without. Our finding, which underscores the importance of observing not only the upper-ocean thermal structure but also the salinity structure in deep tropical barrier layer regions, may be a key to more skillful predictions of tropical cyclone intensities through improved ocean state estimates and simulations of barrier layer processes. As the hydrological cycle responds to global warming, any associated changes in the barrier layer distribution must be considered in projecting future tropical cyclone activity.

  15. Stability diagrams for the surface patterns of GaN(0001bar) as a function of Schwoebel barrier height

    NASA Astrophysics Data System (ADS)

    Krzyżewski, Filip; Załuska-Kotur, Magdalena A.

    2017-01-01

    Height and type of Schwoebel barriers (direct or inverse) decides about the character of the surface instability. Different surface morphologies are presented. Step bunches, double steps, meanders, mounds and irregular patterns emerge at the surface as a result of step (Schwoebel) barriers at some temperature or miscut values. The study was carried out on the two-component kinetic Monte Carlo (kMC) model of GaN(0001bar) surface grown in nitrogen rich conditions. Diffusion of gallium adatoms over N-polar surface is slow and nitrogen adatoms are almost immobile. We show that in such conditions surfaces remain smooth when gallium adatoms diffuse in the presence of low inverse Schwoebel barrier. It is illustrated by adequate stability diagrams for surface morphologies.

  16. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Co-adsorption of water and oxygen on GaN: Effects of charge transfer and formation of electron depletion layer.

    PubMed

    Wang, Qi; Puntambekar, Ajinkya; Chakrapani, Vidhya

    2017-09-14

    Species from ambient atmosphere such as water and oxygen are known to affect electronic and optical properties of GaN, but the underlying mechanism is not clearly known. In this work, we show through careful measurement of electrical resistivity and photoluminescence intensity under various adsorbates that the presence of oxygen or water vapor alone is not sufficient to induce electron transfer to these species. Rather, the presence of both water and oxygen is necessary to induce electron transfer from GaN that leads to the formation of an electron depletion region on the surface. Exposure to acidic gases decreases n-type conductivity due to increased electron transfer from GaN, while basic gases increase n-type conductivity and PL intensity due to reduced charge transfer from GaN. These changes in the electrical and optical properties, as explained using a new electrochemical framework based on the phenomenon of surface transfer doping, suggest that gases interact with the semiconductor surface through electrochemical reactions occurring in an adsorbed water layer present on the surface.

  18. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  19. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  20. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  1. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  2. Impact of GaN cap on charges in Al₂O₃/(GaN/)AlGaN/GaN metal-oxide-semiconductor heterostructures analyzed by means of capacitance measurements and simulations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ťapajna, M., E-mail: milan.tapajna@savba.sk; Jurkovič, M.; Válik, L.

    2014-09-14

    Oxide/semiconductor interface trap density (D{sub it}) and net charge of Al₂O₃/(GaN)/AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistor (MOS-HEMT) structures with and without GaN cap were comparatively analyzed using comprehensive capacitance measurements and simulations. D{sub it} distribution was determined in full band gap of the barrier using combination of three complementary capacitance techniques. A remarkably higher D{sub it} (∼5–8 × 10¹²eV⁻¹ cm⁻²) was found at trap energies ranging from E C-0.5 to 1 eV for structure with GaN cap compared to that (D{sub it} ∼ 2–3 × 10¹²eV⁻¹ cm⁻²) where the GaN cap was selectively etched away. D{sub it} distributions were then used for simulation of capacitance-voltage characteristics. A good agreement betweenmore » experimental and simulated capacitance-voltage characteristics affected by interface traps suggests (i) that very high D{sub it} (>10¹³eV⁻¹ cm⁻²) close to the barrier conduction band edge hampers accumulation of free electron in the barrier layer and (ii) the higher D{sub it} centered about E C-0.6 eV can solely account for the increased C-V hysteresis observed for MOS-HEMT structure with GaN cap. Analysis of the threshold voltage dependence on Al₂O₃ thickness for both MOS-HEMT structures suggests that (i) positive charge, which compensates the surface polarization, is not necessarily formed during the growth of III-N heterostructure, and (ii) its density is similar to the total surface polarization charge of the GaN/AlGaN barrier, rather than surface polarization of the top GaN layer only. Some constraints for the positive surface compensating charge are discussed.« less

  3. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  4. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  5. Single layers and multilayers of GaN and AlN in square-octagon structure: Stability, electronic properties, and functionalization

    NASA Astrophysics Data System (ADS)

    Gürbüz, E.; Cahangirov, S.; Durgun, E.; Ciraci, S.

    2017-11-01

    Further to planar single-layer hexagonal structures, GaN and AlN can also form free-standing, single-layer structures constructed from squares and octagons. We performed an extensive analysis of dynamical and thermal stability of these structures in terms of ab initio finite-temperature molecular dynamics and phonon calculations together with the analysis of Raman and infrared active modes. These single-layer square-octagon structures of GaN and AlN display directional mechanical properties and have wide, indirect fundamental band gaps, which are smaller than their hexagonal counterparts. These density functional theory band gaps, however, increase and become wider upon correction. Under uniaxial and biaxial tensile strain, the fundamental band gaps decrease and can be closed. The electronic and magnetic properties of these single-layer structures can be modified by adsorption of various adatoms, or by creating neutral cation-anion vacancies. The single-layer structures attain magnetic moment by selected adatoms and neutral vacancies. In particular, localized gap states are strongly dependent on the type of vacancy. The energetics, binding, and resulting electronic structure of bilayer, trilayer, and three-dimensional (3D) layered structures constructed by stacking the single layers are affected by vertical chemical bonds between adjacent layers. In addition to van der Waals interaction, these weak vertical bonds induce buckling in planar geometry and enhance their binding, leading to the formation of stable 3D layered structures. In this respect, these multilayers are intermediate between van der Waals solids and wurtzite crystals, offering a wide range of tunability.

  6. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  7. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  8. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  9. Effect of Same-Temperature GaN Cap Layer on the InGaN/GaN Multiquantum Well of Green Light-Emitting Diode on Silicon Substrate

    PubMed Central

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow. PMID:24369453

  10. Effect of same-temperature GaN cap layer on the InGaN/GaN multiquantum well of green light-emitting diode on silicon substrate.

    PubMed

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow.

  11. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  12. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    PubMed

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  13. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  14. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  15. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods.

    PubMed

    Mohammadi, F; Schmitzer, H; Kunert, G; Hommel, D; Ge, J; Duscher, G; Langbein, W; Wagner, H P

    2017-12-15

    We studied the emission of bare and aluminum quinoline (Alq 3 )/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ∼1.5 μm length and ∼250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq 3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq 3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq 3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  16. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    NASA Astrophysics Data System (ADS)

    Geng, Xuewen; Duan, Barrett K.; Grismer, Dane A.; Zhao, Liancheng; Bohn, Paul W.

    2013-06-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal-semiconductor interface.

  17. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  18. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  19. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  20. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  1. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  3. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  4. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  5. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as themore » AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.« less

  6. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  7. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  8. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  9. Study on photoemission surface of varied doping GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Du, Ruijuan; Ding, Huan; Gao, Youtang; Chang, Benkang

    2014-09-01

    For varied doping GaN photocathode, from bulk to surface the doping concentrations are distributed from high to low. The varied doping GaN photocathode may produce directional inside electric field within the material, so the higher quantum efficiency can be obtained. The photoemission surface of varied doping GaN photocathode is very important to the high quantum efficiency, but the forming process of the surface state after Cs activation or Cs/O activation has been not known completely. Encircling the photoemission mechanism of varied GaN photocathode, considering the experiment phenomena during the activation and the successful activation results, the varied GaN photocathode surface model [GaN(Mg):Cs]:O-Cs after activation with cesium and oxygen was given. According to GaN photocathode activation process and the change of electronic affinity, the comparatively ideal NEA property can be achieved by Cs or Cs/O activation, and higher quantum efficiency can be obtained. The results show: The effective NEA characteristic of GaN can be gotten only by Cs. [GaN(Mg):Cs] dipoles form the first dipole layer, the positive end is toward the vacuum side. In the activation processing with Cs/O, the second dipole layer is formed by O-Cs dipoles, A O-Cs dipole includes one oxygen atom and two Cs atoms, and the positive end is also toward the vacuum side thus the escape of electrons can be promoted.

  10. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  11. Influence of in doping in GaN barriers on luminescence properties of InGaN/GaN multiple quantum well LEDs

    NASA Astrophysics Data System (ADS)

    Wang, Xiaowei; Yang, Jing; Zhao, Degang; Jiang, Desheng; Liu, Zongshun; Liu, Wei; Liang, Feng; Liu, Shuangtao; Xing, Yao; Wang, Wenjie; Li, Mo

    2018-02-01

    Room-temperature photoluminescence (RT PL) spectra of InGaN/GaN multiple quantum well (MQW) structures grown by metalorganic chemical vapor deposition (MOCVD) was investigated. It is found that with increasing In content in GaN barriers, the FWHM and emission intensity decreases, and the emission wavelength is first red shift and then blue shift. The shrinkage of FWHM and emission wavelength blue shift can be attributed to the reduction of piezoelectric field, and the lower height of potential barrier will make carrier confinement weaker and ground state level lower, which resulting in emission intensity decreasing and wavelength red shift. In addition, doping the barrier with In will induce more inhomogeneous and deeper localized states in InGaN QWs, which also contribute to a red shift of PL emission wavelength.

  12. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  13. Polarization engineered enhancement mode GaN HEMT: Design and investigation

    NASA Astrophysics Data System (ADS)

    Verma, Sumit; Loan, Sajad A.; Alharbi, Abdullah G.

    2018-07-01

    In this paper, we propose and perform the experimentally calibrated simulation of a novel structure of a GaN/AlGaN high electron mobility transistor (HEMT). The novelty of the structure is the realization of enhancement mode operation by employing polarization engineering approach. In the proposed polarization engineered HEMT (PE-HEMT) a buried Aluminum Nitride (AlN) box is employed in the GaN layer just below the gate. The AlN box creates a two-dimensional hole gas (2DHG) at the GaN/AlN interface, which creates a conduction band barrier in the path of the already existing two-dimensional electron gas (2DEG) at GaN/AlGaN. Therefore, there is no direct path between the source and drain regions at zero gate voltage due to the barrier created by AIN and the device is initially OFF, an enhancement mode operation. A two dimensional (2D) calibrated simulation study of proposed PE-HEMT shows that the device has a threshold voltage (Vth) of 2.3 V. The PE-HEMT also reduces the electron spillover and thus improves the breakdown voltage by 108% as compared to conventional HEMT. The thermal analysis of the GaN PE-HEMT shows that a hot zone occurs on the drain side gate edge. It has been observed that the drain current in the PE-HEMT structure can be improved by 157% by using AlN heat sink.

  14. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  15. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  16. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  17. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  18. Size dictated thermal conductivity of GaN

    NASA Astrophysics Data System (ADS)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  19. Isotype InGaN/GaN heterobarrier diodes by ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fireman, Micha N.; Browne, David A.; Speck, James S.

    The design of isotype InGaN/GaN heterobarrier diode structures grown by ammonia molecular beam epitaxy is presented. On the (0001) Ga-polar plane, a structure consisting of a surface n{sup +} GaN contact layer, followed by a thin InGaN layer, followed by a thick unintentionally doped (UID) GaN layer, and atop a buried n{sup +} GaN contact layer induces a large conduction band barrier via a depleted UID GaN layer. Suppression of reverse and subthreshold current in such isotype barrier devices under applied bias depends on the quality of this composite layer polarization. Sample series were grown under fixed InGaN growth conditionsmore » that varied either the UID GaN NH{sub 3} flow rate or the UID GaN thickness, and under fixed UID GaN growth conditions that varied InGaN growth conditions. Decreases in subthreshold current and reverse bias current were measured for thicker UID GaN layers and increasing InGaN growth rates. Temperature-dependent analysis indicated that although extracted barrier heights were lower than those predicted by 1D Schrödinger Poisson simulations (0.9 eV–1.4 eV for In compositions from 10% to 15%), optimized growth conditions increased the extracted barrier height from ∼11% to nearly 85% of the simulated values. Potential subthreshold mechanisms are discussed, along with those growth factors which might affect their prevalence.« less

  20. Super Gas Barrier Thin Films via Layer-by-Layer Assembly of Polyelectrolytes and Clay

    NASA Astrophysics Data System (ADS)

    Priolo, Morgan; Gamboa, Daniel; Grunlan, Jaime

    2010-03-01

    Thin composite films of branched polyethylenimine (PEI), polyacrylic acid (PAA) and sodium montmorillonite clay (MMT) platelets were prepared using layer-by-layer assembly. Film thickness, mass deposited per layer, and barrier were shown to increase exponentially with the number of deposition cycles. After 32 layers (i.e., eight PEI/PAA/PEI/MMT quadlayers) are deposited, the resulting transparent film exhibits an oxygen transmission rate below the detection limit of commercial instrumentation (< 0.005 cm^3/m^2 . day). This level of oxygen barrier is believed to be due to a nano-brick wall microstructure comprised of exfoliated clay bricks in polymeric mortar, where the enhanced spacing between MMT layers, provided by PEI and PAA, creates channels perpendicular concentration gradient that delay the permeating molecule. These films are good candidates for flexible electronics, food, and pharmaceutical packaging due to their transparency, super gas barrier (that rivals SiOx) and lack of metal.

  1. Modeling and optimization of a double-well double-barrier GaN/AlGaN/GaN/AlGaN resonant tunneling diode

    NASA Astrophysics Data System (ADS)

    Liu, Yang; Gao, Bo; Gong, Min; Shi, Ruiying

    2017-06-01

    The influence of a GaN layer as a sub-quantum well for an AlGaN/GaN/AlGaN double barrier resonant tunneling diode (RTD) on device performance has been investigated by means of numerical simulation. The introduction of the GaN layer as the sub-quantum well turns the dominant transport mechanism of RTD from the 3D-2D model to the 2D-2D model and increases the energy difference between tunneling energy levels. It can also lower the effective height of the emitter barrier. Consequently, the peak current and peak-to-valley current difference of RTD have been increased. The optimal GaN sub-quantum well parameters are found through analyzing the electrical performance, energy band, and transmission coefficient of RTD with different widths and depths of the GaN sub-quantum well. The most pronounced electrical parameters, a peak current density of 5800 KA/cm2, a peak-to-valley current difference of 1.466 A, and a peak-to-valley current ratio of 6.35, could be achieved by designing RTD with the active region structure of GaN/Al0.2Ga0.8 N/GaN/Al0.2Ga0.8 N (3 nm/1.5 nm/1.5 nm/1.5 nm).

  2. Alleviation of efficiency droop in InGaN/GaN multiple quantum well light-emitting diodes with trapezoidal quantum barriers

    NASA Astrophysics Data System (ADS)

    Kim, Sang-Jo; Lee, Kwang Jae; Park, Seong-Ju

    2018-06-01

    We numerically investigated the effects of trapezoidal quantum barriers (QBs) on efficiency droop in InGaN/GaN multiple quantum well (MQW) light-emitting diodes (LEDs). Simulations showed that the electrostatic field in QWs of LEDs with trapezoidal barriers is reduced because of the reduced sheet charge density at the QW-QB interface caused by the thin GaN layer in trapezoidal QBs. Additionally, the InGaN grading region in trapezoidal QBs suppresses hot carrier transport and this enhances efficient carrier injection into the QWs. The electroluminescence intensity of an LED with trapezoidal QBs is increased by 10.2% and 6.7% at 245 A cm‑2 when compared with the intensities of LEDs with square-type GaN barriers and multilayer barriers, respectively. The internal quantum efficiency (IQE) droop of an LED with trapezoidal QBs is 16% at 300 A cm‑2, while LEDs with square-type GaN barriers and multilayer barriers have IQE droop of 31% and 24%, respectively. This IQE droop alleviation in LEDs with trapezoidal QBs is attributed to the reduced energy band bending, efficient hole injection, and more uniform hole distribution in the MQWs that results from reduction of the piezoelectric field by the trapezoidal QBs. These results indicate that the trapezoidal QB in MQWs is promising for enhanced efficiency in high-power GaN-based LEDs.

  3. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  4. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  5. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  6. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  7. Barrier layer for a MCrAlY basecoat superalloy combination

    DOEpatents

    Sabol, Stephen M.; Goedjen, John G.; Vance, Steven J.

    2001-01-01

    A turbine component contains a substrate (22) such as a superalloy, a basecoat (24) of the type MCrAlY, and a continuous barrier layer (28) between the substrate and basecoat, where the barrier layer (28) is made of an alloy of (Re, Ta, Ru, Os)X, where X can be Ni, Co or their mixture, where the barrier layer is at least 2 micrometers thick and substantially prevents materials from both the basecoat and substrate from migrating through it.

  8. Size dictated thermal conductivity of GaN

    DOE PAGES

    Thomas Edwin Beechem; McDonald, Anthony E.; Fuller, Elliot James; ...

    2016-04-01

    The thermal conductivity on n- and p-type doped gallium nitride (GaN) epilayers having thickness of 3-4 μm was investigated using time domain thermoreflectance (TDTR). Despite possessing carrier concentrations ranging across 3 decades (10 15 – 10 18 cm –3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends–and their overall reduction relative to bulk–are explained leveraging established scattering models where it is shown that size effects play a primary role in limiting thermal conductivity for layers even tens ofmore » microns thick. GaN device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.« less

  9. Amorphous silicon Schottky barrier solar cells incorporating a thin insulating layer and a thin doped layer

    DOEpatents

    Carlson, David E.

    1980-01-01

    Amorphous silicon Schottky barrier solar cells which incorporate a thin insulating layer and a thin doped layer adjacent to the junction forming metal layer exhibit increased open circuit voltages compared to standard rectifying junction metal devices, i.e., Schottky barrier devices, and rectifying junction metal insulating silicon devices, i.e., MIS devices.

  10. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  11. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  12. Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Jiang, Ying; Miyashita, Takahiro; Motoyama, Shin-ichi; Li, Liuan; Wang, Dejun; Ohno, Yasuo; Ao, Jin-Ping

    2014-09-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with recessed gate on AlGaN/GaN heterostructure are reported in which the drain and source ohmic contacts were fabricated on the AlGaN/GaN heterostructure and the electron channel was formed on the GaN buffer layer by removing the AlGaN barrier layer. Negative threshold voltages were commonly observed in all devices. To investigate the reasons of the negative threshold voltages, different oxide thickness, etching gas and bias power of inductively-coupled plasma (ICP) system were utilized in the fabrication process of the GaN MOSFETs. It is found that positive charges of around 1 × 1012 q/cm2 exist near the interface at the just threshold condition in both silane- and tetraethylorthosilicate (TEOS)-based devices. It is also found that the threshold voltages do not obviously change with the different etching gas (SiCl4, BCl3 and two-step etching of SiCl4/Cl2) at the same ICP bias power level (20-25 W) and will become deeper when higher bias power is used in the dry recess process which may be related to the much serious ion bombardment damage. Furthermore, X-ray photoelectron spectroscopy (XPS) experiments were done to investigate the surface conditions. It is found that N 1s peaks become lower with higher bias power of the dry etching process. Also, silicon contamination was found and could be removed by HNO3/HF solution. It indicates that the nitrogen vacancies are mainly responsible for the negative threshold voltages rather than the silicon contamination. It demonstrates that optimization of the ICP recess conditions and improvement of the surface condition are still necessary to realize enhancement-mode GaN MOSFETs on AlGaN/GaN heterostructure.

  13. Vertical GaN power diodes with a bilayer edge termination

    DOE PAGES

    Dickerson, Jeramy R.; Allerman, Andrew A.; Bryant, Benjamin N.; ...

    2015-12-07

    Vertical GaN power diodes with a bilayer edge termination (ET) are demonstrated. The GaN p-n junction is formed on a low threading dislocation defect density (10 4 - 10 5 cm -2) GaN substrate, and has a 15-μm-thick n-type drift layer with a free carrier concentration of 5 × 10 15 cm -3. The ET structure is formed by N implantation into the p+-GaN epilayer just outside the p-type contact to create compensating defects. The implant defect profile may be approximated by a bilayer structure consisting of a fully compensated layer near the surface, followed by a 90% compensated (p)more » layer near the n-type drift region. These devices exhibit avalanche breakdown as high as 2.6 kV at room temperature. In addition simulations show that the ET created by implantation is an effective way to laterally distribute the electric field over a large area. This increases the voltage at which impact ionization occurs and leads to the observed higher breakdown voltages.« less

  14. Interface state density of free-standing GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Faraz, S. M.; Ashraf, H.; Imran Arshad, M.; Hageman, P. R.; Asghar, M.; Wahab, Q.

    2010-09-01

    Schottky diodes were fabricated on the HVPE-grown, free-standing gallium nitride (GaN) layers of n- and p-types. Both contacts (ohmic and Schottky) were deposited on the top surface using Al/Ti and Pd/Ti/Au, respectively. The Schottky diode fabricated on n-GaN exhibited double barriers with values of 0.9 and 0.6 eV and better performance in the rectification factor together with reverse and forward currents with an ideality factor of 1.8. The barrier height for the p-GaN Schottky diode is 0.6 eV with an ideality factor of 4.16. From the capacitance-voltage (C-V) measurement, the net doping concentration of n-GaN is 4 × 1017 cm-3, resulting in a lower reverse breakdown of around -12 V. The interface state density (NSS) as a function of EC-ESS is found to be in the range 4.23 × 1012-3.87 × 1011 eV-1 cm-2 (below the conduction band) from Ec-0.90 to EC-0.99. Possible reasons responsible for the low barrier height and high ideality factor have been addressed.

  15. Use of a bilayer lattice-matched AlInGaN barrier for improving the channel carrier confinement of enhancement-mode AlInGaN/GaN hetero-structure field-effect transistors

    NASA Astrophysics Data System (ADS)

    Rahbardar Mojaver, Hassan; Gosselin, Jean-Lou; Valizadeh, Pouya

    2017-06-01

    A quaternary lattice-matched layer structure based on employing a bilayer barrier for improving the carrier confinement in the channel of enhancement-mode metal-face c-plane wurtzite AlInGaN/GaN hetero-structure field effect transistors (HFETs) is for the first time proposed. Using the commercial self-consistent Poisson-Schrödinger solver Nextnano, electronic properties of the proposed hetero-structure, including the sheet charge density and carrier confinement on the GaN side of the hetero-interface, are evaluated. Based on these evaluations, it is shown that while the proposed layer structure substantially improves the carrier confinement in the GaN channel layer, it also upholds the merits of employing a lattice-matched barrier towards achieving an enhancement-mode operation (i.e., in the absence of the piezoelectric effect). According to these simulations, in terms of maintaining the required positive threshold-voltage for the enhancement-mode operation, it is also shown that the proposed layer structure substantially outperforms the quaternary AlInGaN/GaN HFETs employing a thin AlN spacer layer.

  16. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  17. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  18. Turbulent entrainment in a strongly stratified barrier layer

    NASA Astrophysics Data System (ADS)

    Pham, H. T.; Sarkar, S.

    2017-06-01

    Large-eddy simulation (LES) is used to investigate how turbulence in the wind-driven ocean mixed layer erodes the stratification of barrier layers. The model consists of a stratified Ekman layer that is driven by a surface wind. Simulations at a wide range of N0/f are performed to quantify the effect of turbulence and stratification on the entrainment rate. Here, N0 is the buoyancy frequency in the barrier layer and f is the Coriolis parameter. The evolution of the mixed layer follows two stages: a rapid initial deepening and a late-time growth at a considerably slower rate. During the first stage, the mixed layer thickens to the depth that is proportional to u∗/fN0 where u∗ is the frictional velocity. During the second stage, the turbulence in the mixed layer continues to deepen further into the barrier layer, and the turbulent length scale is shown to scale with u∗/N0, independent of f. The late-time entrainment rate E follows the law of E=0.035Ri∗-1/2 where Ri∗ is the Richardson number. The exponent of -1/2 is identical but the coefficient of 0.035 is much smaller relative to the value of 2-3/2 for the nonrotating boundary layer. Simulations using the KPP model (version applicable to this simple case without additional effects of Langmuir turbulence or surface buoyancy flux) also yield the entrainment scaling of E∝Ri∗-1/2; however, the proportionality coefficient varies with the stratification. The structure of the Ekman current is examined to illustrate the strong effect of stratification in the limit of large N0/f.

  19. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  20. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  1. Enhanced characteristics of blue InGaN /GaN light-emitting diodes by using selective activation to modulate the lateral current spreading length

    NASA Astrophysics Data System (ADS)

    Lin, Ray-Ming; Lu, Yuan-Chieh; Chou, Yi-Lun; Chen, Guo-Hsing; Lin, Yung-Hsiang; Wu, Meng-Chyi

    2008-06-01

    We have studied the characteristics of blue InGaN /GaN multiquantum-well light-emitting diodes (LEDs) after reducing the length of the lateral current path through the transparent layer through formation of a peripheral high-resistance current-blocking region in the Mg-doped GaN layer. To study the mechanism of selective activation in the Mg-doped GaN layer, we deposited titanium (Ti), gold (Au), Ti /Au, silver, and copper individually onto the Mg-doped GaN layer and investigated their effects on the hole concentration in the p-GaN layer. The Mg-doped GaN layer capped with Ti effectively depressed the hole concentration in the p-GaN layer by over one order of magnitude relative to that of the as-grown layer. This may suggest that high resistive regions are formed by diffusion of Ti and depth of high resistive region from the p-GaN surface depends on the capped Ti film thickness. Selective activation of the Mg-doped GaN layer could be used to modulate the length of the lateral current path. Furthermore, the external quantum efficiency of the LEDs was improved significantly after reducing the lateral current spreading length. In our best result, the external quantum efficiency was 52.3% higher (at 100mA) than that of the as-grown blue LEDs.

  2. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  3. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  4. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  5. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  6. Investigation of significantly high barrier height in Cu/GaN Schottky diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garg, Manjari, E-mail: meghagarg142@gmail.com; Kumar, Ashutosh; Singh, R.

    2016-01-15

    Current-voltage (I-V) measurements combined with analytical calculations have been used to explain mechanisms for forward-bias current flow in Copper (Cu) Schottky diodes fabricated on Gallium Nitride (GaN) epitaxial films. An ideality factor of 1.7 was found at room temperature (RT), which indicated deviation from thermionic emission (TE) mechanism for current flow in the Schottky diode. Instead the current transport was better explained using the thermionic field-emission (TFE) mechanism. A high barrier height of 1.19 eV was obtained at room temperature. X-ray photoelectron spectroscopy (XPS) was used to investigate the plausible reason for observing Schottky barrier height (SBH) that is significantlymore » higher than as predicted by the Schottky-Mott model for Cu/GaN diodes. XPS measurements revealed the presence of an ultrathin cuprous oxide (Cu{sub 2}O) layer at the interface between Cu and GaN. With Cu{sub 2}O acting as a degenerate p-type semiconductor with high work function of 5.36 eV, a high barrier height of 1.19 eV is obtained for the Cu/Cu{sub 2}O/GaN Schottky diode. Moreover, the ideality factor and barrier height were found to be temperature dependent, implying spatial inhomogeneity of barrier height at the metal semiconductor interface.« less

  7. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  8. Alternating-Composition Layered Ceramic Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Miller, Robert A.; Zhu, Dongming

    2008-01-01

    Ceramic thermal and environmental barrier coatings (T/EBCs) that contain multiple layers of alternating chemical composition have been developed as improved means of protecting underlying components of gas-turbine and other heat engines against both corrosive combustion gases and high temperatures.

  9. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  10. GaN on Diamond with Ultra-Low Thermal Barrier Resistance

    DTIC Science & Technology

    2016-03-31

    GaN-on-Diamond with Ultra-Low Thermal Barrier Resistance Xing Gu1, Cathy Lee1, Jinqiao Xie1, Edward Beam1, Michael Becker2, Timothy A. Grotjohn2...Bristol BS8 1TL, UK Abstract: We investigated the effective thermal boundary resistance (TBReff) of GaN-on-Diamond interfaces for diamond growth... thermal boundary resistance; TBReff , interfacial layers; high density dielectric Introduction While GaN-based RF transistors, typically on SiC

  11. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  12. Tellurium n-type doping of highly mismatched amorphous GaN 1-xAs x alloys in plasma-assisted molecular beam epitaxy

    DOE PAGES

    Novikov, S. V.; Ting, M.; Yu, K. M.; ...

    2014-10-01

    In this paper we report our study on n-type Te doping of amorphous GaN 1-xAs x layers grown by plasma-assisted molecular beam epitaxy. We have used a low temperature PbTe source as a source of tellurium. Reproducible and uniform tellurium incorporation in amorphous GaN 1-xAs x layers has been successfully achieved with a maximum Te concentration of 9×10²⁰ cm⁻³. Tellurium incorporation resulted in n-doping of GaN 1-xAs x layers with Hall carrier concentrations up to 3×10¹⁹ cm⁻³ and mobilities of ~1 cm²/V s. The optimal growth temperature window for efficient Te doping of the amorphous GaN 1-xAs x layers hasmore » been determined.« less

  13. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  14. High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.

    PubMed

    Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing

    2013-06-17

    High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.

  15. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  16. Schottky barrier diode and method thereof

    NASA Technical Reports Server (NTRS)

    Aslam, Shahid (Inventor); Franz, David (Inventor)

    2008-01-01

    Pt/n.sup.-GaN Schottky barrier diodes are disclosed that are particularly suited to serve as ultra-violet sensors operating at wavelengths below 200 nm. The Pt/n.sup.-GaN Schottky barrier diodes have very large active areas, up to 1 cm.sup.2, which exhibit extremely low leakage current at low reverse biases. Very large area Pt/n.sup.-GaN Schottky diodes of sizes 0.25 cm.sup.2 and 1 cm.sup.2 have been fabricated from n.sup.-/n.sup.+ GaN epitaxial layers grown by vapor phase epitaxy on single crystal c-plane sapphire, which showed leakage currents of 14 pA and 2.7 nA, respectively for the 0.25 cm.sup.2 and 1 cm.sup.2 diodes both configured at a 0.5V reverse bias.

  17. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  18. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  19. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  20. Analysis of Nanoporosity in Moisture Permeation Barrier Layers by Electrochemical Impedance Spectroscopy.

    PubMed

    Perrotta, Alberto; García, Santiago J; Michels, Jasper J; Andringa, Anne-Marije; Creatore, Mariadriana

    2015-07-29

    Water permeation in inorganic moisture permeation barriers occurs through macroscale defects/pinholes and nanopores, the latter with size approaching the water kinetic diameter (0.27 nm). Both permeation paths can be identified by the calcium test, i.e., a time-consuming and expensive optical method for determining the water vapor transmission rate (WVTR) through barrier layers. Recently, we have shown that ellipsometric porosimetry (i.e., a combination of spectroscopic ellipsometry and isothermal adsorption studies) is a valid method to classify and quantify the nanoporosity and correlate it with the WVTR values. Nevertheless, no information is obtained about the macroscale defects or the kinetics of water permeation through the barrier, both essential in assessing the quality of the barrier layer. In this study, electrochemical impedance spectroscopy (EIS) is shown as a sensitive and versatile method to obtain information on nanoporosity and macroscale defects, water permeation, and diffusivity of moisture barrier layers, complementing the barrier property characterization obtained by means of EP and calcium test. EIS is performed on thin SiO2 barrier layers deposited by plasma enhanced-CVD. It allows the determination of the relative water uptake in the SiO2 layers, found to be in agreement with the nanoporosity content inferred by EP. Furthermore, the kinetics of water permeation is followed by EIS, and the diffusivity (D) is determined and found to be in accordance with literature values. Moreover, differently from EP, EIS data are shown to be sensitive to the presence of local macrodefects, correlated with the barrier failure during the calcium test.

  1. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    NASA Astrophysics Data System (ADS)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  2. Energetics of cubic and hexagonal phases in Mn-doped GaN : First-principles pseudopotential calculations

    NASA Astrophysics Data System (ADS)

    Choi, Eun-Ae; Kang, Joongoo; Chang, K. J.

    2006-12-01

    We perform first-principles pseudopotential calculations to study the influence of Mn doping on the stability of two polytypes, wurtzite and zinc-blende, in GaN . In Mn δ -doped GaN and GaMnN alloys, we find similar critical concentrations of the Mn ions for stabilizing the zinc-blende phase against the wurtzite phase. Using a slab geometry of hexagonal lattices, we find that it is energetically unfavorable to form inversion domains with Mn exposure, in contrast to Mg doping. At the initial stage of epitaxial growth, a stacking fault that leads to the cubic bonds can be generated with the Mn exposure to the Ga-polar surface. However, the influence of the Mn δ -doped layer on the formation of the cubic phase is only effective for GaN layers deposited up to two monolayers. We find that the Mn ions are energetically more stable on the growth front than in the bulk, indicating that these ions act as a surfactant. Thus it is possible to grow cubic GaN if the Mn ions are periodically supplied or diffuse out from the Mn δ -doped layer to the growth front during the growth process.

  3. Thermal functionalization of GaN surfaces with 1-alkenes.

    PubMed

    Schwarz, Stefan U; Cimalla, Volker; Eichapfel, Georg; Himmerlich, Marcel; Krischok, Stefan; Ambacher, Oliver

    2013-05-28

    A thermally induced functionalization process for gallium nitride surfaces with 1-alkenes is introduced. The resulting functionalization layers are characterized with atomic force microscopy and X-ray photoelectron spectroscopy and compared to reference samples without and with a photochemically generated functionalization layer. The resulting layers show very promising characteristics as functionalization for GaN based biosensors. On the basis of the experimental results, important characteristics of the functionalization layers are estimated and a possible chemical reaction scheme is proposed.

  4. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  5. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  6. Impedance of Barrier-Type Oxide Layer on Aluminum

    NASA Astrophysics Data System (ADS)

    Oh, Han-Jun; Kim, Jung-Gu; Jeong, Yong-Soo; Chi, Choong-Soo

    2000-12-01

    The impedance characteristics of barrier-type oxide layers on aluminum was studied using impedance spectroscopy. Since anodic films on Al have a variable stoichiometry with a gradual reduction of oxygen deficiency towards the oxide-electrolyte interface, the interpretation of impedance spectra for oxide layers is complex and the impedance of surface layers differs from those of ideal capacitors. This frequency response of the layer with conductance gradients cannot be described by a single resistance-capacitance (RC) element. The oxide layers of Al are properly described by the Young model of dielectric constant with a vertical decay of conductivity.

  7. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  8. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  9. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  10. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  11. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  12. Polar semiconductor heterojunction structure energy band diagram considerations

    NASA Astrophysics Data System (ADS)

    Lin, Shuxun; Wen, Cheng P.; Wang, Maojun; Hao, Yilong

    2016-03-01

    The unique nature of built-in electric field induced positive/negative charge pairs of polar semiconductor heterojunction structure has led to a more realistic device model for hexagonal III-nitride HEMT. In this modeling approach, the distribution of charge carriers is dictated by the electrostatic potential profile instead of Femi statistics. The proposed device model is found suitable to explain peculiar properties of GaN HEMT structures, including: (1) Discrepancy in measured conventional linear transmission line model (LTLM) sheet resistance and contactless sheet resistance of GaN HEMT with thin barrier layer. (2) Below bandgap radiation from forward biased Nickel Schottky barrier diode on GaN HEMT structure. (3) GaN HEMT barrier layer doping has negligible effect on transistor channel sheet charge density.

  13. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  14. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  15. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    NASA Astrophysics Data System (ADS)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  16. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  17. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  18. Colloidal quantum dot active layers for light emitting diodes

    NASA Astrophysics Data System (ADS)

    Pagan, Jennifer G.; Stokes, Edward B.; Patel, Kinnari; Burkhart, Casey C.; Ahrens, Michael T.; Barletta, Philip T.; O'Steen, Mark

    2006-07-01

    In this paper the preliminary results of incorporating a novel active layer into a GaN light emitting diode (LED) are discussed. Integration of colloidal CdSe quantum dots into a GaN LED active layer is demonstrated. Properties of p-type Mg doped overgrowth GaN are examined via circular transmission line method (CTLM). Effects on surface roughness due to the active layer incorporation are examined using atomic force microscopy (AFM). Electroluminescence of LED test structures is reported, and an ideality factor of n = 1.6 is demonstrated.

  19. Separation of effects of InGaN/GaN superlattice on performance of light-emitting diodes using mid-temperature-grown GaN layer

    NASA Astrophysics Data System (ADS)

    Sugimoto, Kohei; Okada, Narihito; Kurai, Satoshi; Yamada, Yoichi; Tadatomo, Kazuyuki

    2018-06-01

    We evaluated the electrical properties of InGaN-based light-emitting diodes (LEDs) with a superlattice (SL) layer or a mid-temperature-grown GaN (MT-GaN) layer just beneath the multiple quantum wells (MQWs). Both the SL layer and the MT-GaN layer were effective in improving the electroluminescence (EL) intensity. However, the SL layer had a more pronounced effect on the EL intensity than did the MT-GaN layer. Based on a comparison with devices with an MT-GaN layer, the overall effects of the SL could be separated into the effect of the V-pits and the structural or compositional effect of the SL. It was observed that the V-pits formed account for 30% of the improvement in the LED performance while the remaining 70% can be attributed to the structural or compositional effect of the SL.

  20. Flexible GaN for High Performance, Strainable Radio Frequency Devices (Postprint)

    DTIC Science & Technology

    2017-11-02

    devices on van der Waals (vdW) layers has been facilitated by the recent avail - ability of high -quality atomically smooth BN and graphene epi- taxial...AFRL-RX-WP-JA-2017-0333 FLEXIBLE GaN FOR HIGH PERFORMANCE, STRAINABLE RADIO FREQUENCY DEVICES (POSTPRINT) Elizabeth A. Moore and Timothy...2. REPORT TYPE 3. DATES COVERED (From - To) 5 April 2017 Interim 8 September 2014 – 5 March 2017 4. TITLE AND SUBTITLE FLEXIBLE GaN FOR HIGH

  1. Recent Advances in Gas Barrier Thin Films via Layer-by-Layer Assembly of Polymers and Platelets.

    PubMed

    Priolo, Morgan A; Holder, Kevin M; Guin, Tyler; Grunlan, Jaime C

    2015-05-01

    Layer-by-layer (LbL) assembly has emerged as the leading non-vacuum technology for the fabrication of transparent, super gas barrier films. The super gas barrier performance of LbL deposited films has been demonstrated in numerous studies, with a variety of polyelectrolytes, to rival that of metal and metal oxide-based barrier films. This Feature Article is a mini-review of LbL-based multilayer thin films with a 'nanobrick wall' microstructure comprising polymeric mortar and nano-platelet bricks that impart high gas barrier to otherwise permeable polymer substrates. These transparent, water-based thin films exhibit oxygen transmission rates below 5 × 10(-3) cm(3) m(-2) day(-1) atm(-1) and lower permeability than any other barrier material reported. In an effort to put this technology in the proper context, incumbent technologies such as metallized plastics, metal oxides, and flake-filled polymers are briefly reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  3. Tunable Solid-State Quantum Memory Using Rare-Earth-Ion-Doped Crystal, Nd(3+):GaN

    DTIC Science & Technology

    2017-04-01

    by plasma-assisted molecular beam epitaxy in a modular Gen II reactor using liquid gallium, solid Nd, and a nitrogen plasma. The photoluminescence (PL...provide a tunable memory. To vary the applied field, we designed and grew a series of Nd-doped GaN p-i-n structures, strain- balanced superlattice...27 Fig. 23 Electric field vs. GaN well/ AlxGa(1-x)N barrier thickness for strain- balanced superlattice (SBSL) structures with

  4. Photochemical Modification of Single Crystalline GaN Film Using n-Alkene with Different Carbon Chain Lengths as Biolinker.

    PubMed

    Wang, Chun; Zhuang, Hao; Huang, Nan; Heuser, Steffen; Schlemper, Christoph; Zhai, Zhaofeng; Liu, Baodan; Staedler, Thorsten; Jiang, Xin

    2016-06-14

    As a potential material for biosensing applications, gallium nitride (GaN) films have attracted remarkable attention. In order to construct GaN biosensors, a corresponding immobilization of biolinkers is of great importance in order to render a surface bioactive. In this work, two kinds of n-alkenes with different carbon chain lengths, namely allylamine protected with trifluoroacetamide (TFAAA) and 10-aminodec-1-ene protected with trifluoroacetamide (TFAAD), were used to photochemically functionalize single crystalline GaN films. The successful linkage of both TFAAA and TFAAD to the GaN films is confirmed by time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurement. With increased UV illumination time, the intensity of the secondary ions corresponding to the linker molecules initially increases and subsequently decreases in both cases. Based on the SIMS measurements, the maximum coverage of TFAAA is achieved after 14 h of UV illumination, while only 2 h is required in the case of TFAAD to reach the situation of a fully covered GaN surface. This finding leads to the conclusion that the reaction rate of TFAAD is significantly higher compared to TFAAA. Measurements by atomic force microscopy (AFM) indicate that the coverage of GaN films by a TFAAA layer leads to an increased surface roughness. The atomic terraces, which are clearly observable for the pristine GaN films, disappear once the surface is fully covered by a TFAAA layer. Such TFAAA layers will feature a homogeneous surface topography even for reaction times of 24 h. In contrast to this, TFAAD shows strong cross-polymerization on the surface, this is confirmed by optical microscopy. These results demonstrate that TFAAA is a more suitable candidate as biolinker in context of the GaN surfaces due to its improved controllability.

  5. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  6. Silicon based substrate with environmental/thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Jacobson, Nathan S. (Inventor); Bansal, Narottam P. (Inventor); Opila, Elizabeth J. (Inventor); Smialek, James L. (Inventor); Lee, Kang N. (Inventor); Spitsberg, Irene T. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2002-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a barium-strontium alumino silicate.

  7. Silicon based substrate with environmental/ thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Jacobson, Nathan S. (Inventor); Bansal, Nanottam P. (Inventor); Opila, Elizabeth J. (Inventor); Smialek, James L. (Inventor); Lee, Kang N. (Inventor); Spitsberg, Irene T. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2002-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a barium-strontium alumino silicate.

  8. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  9. Highly reflective Ag-Cu alloy-based ohmic contact on p-type GaN using Ru overlayer.

    PubMed

    Son, Jun Ho; Jung, Gwan Ho; Lee, Jong-Lam

    2008-12-15

    We report on a metallization scheme of high reflectance, low resistance, and smooth surface morphology ohmic contact on p-type GaN. Ag-Cu alloy/Ru contact showed low contact resistivity as low as 6.2 x 10(-6) Ohms cm(2) and high reflectance of 91% at 460 nm after annealing at 400 degrees C in air ambient. The oxidation annealing promoted the out-diffusion of Ga atoms to dissolve in an Ag-Cu layer with the formation of an Ag-Ga solid solution, lowering the contact resistivity. The Ru overlayer acts as a diffusion barrier for excessive oxygen incorporation during oxidation annealing, resulting in high reflectance, good thermal stability, and smooth surface quality of the contact.

  10. Improving hole injection and carrier distribution in InGaN light-emitting diodes by removing the electron blocking layer and including a unique last quantum barrier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Liwen, E-mail: lwcheng@yzu.edu.cn; Chen, Haitao; Wu, Shudong

    2015-08-28

    The effects of removing the AlGaN electron blocking layer (EBL), and using a last quantum barrier (LQB) with a unique design in conventional blue InGaN light-emitting diodes (LEDs), were investigated through simulations. Compared with the conventional LED design that contained a GaN LQB and an AlGaN EBL, the LED that contained an AlGaN LQB with a graded-composition and no EBL exhibited enhanced optical performance and less efficiency droop. This effect was caused by an enhanced electron confinement and hole injection efficiency. Furthermore, when the AlGaN LQB was replaced with a triangular graded-composition, the performance improved further and the efficiency droopmore » was lowered. The simulation results indicated that the enhanced hole injection efficiency and uniform distribution of carriers observed in the quantum wells were caused by the smoothing and thinning of the potential barrier for the holes. This allowed a greater number of holes to tunnel into the quantum wells from the p-type regions in the proposed LED structure.« less

  11. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  12. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  13. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  14. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  15. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  16. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  17. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  18. Fabrication of current confinement aperture structure by transforming a conductive GaN:Si epitaxial layer into an insulating GaOx layer.

    PubMed

    Lin, Chia-Feng; Lee, Wen-Che; Shieh, Bing-Cheng; Chen, Danti; Wang, Dili; Han, Jung

    2014-12-24

    We report here a simple and robust process to convert embedded conductive GaN epilayers into insulating GaOx and demonstrate its efficacy in vertical current blocking and lateral current steering in a working LED device. The fabrication processes consist of laser scribing, electrochemical (EC) wet-etching, photoelectrochemical (PEC) oxidation, and thermal oxidization of a sacrificial n(+)-GaN:Si layer. The conversion of GaN is made possible through an intermediate stage of porosification where the standard n-type GaN epilayers can be laterally and selectively anodized into a nanoporous (NP) texture while keeping the rest of the layers intact. The fibrous texture of NP GaN with an average wall thickness of less than 100 nm dramatically increases the surface-to-volume ratio and facilitates a rapid oxidation process of GaN into GaOX. The GaOX aperture was formed on the n-side of the LED between the active region and the n-type GaN layer. The wavelength blueshift phenomena of electroluminescence spectra is observed in the treated aperture-emission LED structure (441.5 nm) when compared to nontreated LED structure (443.7 nm) at 0.1 mA. The observation of aperture-confined electroluminescence from an InGaN LED structure suggests that the NP GaN based oxidation will play an enabling role in the design and fabrication of III-nitride photonic devices.

  19. Low p-type contact resistance by field-emission tunneling in highly Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Martin, Denis; Grandjean, Nicolas

    2016-12-01

    Mg-doped GaN with a net acceptor concentration (NA-ND) in the high 1019 cm-3 range was grown using ammonia molecular-beam epitaxy. Electrical properties of NiO contact on this heavily doped p-type GaN were investigated. A potential-barrier height of 0.24 eV was extracted from the relationship between NA-ND and the specific contact resistivity (ρc). We found that there is an optimum NA-ND value of 5 × 1019 cm-3 for which ρc is as low as 2 × 10-5 Ω cm2. This low ρc is ascribed to hole tunneling through the potential barrier at the NiO/p+-GaN interface, which is well accounted for by the field-emission model.

  20. Effect of AlInGaN barrier layers with various TMGa flows on optoelectronic characteristics of near UV light-emitting diodes grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fu, Yi-Keng; Lu, Yu-Hsuan; Jiang, Ren-Hao; Chen, Bo-Chun; Fang, Yen-Hsiang; Xuan, Rong; Su, Yan-Kuin; Lin, Chia-Feng; Chen, Jebb-Fang

    2011-08-01

    Near ultraviolet light-emitting diodes (LEDs) with quaternary AlInGaN quantum barriers (QBs) are grown by atmospheric pressure metalorganic vapor phase epitaxy. The indium mole fraction of AlInGaN QB could be enhanced as we increased the TMG flow rate. Both the wavelength shift in EL spectra and forward voltage at 20 mA current injection were reduced by using AlInGaN QB. Under 100 mA current injection, the LED output power with Al 0.089In 0.035Ga 0.876N QB can be enhanced by 15.9%, compared to LED with GaN QB. It should be attributed to a reduction of lattice mismatch induced polarization mismatch in the active layer.

  1. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  2. Atomic-scale and pit-free flattening of GaN by combination of plasma pretreatment and time-controlled chemical mechanical polishing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deng, Hui; Endo, Katsuyoshi; Yamamura, Kazuya, E-mail: yamamura@upst.eng.osaka-u.ac.jp

    2015-08-03

    Chemical mechanical polishing (CMP) combined with atmospheric-pressure plasma pretreatment was applied to a GaN (0001) substrate. The irradiation of a CF{sub 4}-containing plasma was proven to be very useful for modifying the surface of GaN. When CMP was conducted on a plasma-irradiated surface, a modified layer of GaF{sub 3} acted as a protective layer on GaN by preventing the formation of etch pits. Within a short duration (8 min) of CMP using a commercially available CeO{sub 2} slurry, an atomically flat surface with a root mean square (rms) roughness of 0.11 nm was obtained. Moreover, etch pits, which are inevitably introduced inmore » conventional CMP, could not be observed at the dislocation sites on the polished GaN surface. It was revealed that CMP combined with the plasma pretreatment was very effective for obtaining a pit-free and atomically flat GaN surface.« less

  3. Effect of screw threading dislocations and inverse domain boundaries in GaN on the shape of reciprocal-space maps.

    PubMed

    Barchuk, Mykhailo; Motylenko, Mykhaylo; Lukin, Gleb; Pätzold, Olf; Rafaja, David

    2017-04-01

    The microstructure of polar GaN layers, grown by upgraded high-temperature vapour phase epitaxy on [001]-oriented sapphire substrates, was studied by means of high-resolution X-ray diffraction and transmission electron microscopy. Systematic differences between reciprocal-space maps measured by X-ray diffraction and those which were simulated for different densities of threading dislocations revealed that threading dislocations are not the only microstructure defect in these GaN layers. Conventional dark-field transmission electron microscopy and convergent-beam electron diffraction detected vertical inversion domains as an additional microstructure feature. On a series of polar GaN layers with different proportions of threading dislocations and inversion domain boundaries, this contribution illustrates the capability and limitations of coplanar reciprocal-space mapping by X-ray diffraction to distinguish between these microstructure features.

  4. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  5. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  6. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  7. Structure guided GANs

    NASA Astrophysics Data System (ADS)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  8. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  9. Improving hole injection efficiency by manipulating the hole transport mechanism through p-type electron blocking layer engineering.

    PubMed

    Zhang, Zi-Hui; Ju, Zhengang; Liu, Wei; Tan, Swee Tiam; Ji, Yun; Kyaw, Zabu; Zhang, Xueliang; Hasanov, Namig; Sun, Xiao Wei; Demir, Hilmi Volkan

    2014-04-15

    The p-type AlGaN electron blocking layer (EBL) is widely used in InGaN/GaN light-emitting diodes (LEDs) for electron overflow suppression. However, a typical EBL also reduces the hole injection efficiency, because holes have to climb over the energy barrier generated at the p-AlGaN/p-GaN interface before entering the quantum wells. In this work, to address this problem, we report the enhancement of hole injection efficiency by manipulating the hole transport mechanism through insertion of a thin GaN layer of 1 nm into the p-AlGaN EBL and propose an AlGaN/GaN/AlGaN-type EBL outperforming conventional AlGaN EBLs. Here, the position of the inserted thin GaN layer relative to the p-GaN region is found to be the key to enhancing the hole injection efficiency. InGaN/GaN LEDs with the proposed p-type AlGaN/GaN/AlGaN EBL have demonstrated substantially higher optical output power and external quantum efficiency.

  10. Kinetic Monte Carlo simulations of GaN homoepitaxy on c- and m-plane surfaces

    DOE PAGES

    Xu, Dongwei; Zapol, Peter; Stephenson, G. Brian; ...

    2017-04-12

    The surface orientation can have profound effects on the atomic-scale processes of crystal growth and is essential to such technologies as GaN-based light-emitting diodes and high-power electronics. We investigate the dependence of homoepitaxial growth mechanisms on the surface orientation of a hexagonal crystal using kinetic Monte Carlo simulations. To model GaN metal-organic vapor phase epitaxy, in which N species are supplied in excess, only Ga atoms on a hexagonal close-packed (HCP) lattice are considered. The results are thus potentially applicable to any HCP material. Growth behaviors on c-plane (0001) and m-plane (011¯0) surfaces are compared. We present a reciprocal spacemore » analysis of the surface morphology, which allows extraction of growth mode boundaries and direct comparison with surface X-ray diffraction experiments. For each orientation, we map the boundaries between 3-dimensional, layer-by-layer, and step flow growth modes as a function of temperature and growth rate. Two models for surface diffusion are used, which produce different effective Ehrlich-Schwoebel step-edge barriers and different adatom diffusion anisotropies on m-plane surfaces. Simulation results in agreement with observed GaN island morphologies and growth mode boundaries are obtained. These indicate that anisotropy of step edge energy, rather than adatom diffusion, is responsible for the elongated islands observed on m-plane surfaces. As a result, island nucleation spacing obeys a power-law dependence on growth rate, with exponents of –0.24 and –0.29 for the m- and c-plane, respectively.« less

  11. Kinetic Monte Carlo simulations of GaN homoepitaxy on c- and m-plane surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Dongwei; Zapol, Peter; Stephenson, G. Brian

    The surface orientation can have profound effects on the atomic-scale processes of crystal growth and is essential to such technologies as GaN-based light-emitting diodes and high-power electronics. We investigate the dependence of homoepitaxial growth mechanisms on the surface orientation of a hexagonal crystal using kinetic Monte Carlo simulations. To model GaN metal-organic vapor phase epitaxy, in which N species are supplied in excess, only Ga atoms on a hexagonal close-packed (HCP) lattice are considered. The results are thus potentially applicable to any HCP material. Growth behaviors on c-plane (0001) and m-plane (011¯0) surfaces are compared. We present a reciprocal spacemore » analysis of the surface morphology, which allows extraction of growth mode boundaries and direct comparison with surface X-ray diffraction experiments. For each orientation, we map the boundaries between 3-dimensional, layer-by-layer, and step flow growth modes as a function of temperature and growth rate. Two models for surface diffusion are used, which produce different effective Ehrlich-Schwoebel step-edge barriers and different adatom diffusion anisotropies on m-plane surfaces. Simulation results in agreement with observed GaN island morphologies and growth mode boundaries are obtained. These indicate that anisotropy of step edge energy, rather than adatom diffusion, is responsible for the elongated islands observed on m-plane surfaces. As a result, island nucleation spacing obeys a power-law dependence on growth rate, with exponents of –0.24 and –0.29 for the m- and c-plane, respectively.« less

  12. Specific features of waveguide recombination in laser structures with asymmetric barrier layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Polubavkina, Yu. S., E-mail: polubavkina@mail.ru; Zubov, F. I.; Moiseev, E. I.

    2017-02-15

    The spatial distribution of the intensity of the emission caused by recombination appearing at a high injection level (up to 30 kA/cm{sup 2}) in the waveguide layer of a GaAs/AlGaAs laser structure with GaInP and AlGaInAs asymmetric barrier layers is studied by means of near-field scanning optical microscopy. It is found that the waveguide luminescence in such a laser, which is on the whole less intense as compared to that observed in a similar laser without asymmetric barriers, is non-uniformly distributed in the waveguide, so that the distribution maximum is shifted closer to the p-type cladding layer. This can bemore » attributed to the ability of the GaInP barrier adjoining the quantum well on the side of the n-type cladding layer to suppress the hole transport.« less

  13. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  14. Study of gain and photoresponse characteristics for back-illuminated separate absorption and multiplication GaN avalanche photodiodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaodong; Pan, Ming; Hou, Liwei

    2014-01-07

    The gain and photoresponse characteristics have been numerically studied for back-illuminated separate absorption and multiplication (SAM) GaN avalanche photodiodes (APDs). The parameters of fundamental models are calibrated by simultaneously comparing the simulated dark and light current characteristics with the experimental results. Effects of environmental temperatures and device dimensions on gain characteristics have been investigated, and a method to achieve the optimum thickness of charge layer is obtained. The dependence of gain characteristics and breakdown voltage on the doping concentration of the charge layer is also studied in detail to get the optimal charge layer. The bias-dependent spectral responsivity and quantummore » efficiency are then presented to study the photoresponse mechanisms inside SAM GaN APDs. It is found the responsivity peak red-shifts at first due to the Franz-Keldysh effect and then blue-shifts due to the reach-through effect of the absorption layer. Finally, a new SAM GaN/AlGaN heterojunction APD structure is proposed for optimizing SAM GaN APDs.« less

  15. GaN Nanowire MOSFET with Near-Ideal Subthreshold Slope.

    PubMed

    Li, Wenjun; Brubaker, Matt D; Spann, Bryan T; Bertness, Kris A; Fay, Patrick

    2018-02-01

    Wrap-around gate GaN nanowire MOSFETs using Al 2 O 3 as gate oxide have been experimentally demonstrated. The fabricated devices exhibit a minimum subthreshold slope of 60 mV/dec, an average subthreshold slope of 68 mV/dec over three decades of drain current, drain-induced barrier lowering of 27 mV/V, an on-current of 42 μA/μm (normalized by nanowire circumference), on/off ratio over 10 8 , an intrinsic transconductance of 27.8 μS/μm, for a switching efficiency figure of merit, Q=g m /SS of 0.41 μS/μm-dec/mV. These performance metrics make GaN nanowire MOSFETs a promising candidate for emerging low-power applications such as sensors and RF for the internet of things.

  16. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  17. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  18. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  19. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  20. First-principles Study of Hydrogen depassivation of Mg acceptor by Be in GaN

    NASA Astrophysics Data System (ADS)

    Zhang, Qiming; Wang, Xiao; Wang, Chihsiang

    2010-03-01

    The process of hydrogen depassivation of the acceptor by can convert the as-grown high-resistivity -doped into a - conducting material. A first-principles study on the process will be presented. The formation energies of various complex of impurities and point defects have been calculated and compared. The diffusion barriers of the hydrogen atom in the doped GaN have been obtained by the Nudge-Elastic-Band method. The results explain successfully the experimental observation that the hole concentration has been significantly enhanced in a Be-implanted Mg-doped GaN.

  1. GaN light-emitting device based on ionic liquid electrolyte

    NASA Astrophysics Data System (ADS)

    Hirai, Tomoaki; Sakanoue, Tomo; Takenobu, Taishi

    2018-06-01

    Ionic liquids (ILs) are attractive materials for fabricating unique hybrid devices based on electronics and electrochemistry; thus, IL-gated transistors and organic light-emitting devices of light-emitting electrochemical cells (LECs) are investigated for future low-voltage and high-performance devices. In LECs, voltage application induces the formation of electrochemically doped p–n homojunctions owing to ion rearrangements in composites of semiconductors and electrolytes, and achieves electron–hole recombination for light emission at the homojunctions. In this work, we applied this concept of IL-induced electrochemical doping to the fabrication of GaN-based light-emitting devices. We found that voltage application to the layered IL/GaN structure accumulated electrons on the GaN surface owing to ion rearrangements and improved the conductivity of GaN. The ion rearrangement also enabled holes to be injected by the strong electric field of electric double layers on hole injection contacts. This simultaneous injection of holes and electrons into GaN mediated by ions achieves light emission at a low voltage of around 3.4 V. The light emission from the simple IL/GaN structure indicates the usefulness of an electrochemical technique in generating light emission with great ease of fabrication.

  2. Developing Cost-Effective Dense Continuous SDC Barrier Layers for SOFCs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nguyen, Hoang Viet P.; Hardy, John S.; Coyle, Christopher A.

    Significantly improved performance during electrochemical testing of a cell with a dense continuous pulsed laser deposited (PLD) samarium doped ceria (SDC) layer spurred investigations into the fabrication of dense continuous SDC barrier layers by means of cost-effective deposition using screen printing which is amenable to industrial production of SOFCs. Many approaches to improve the SDC density have been explored including the use of powder with reduced particle sizes, inks with increased solids loading, and doping with sintering aids (1). In terms of sintering aids, dopants like Mo or binary systems of Mo+Cu or Fe+Co greatly enhance SDC sinterability. In fact,more » adding dopants to a screen printed, prefired, porous SDC layer made it possible to achieve a dense continuous barrier layer atop the YSZ electrolyte without sintering above 1200°C. Although the objective of fabricating a dense continuous layer was achieved, additional studies have been initiated to improve the cell performance. Underlying issues with constrained sintering and dopant-enhanced ceria-zirconia solid solubility are also addressed in this paper.« less

  3. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  4. Silicon based substrate with calcium aluminosilicate/thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Miller, Robert Alden (Inventor); Jacobson, Nathan S. (Inventor); Smialek, James L. (Inventor); Opila, Elizabeth J. (Inventor); Lee, Kang N. (Inventor); Nagaraj, Bangalore A. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2001-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a calcium alumino silicate.

  5. Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication

    DOEpatents

    Weihs, Timothy P.; Barbee, Jr., Troy W.

    2002-01-01

    Cubic or metastable cubic refractory metal carbides act as barrier layers to isolate, adhere, and passivate copper in semiconductor fabrication. One or more barrier layers of the metal carbide are deposited in conjunction with copper metallizations to form a multilayer characterized by a cubic crystal structure with a strong (100) texture. Suitable barrier layer materials include refractory transition metal carbides such as vanadium carbide (VC), niobium carbide (NbC), tantalum carbide (TaC), chromium carbide (Cr.sub.3 C.sub.2), tungsten carbide (WC), and molybdenum carbide (MoC).

  6. First-Principles Study of Defects in GaN

    DTIC Science & Technology

    2009-07-29

    This means both Mg and Be are not suitable p-type dopants in AlN. c) We have calculated the Ga Frenkel pairs (interstitial Ga and gallium vacancy... gallium vacancy complexes) in GaN. We studied both the stability of the pair at different separations and the barriers for the pair to form/disintegrate...high in energy than vacancy defects, especially for covalent materials. However, in ionic materials the charged interstitial defects can have low

  7. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  8. Specific peptide for functionalization of GaN

    NASA Astrophysics Data System (ADS)

    Estephan, E.; Larroque, C.; Cloitre, T.; Cuisinier, F. J. G.; Gergely, C.

    2008-04-01

    Nanobiotechnology aims to exploit biomolecular recognition and self-assembly capabilities for integrating advanced materials into medicine and biology. However frequent problems are encountered at the interface of substrate-biological molecule, as the direct physical adsorption of biological molecules is dependent of unpredictable non-specific interactions with the surface, often causing their denaturation. Therefore, a proper functionalization of the substrate should avoid a loss of biological activity. In this work we address the functionalization of the semiconductor GaN (0001) for biosensing applications. The basic interest of using III-V class semiconductors is their good light emitting properties and a fair chemical stability that allows various applications of these materials. The technology chosen to elaborate GaN-specific peptides is the combinatorial phage-display method, a biological screening procedure based on affinity selection. An M13 bacteriophage library has been used to screen 10 10 different peptides against the GaN (0001) surface to finally isolate one specific peptide. The preferential attachment of the biotinylated selected peptide onto the GaN (0001), in close proximity to a surface of different chemical and structural composition has been demonstrated by fluorescence microscopy. Further physicochemical studies have been initiated to evaluate the semiconductor-peptide interface and understand the details in the specific recognition of peptides for semiconductor substrates. Fourier Transform Infrared spectroscopy in Attenuated Total Reflection mode (FTIR-ATR) has been employed to prove the presence of peptides on the surface. Our Atomic Force Microscopy (AFM) studies on the morphology of the GaN surface after functionalization revealed a total surface coverage by a very thin, homogeneous peptide layer. Due to its good biocompatibility, functionalized GaN devices might evolve in a new class of implantable biosensors for medical applications.

  9. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  10. Graphene transparent electrode for enhanced optical power and thermal stability in GaN light-emitting diodes.

    PubMed

    Youn, Doo-Hyeb; Yu, Young-Jun; Choi, Hongkyw; Kim, Suck-Hwan; Choi, Sung-Yool; Choi, Choon-Gi

    2013-02-22

    We report an improvement of the optical power and thermal stability of GaN LEDs using a chemically doped graphene transparent conducting layer (TCL) and a low-resistance contact structure. In order to obtain low contact resistance between the TCL and p-GaN surface, a patterned graphene TCL with Cr/Au electrodes is suggested. A bi-layer patterning method of a graphene TCL was utilized to prevent the graphene from peeling off the p-GaN surface. To improve the work function and the sheet resistance of graphene, CVD (chemical vapor deposition) graphene was doped by a chemical treatment using a HNO(3) solution. The effect of the contact resistance on the power degradation of LEDs at a high injection current level was investigated. In addition, the enhancement of the optical power via an increase in the current spreading and a decrease in the potential barrier of the graphene TCL was investigated.

  11. Graphene transparent electrode for enhanced optical power and thermal stability in GaN light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Youn, Doo-Hyeb; Yu, Young-Jun; Choi, HongKyw; Kim, Suck-Hwan; Choi, Sung-Yool; Choi, Choon-Gi

    2013-02-01

    We report an improvement of the optical power and thermal stability of GaN LEDs using a chemically doped graphene transparent conducting layer (TCL) and a low-resistance contact structure. In order to obtain low contact resistance between the TCL and p-GaN surface, a patterned graphene TCL with Cr/Au electrodes is suggested. A bi-layer patterning method of a graphene TCL was utilized to prevent the graphene from peeling off the p-GaN surface. To improve the work function and the sheet resistance of graphene, CVD (chemical vapor deposition) graphene was doped by a chemical treatment using a HNO3 solution. The effect of the contact resistance on the power degradation of LEDs at a high injection current level was investigated. In addition, the enhancement of the optical power via an increase in the current spreading and a decrease in the potential barrier of the graphene TCL was investigated.

  12. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  13. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  14. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  15. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  16. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  17. Wafer-scale Fabrication of Non-Polar Mesoporous GaN Distributed Bragg Reflectors via Electrochemical Porosification.

    PubMed

    Zhu, Tongtong; Liu, Yingjun; Ding, Tao; Fu, Wai Yuen; Jarman, John; Ren, Christopher Xiang; Kumar, R Vasant; Oliver, Rachel A

    2017-03-27

    Distributed Bragg reflectors (DBRs) are essential components for the development of optoelectronic devices. For many device applications, it is highly desirable to achieve not only high reflectivity and low absorption, but also good conductivity to allow effective electrical injection of charges. Here, we demonstrate the wafer-scale fabrication of highly reflective and conductive non-polar gallium nitride (GaN) DBRs, consisting of perfectly lattice-matched non-polar (11-20) GaN and mesoporous GaN layers that are obtained by a facile one-step electrochemical etching method without any extra processing steps. The GaN/mesoporous GaN DBRs exhibit high peak reflectivities (>96%) across the entire visible spectrum and wide spectral stop-band widths (full-width at half-maximum >80 nm), while preserving the material quality and showing good electrical conductivity. Such mesoporous GaN DBRs thus provide a promising and scalable platform for high performance GaN-based optoelectronic, photonic, and quantum photonic devices.

  18. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of themore » (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.« less

  19. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  20. Intersubband absorption in GaN nanowire heterostructures at mid-infrared wavelengths.

    PubMed

    Ajay, Akhil; Blasco, Rodrigo; Polaczynski, Jakub; Spies, Maria; den Hertog, Martien; Monroy, Eva

    2018-06-27

    In this paper, we study intersubband characteristics of GaN/AlN and GaN/Al0.4Ga0.6N heterostructures in GaN nanowires structurally designed to absorb in the mid-infrared wavelength region. Increasing the GaN well width from 1.5 to 5.7 nm leads to a red shift of the intersubband absorption from 1.4 to 3.4 µm. The red shift in larger quantum wells is amplified by the fact that one of the GaN/AlN heterointerfaces (corresponding to the growth of GaN on AlN) is not sharp but rather a graded alloy extending around 1.5-2 nm. Using AlGaN instead of AlN for the same barrier dimensions, we observe the effects of reduced polarization, which blue shifts the band-to-band transitions and red shifts the intersubband transitions. In heavily doped GaN/AlGaN nanowires, a broad absorption band is observed in the 4.5-6.4 µm spectral region. © 2018 IOP Publishing Ltd.

  1. Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers.

    PubMed

    Andringa, Anne-Marije; Perrotta, Alberto; de Peuter, Koen; Knoops, Harm C M; Kessels, Wilhelmus M M; Creatore, Mariadriana

    2015-10-14

    Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells, and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low-temperature plasma-assisted atomic layer deposition (ALD) approach, consisting of half-reactions of the substrate with the precursor SiH2(NH(t)Bu)2 and with N2-fed plasma. The deposited films have been characterized in terms of their refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and Fourier-transform infrared spectroscopy (FTIR). The SiNx thin-film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O, and H impurity levels decrease when the deposition temperature increases. The relative open porosity content of the layers has been studied by means of multisolvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameters: water (∼0.3 nm), ethanol (∼0.4 nm), and toluene (∼0.6 nm). Irrespective of the deposition temperature, and hence the impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing to the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms that, according to the IUPAC classification, are characteristic of nonporous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNx layers of a few hundred

  2. Strain engineering of atomic and electronic structures of few-monolayer-thick GaN

    NASA Astrophysics Data System (ADS)

    Kolobov, A. V.; Fons, P.; Saito, Y.; Tominaga, J.; Hyot, B.; André, B.

    2017-07-01

    Two-dimensional (2D) semiconductors possess the potential to ultimately minimize the size of devices and concomitantly drastically reduce the corresponding energy consumption. In addition, materials in their atomic-scale limit often possess properties different from their bulk counterparts paving the way to conceptually novel devices. While graphene and 2D transition-metal dichalcogenides remain the most studied materials, significant interest also exists in the fabrication of atomically thin structures from traditionally 3D semiconductors such as GaN. While in the monolayer limit GaN possesses a graphenelike structure and an indirect band gap, it was recently demonstrated that few-layer GaN acquires a Haeckelite structure in the direction of growth with an effectively direct gap. In this work, we demonstrate the possibility of strain engineering of the atomic and electronic structure of few-monolayer-thick GaN structures, which opens new avenues for their practical application in flexible nanoelectronics and nano-optoelectronics. Our simulations further suggest that due to the weak van der Waals-like interaction between a substrate and an overlayer, the use of a MoS2 substrate may be a promising route to fabricate few-monolayer Haeckelite GaN experimentally.

  3. MARTA GANs: Unsupervised Representation Learning for Remote Sensing Image Classification

    NASA Astrophysics Data System (ADS)

    Lin, Daoyu; Fu, Kun; Wang, Yang; Xu, Guangluan; Sun, Xian

    2017-11-01

    With the development of deep learning, supervised learning has frequently been adopted to classify remotely sensed images using convolutional networks (CNNs). However, due to the limited amount of labeled data available, supervised learning is often difficult to carry out. Therefore, we proposed an unsupervised model called multiple-layer feature-matching generative adversarial networks (MARTA GANs) to learn a representation using only unlabeled data. MARTA GANs consists of both a generative model $G$ and a discriminative model $D$. We treat $D$ as a feature extractor. To fit the complex properties of remote sensing data, we use a fusion layer to merge the mid-level and global features. $G$ can produce numerous images that are similar to the training data; therefore, $D$ can learn better representations of remotely sensed images using the training data provided by $G$. The classification results on two widely used remote sensing image databases show that the proposed method significantly improves the classification performance compared with other state-of-the-art methods.

  4. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  5. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  6. Stress related aspects of GaN technology physics

    NASA Astrophysics Data System (ADS)

    Suhir, Ephraim

    2015-03-01

    Simple, easy-to-use and physically meaningful analytical models have been developed for the assessment of the combined effect of the lattice and thermal mismatch on the induced stresses in an elongated bi-material assembly, as well as on the thermal mismatch on the thermal stresses in a tri-material assembly, in which the lattice mismatched stresses are eliminated in one way or another. This could be done, e.g., by using a polished or an etched substrate. The analysis is carried out in application to Gallium Nitride (GaN)-Silicon Carbide (SiC) and GaN-diamond (C) filmsubstrate assemblies. The calculated data are obtained, assuming that no annealing or other stress reduction means is applied. The data agree reasonably well with the reported (available) in-situ measurements. The most important conclusion from the computed data is that even if a reasonably good lattice match takes place (as, e.g., in the case of a GaN film fabricated on a SiC substrate, when the mismatch strain is only about 3%) and, in addition, the temperature change (from the fabrication/growth temperature to the operation temperature) is significant (as high as 1000 °C), the thermal stresses are still considerably lower than the lattice-mismatch stresses. Although there are structural and technological means for further reduction of the lattice-mismatch stresses (e.g., by high temperature annealing or by providing one or more buffering layers, or by using patterned or porous substrates), there is still a strong incentive to eliminate completely the lattice mismatch stresses. This seems to be indeed possible, if polished or otherwise flattened (e.g., chemically etched) substrates and sputter deposited GaN film is employed. In such a case only thermal stresses remain, but even these could be reduced, if necessary, by using compliant buffering layers, including layers of variable compliance, or by introducing variable compliance into the properly engineered substrate. In any event, it is expected

  7. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  8. Electron mobility in InGaN channel heterostructure field effect transistor structures with different barriers

    NASA Astrophysics Data System (ADS)

    Xie, J.; Leach, J. H.; Ni, X.; Wu, M.; Shimada, R.; Özgür, Ü.; Morkoç, H.

    2007-12-01

    InGaN possesses higher electron mobility and velocity than GaN, and therefore is expected to lead to relatively better performances for heterostructure field effect transistors (HFETs). However, the reported mobilities for AlGaN /InGaN HFETs are lower than GaN channel HFETs. To address this issue, we studied the effect of different barriers on the Hall mobility for InGaN channel HFETs grown by metal organic chemical vapor deposition. Unlike the conventional AlGaN barrier, the AlInN barrier can be grown at the same temperature as the InGaN channel layer, alleviating some of the technological roadblocks. Specifically, this avoids possible degradation of the thin InGaN channel during AlGaN growth at high temperatures; and paves the way for better interfaces. An undoped In0.18Al0.82N/AlN/In0.04Ga0.96N HFET structure exhibited a μH=820cm2/Vs, with a ns=2.12×1013cm-2 at room temperature. Moreover, with an In-doped AlGaN barrier, namely, Al0.24In0.01Ga0.75N, grown at 900°C, the μH increased to 1230cm2/Vs with a ns of 1.09×1013cm-2 for a similar InGaN channel. Furthermore, when the barrier was replaced by Al0.25Ga0.75N grown at 1030°C, μH dropped to 870cm2/Vs with ns of 1.26×1013cm-2 at room temperature. Our results suggest that to fully realize the potential of the InGaN channel HFETs, AlInN or AlInGaN should be used as the barrier instead of the conventional AlGaN barrier.

  9. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  10. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, andmore » a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.« less

  11. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Erofeev, E. V., E-mail: erofeev@micran.ru; Fedin, I. V.; Kutkov, I. V.

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping levelmore » makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.« less

  12. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    PubMed

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  13. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  14. High power blue laser diodes on semipolar (202¯1¯) GaN substrates

    NASA Astrophysics Data System (ADS)

    Pourhashemi, Seyed Arash

    High power blue laser didoes (LDs), among other applications, show the promise of realizing efficient and reliable solid state lighting systems. Since first GaN optoelectronic devices were demonstrated in early 1990s, GaN LDs were traditionally fabricated on polar c-plane. However in recent years there has been a growing interest in nonpolar and semipolar planes. Nonpolar and semipolar devices offer the prospect of achieving higher efficiencies though elimination or reduction of polarization-related electric fields. In this project I investigated semipolar (202 ¯1 ¯) plane of GaN for blue LDs fabrication. Results include blue LD (Lambda=450 nm) with highest output power, differential quantum efficiency (?d) and external quantum efficiency (EQE) reported for a GaN LD on a semipolar plane to date. Output power of 2.52 W, etad=50% and EQE=39% were achieved in pulsed mode and output power of 1.71 W was achieved in true CW mode. Moreover, use of indium tin oxide (ITO) as cladding layer in order to reduce the thickness of Mg-doped p-GaN layer was investigated. Blue LDs with ITO cladding were demonstrated in this work with highest output power, etad and EQE reported for a GaN LD with transparent conducting oxide (TCO) cladding layer to date. The lack of any natural cleavage plane orthogonal to the in-plane projection of the c-axis on semipolar planes has made Cl2-based dry etch processes the most common way to form mirror facets for semipolar LDs. However, mirror facets fabricated by dry etching can be inclined or rough. For this work, mechanical polishing was used to form LD mirror facets. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high power CW semipolar LDs.

  15. Silicon based substrate with calcium aluminosilicate environmental/thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Miller, Robert Alden (Inventor); Jacobson, Nathan S. (Inventor); Smialek, James L. (Inventor); Opila, Elizabeth J. (Inventor); Lee, Kang N. (Inventor); Nagaraj, Bangalore A. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2001-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a calcium alumino silicate.

  16. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  17. Metal-organic chemical vapor deposition of N-polar InN quantum dots and thin films on vicinal GaN

    NASA Astrophysics Data System (ADS)

    Lund, Cory; Catalano, Massimo; Wang, Luhua; Wurm, Christian; Mates, Thomas; Kim, Moon; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2018-02-01

    N-polar InN layers were deposited using MOCVD on GaN-on-sapphire templates which were miscut 4° towards the GaN m-direction. For thin layers, quantum dot-like features were spontaneously formed to relieve the strain between the InN and GaN layers. As the thickness was increased, the dots elongated along the step direction before growing outward perpendicular to the step direction and coalescing to form a complete InN layer. XRD reciprocal space maps indicated that the InN films relaxed upon quantum dot formation after nominally 1 nm thick growth, resulting in 5-7 nm tall dots with diameters around 20-50 nm. For thicker layers above 10 nm, high electron mobilities of up to 706 cm2/V s were measured using Hall effect measurements indicating high quality layers.

  18. All high Tc edge-geometry weak links utilizing Y-Ba-Cu-O barrier layers

    NASA Technical Reports Server (NTRS)

    Hunt, B. D.; Foote, M. C.; Bajuk, L. J.

    1991-01-01

    High quality YBa2Cu3O(7-x) normal-metal/YBa2Cu3O(7-x) edge-geometry weak links have been fabricated using nonsuperconducting Y-Ba-Cu-O barrier layers deposited by laser ablation at reduced growth temperatures. Devices incorporating 25-100 A thick barrier layers exhibit current-voltage characteristics consistent with the resistively shunted junction model, with strong microwave and magnetic field response at temperatures up to 85 K. The critical currents vary exponentially with barrier thickness, and the resistances scale linearly with Y-Ba-Cu-O interlayer thickness and device area, indicating good barrier uniformity, with an effective mormal metal coherence length of 20 A.

  19. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  20. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  1. Barrier Properties of Layered-Silicate Reinforced Ethylenepropylenediene Monomer/Chloroprene Rubber Nanorubbers.

    PubMed

    Wu, Chang Mou; Hsieh, Wen Yen; Cheng, Kuo Bin; Lai, Chiu-Chun; Lee, Kuei Chi

    2018-05-09

    The triacetin and nitroglycerin barrier properties of layered-silicate reinforced ethylenepropylenediene monomer/chloroprene rubber (EPDM/CR) nanorubbers were investigated as rocket-propellant inhibitors. EPDM/CR nanorubbers with intercalated structures were formulated and prepared by the melt-compounding method. The triacetin permeability and nitroglycerin absorption were observed to decrease with increasing layered-silicate content. The layered silicates also improved the flame retardancies of the nanorubbers by forming silicate reinforced carbonaceous chars. Layered-silicate reinforced EPDM/CR nanorubbers are potentially effective rocket propellant-inhibiting materials.

  2. GdN nanoisland-based GaN tunnel junctions.

    PubMed

    Krishnamoorthy, Sriram; Kent, Thomas F; Yang, Jing; Park, Pil Sung; Myers, Roberto C; Rajan, Siddharth

    2013-06-12

    Tunnel junctions could have a great impact on gallium nitride and aluminum nitride-based devices such as light-emitting diodes and lasers by overcoming critical challenges related to hole injection and p-contacts. This paper demonstrates the use of GdN nanoislands to enhance interband tunneling and hole injection into GaN p-n junctions by several orders of magnitude, resulting in low tunnel junction specific resistivity (1.3 × 10(-3) Ω-cm(2)) compared to the previous results in wide band gap semiconductors. Tunnel injection of holes was confirmed by low-temperature operation of GaN p-n junction with a tunneling contact layer, and strong electroluminescence down to 20 K. The low tunnel junction resistance combined with low optical absorption loss in GdN is very promising for incorporation in GaN-based light emitters.

  3. Structural defects in bulk GaN

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Z.; dos Reis, R.; Mancuso, M.; Song, C. Y.; Grzegory, I.; Porowski, S.; Bockowski, M.

    2014-10-01

    Transmission Electron Microscopy (TEM) studies of undoped and Mg doped GaN layers grown on the HVPE substrates by High Nitrogen Pressure Solution (HNPS) with the multi-feed-seed (MFS) configuration are shown. The propagation of dislocations from the HVPE substrate to the layer is observed. Due to the interaction between these dislocations in the thick layers much lower density of these defects is observed in the upper part of the HNPS layers. Amorphous Ga precipitates with attached voids pointing toward the growth direction are observed in the undoped layer. This is similar to the presence of Ga precipitates in high-pressure platelets, however the shape of these precipitates is different. The Mg doped layers do not show Ga precipitates, but MgO rectangular precipitates are formed, decorating the dislocations. Results of TEM studies of HVPE layers grown on Ammonothermal substrates are also presented. These layers have superior crystal quality in comparison to the HNPS layers, as far as density of dislocation is concern. Occasionally some small inclusions can be found, but their chemical composition was not yet determined. It is expected that growth of the HNPS layers on these substrate will lead to large layer thickness obtained in a short time and with high crystal perfection needed in devices.

  4. Effect of a multi-layer infection control barrier on the micro-hardness of a composite resin

    PubMed Central

    HWANG, In-Nam; HONG, Sung-Ok; LEE, Bin-Na; HWANG, Yun-Chan; OH, Won-Mann; CHANG, Hoon-Sang

    2012-01-01

    Objective The aim of this study was to evaluate the effect of multiple layers of an infection control barrier on the micro-hardness of a composite resin. Material and Methods One, two, four, and eight layers of an infection control barrier were used to cover the light guides of a high-power light emitting diode (LED) light curing unit (LCU) and a low-power halogen LCU. The composite specimens were photopolymerized with the LCUs and the barriers, and the micro-hardness of the upper and lower surfaces was measured (n=10). The hardness ratio was calculated by dividing the bottom surface hardness of the experimental groups by the irradiated surface hardness of the control groups. The data was analyzed by two-way ANOVA and Tukey's HSD test. Results The micro-hardness of the composite specimens photopolymerized with the LED LCU decreased significantly in the four- and eight-layer groups of the upper surface and in the two-, four-, and eight-layer groups of the lower surface. The hardness ratio of the composite specimens was <80% in the eight-layer group. The micro-hardness of the composite specimens photopolymerized with the halogen LCU decreased significantly in the eight-layer group of the upper surface and in the two-, four-, and eight-layer groups of the lower surface. However, the hardness ratios of all the composite specimens photopolymerized with barriers were <80%. Conclusions The two-layer infection control barrier could be used on high-power LCUs without decreasing the surface hardness of the composite resin. However, when using an infection control barrier on the low-power LCUs, attention should be paid so as not to sacrifice the polymerization efficiency. PMID:23138746

  5. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  6. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  7. Stuffed MO layer as a diffusion barrier in metallizations for high temperature electronics

    NASA Technical Reports Server (NTRS)

    Boah, J. K.; Russell, V.; Smith, D. P.

    1981-01-01

    Auger electron spectroscopy was employed to characterize the diffusion barrier properties of molybdenum in the CrSi2/Mo/Au metallization system. The barrier action of Mo was demonstrated to persist even after 2000 hours annealing time at 300 C in a nitrogen ambient. At 340 C annealing temperature, however, rapid interdiffusion was observed to have occurred between the various metal layers after only 261 hours. The presence of controlled amounts of oxygen in the Mo layer is believed to be responsible for suppressing the short circuit interdiffusion between the thin film layers. Above 340 C, its is believed that the increase in the oxygen mobility led to deterioration of its stuffing action, resulting in the rapid interdiffusion of the thin film layers along grain boundaries.

  8. Barrier Properties of Layered-Silicate Reinforced Ethylenepropylenediene Monomer/Chloroprene Rubber Nanorubbers

    PubMed Central

    Hsieh, Wen Yen; Cheng, Kuo Bin; Lai, Chiu-Chun; Lee, Kuei Chi

    2018-01-01

    The triacetin and nitroglycerin barrier properties of layered-silicate reinforced ethylenepropylenediene monomer/chloroprene rubber (EPDM/CR) nanorubbers were investigated as rocket-propellant inhibitors. EPDM/CR nanorubbers with intercalated structures were formulated and prepared by the melt-compounding method. The triacetin permeability and nitroglycerin absorption were observed to decrease with increasing layered-silicate content. The layered silicates also improved the flame retardancies of the nanorubbers by forming silicate reinforced carbonaceous chars. Layered-silicate reinforced EPDM/CR nanorubbers are potentially effective rocket propellant-inhibiting materials. PMID:29747427

  9. Wideband Reconfigurable Harmonically Tuned GaN SSPA for Cognitive Radios

    NASA Technical Reports Server (NTRS)

    Waldstein, Seth W.; Barbosa Kortright, Miguel A.; Simons, Rainee N.

    2017-01-01

    The paper presents the architecture of a wideband reconfigurable harmonically-tuned Gallium Nitrate (GaN) Solid State Power Amplifier (SSPA) for cognitive radios. When interfaced with the physical layer of a cognitive communication system, this amplifier topology offers broadband high efficiency through the use of multiple tuned input/output matching networks. This feature enables the cognitive radio to reconfigure the operating frequency without sacrificing efficiency. This paper additionally presents as a proof-of-concept the design, fabrication, and test results for a GaN inverse class-F type amplifier operating at X-band (8.4 GHz) that achieves a maximum output power of 5.14-W, Power Added Efficiency (PAE) of 38.6, and Drain Efficiency (DE) of 48.9 under continuous wave (CW) operation.

  10. Impact of Plasma Electron Flux on Plasma Damage-Free Sputtering of Ultrathin Tin-Doped Indium Oxide Contact Layer on p-GaN for InGaN/GaN Light-Emitting Diodes.

    PubMed

    Son, Kwang Jeong; Kim, Tae Kyoung; Cha, Yu-Jung; Oh, Seung Kyu; You, Shin-Jae; Ryou, Jae-Hyun; Kwak, Joon Seop

    2018-02-01

    The origin of plasma-induced damage on a p -type wide-bandgap layer during the sputtering of tin-doped indium oxide (ITO) contact layers by using radiofrequency-superimposed direct current (DC) sputtering and its effects on the forward voltage and light output power (LOP) of light-emitting diodes (LEDs) with sputtered ITO transparent conductive electrodes (TCE) is systematically studied. Changing the DC power voltage from negative to positive bias reduces the forward voltages and enhances the LOP of the LEDs. The positive DC power drastically decreases the electron flux in the plasma obtained by plasma diagnostics using a cutoff probe and a Langmuir probe, suggesting that the repulsion of plasma electrons from the p -GaN surface can reduce plasma-induced damage to the p -GaN. Furthermore, electron-beam irradiation on p -GaN prior to ITO deposition significantly increases the forward voltages, showing that the plasma electrons play an important role in plasma-induced damage to the p -GaN. The plasma electrons can increase the effective barrier height at the ITO/deep-level defect (DLD) band of p -GaN by compensating DLDs, resulting in the deterioration of the forward voltage and LOP. Finally, the plasma damage-free sputtered-ITO TCE enhances the LOP of the LEDs by 20% with a low forward voltage of 2.9 V at 20 mA compared to LEDs with conventional e-beam-evaporated ITO TCE.

  11. Intracavity double diode structures with GaInP barrier layers for thermophotonic cooling

    NASA Astrophysics Data System (ADS)

    Tiira, Jonna; Radevici, Ivan; Haggren, Tuomas; Hakkarainen, Teemu; Kivisaari, Pyry; Lyytikäinen, Jari; Aho, Arto; Tukiainen, Antti; Guina, Mircea; Oksanen, Jani

    2017-02-01

    Optical cooling of semiconductors has recently been demonstrated both for optically pumped CdS nanobelts and for electrically injected GaInAsSb LEDs at very low powers. To enable cooling at larger power and to understand and overcome the main obstacles in optical cooling of conventional semiconductor structures, we study thermophotonic (TPX) heat transport in cavity coupled light emitters. Our structures consist of a double heterojunction (DHJ) LED with a GaAs active layer and a corresponding DHJ or a p-n-homojunction photodiode, enclosed within a single semiconductor cavity to eliminate the light extraction challenges. Our presently studied double diode structures (DDS) use GaInP barriers around the GaAs active layer instead of the AlGaAs barriers used in our previous structures. We characterize our updated double diode structures by four point probe IV- measurements and measure how the material modifications affect the recombination parameters and coupling quantum efficiencies in the structures. The coupling quantum efficiency of the new devices with InGaP barrier layers is found to be approximately 10 % larger than for the structures with AlGaAs barriers at the point of maximum efficiency.

  12. Defects in N/Ge coimplanted GaN studied by positron annihilation

    NASA Astrophysics Data System (ADS)

    Nakano, Yoshitaka; Kachi, Tetsu

    2002-01-01

    We have applied positron annihilation spectroscopy to study the depth distributions and species of defects in N-, Ge-, and N/Ge-implanted GaN at dosages of 1×1015 cm-2. For all the implanted samples, Ga vacancies introduced by ion-implantation are found to diffuse into much deeper regions of the GaN layers during the implantation and to change into some other vacancy-type defects by the annealing at 1300 °C. In particular, markedly different defects turn out to be newly created in the electrically activated regions for both the Ge- and N/Ge-implanted samples after annealing, indicating that these new defects are probably associated with the presence of the implanted Ge dopant atoms.

  13. Effects of hydrogen treatment on ohmic contacts to p-type GaN films

    NASA Astrophysics Data System (ADS)

    Huang, Bohr-Ran; Chou, Chia-Hui; Ke, Wen-Cheng; Chou, Yi-Lun; Tsai, Chia-Lung; Wu, Meng-chyi

    2011-06-01

    This study investigated the effects of hydrogen (H 2) treatment on metal contacts to Mg-doped p-GaN films by Hall-effect measurement, current-voltage ( I- V) analyzer and X-ray photoemission spectra (XPS). The interfacial oxide layer on the p-GaN surface was found to be the main reason for causing the nonlinear I- V behavior of the untreated p-GaN films. The increased nitrogen vacancy (V N) density due to increased GaN decomposition rate at high-temperature hydrogen treatment is believed to form high density surface states on the surface of p-GaN films. Compared to untreated p-GaN films, the surface Fermi level determined by the Ga 2p core-level peak on 1000 °C H 2-treated p-GaN films lies about ˜2.1 eV closer to the conduction band edge (i.e., the surface inverted to n-type behavior). The reduction in barrier height due to the high surface state density pinned the surface Fermi level close to the conduction band edge, and allowed the electrons to easily flow over the barrier from the metal into the p-GaN films. Thus, a good ohmic contact was achieved on the p-GaN films by the surface inversion method.

  14. Novel approach for III-N on Si (111) templates fabrication by low-temperature PA MBE using porous Si layer

    NASA Astrophysics Data System (ADS)

    Zolotukhin, D.; Seredin, P.; Lenshin, A.; Goloshchapov, D.; Mizerov, A.

    2017-11-01

    We report on successful growth of GaN nanorods by low-temperature plasma-assisted molecular beam epitaxy on a Si(111) substrate with and without preformed thin porous Si layer (por-Si). The deposited GaN initially forms islands which act as a seed for the wires. Porous structure of the por-Si layer helps to control nucleation islands sizes and achieve homogeneous distribution of the nanorods diameters. In addition 850 nm-thick crack-free GaN layer was formed on Si(111) substrate with preformed por-Si layer.

  15. Design of high breakdown voltage vertical GaN p-n diodes with high-K/low-K compound dielectric structure for power electronics applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Li, Zhenchao; Liu, Dong; Bai, Zhiyuan; Liu, Yang; Yu, Qi

    2017-11-01

    In this work, a vertical GaN p-n diode with a high-K/low-K compound dielectric structure (GaN CD-VGD) is proposed and designed to achieve a record high breakdown voltage (BV) with a low specific on-resistance (Ron,sp). By introducing compound dielectric structure, the electric field near the p-n junction interface is suppressed due to the effects of high-K passivation layer, and a new electric field peak is induced into the n-type drift region, because of a discontinuity of electrical field at the interface of high-K and low-K layer. Therefore the distribution of electric field in GaN p-n diode becomes more uniform and an enhancement of breakdown voltage can be achieved. Numerical simulations demonstrate that GaN CD-VGD with a BV of 10650 V and a Ron,sp of 14.3 mΩ cm2, resulting in a record high figure-of-merit of 8 GW/cm2.

  16. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  17. Roma Gans: Still Writing at 95.

    ERIC Educational Resources Information Center

    Sullivan, Joanna

    1991-01-01

    Recounts discussions with reading educator Roma Gans over a 25-year period. Presents Gans' views about reading, teachers, her family, and her years at Teachers College, Columbia. Notes that Gans has seen the teaching of reading come full circle since her first teaching assignment in 1919. (RS)

  18. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  19. Analysis of light extraction efficiency enhancement for thin-film-flip-chip InGaN quantum wells light-emitting diodes with GaN micro-domes.

    PubMed

    Zhao, Peng; Zhao, Hongping

    2012-09-10

    The enhancement of light extraction efficiency for thin-film flip-chip (TFFC) InGaN quantum wells (QWs) light-emitting diodes (LEDs) with GaN micro-domes on n-GaN layer was studied. The light extraction efficiency of TFFC InGaN QWs LEDs with GaN micro-domes were calculated and compared to that of the conventional TFFC InGaN QWs LEDs with flat surface. The three dimensional finite difference time domain (3D-FDTD) method was used to calculate the light extraction efficiency for the InGaN QWs LEDs emitting at 460nm and 550 nm, respectively. The effects of the GaN micro-dome feature size and the p-GaN layer thickness on the light extraction efficiency were studied systematically. Studies indicate that the p-GaN layer thickness is critical for optimizing the TFFC LED light extraction efficiency. Significant enhancement of the light extraction efficiency (2.5-2.7 times for λ(peak) = 460nm and 2.7-2.8 times for λ(peak) = 550nm) is achievable from TFFC InGaN QWs LEDs with optimized GaN micro-dome diameter and height.

  20. Evaluation of metal/indium-tin-oxide for transparent low-resistance contacts to p-type GaN.

    PubMed

    Hou, Wenting; Stark, Christoph; You, Shi; Zhao, Liang; Detchprohm, Theeradetch; Wetzel, Christian

    2012-08-10

    In search of a better transparent contact to p-GaN, we analyze various metal/indium-tin-oxide (ITO) (Ag/ITO, AgCu/ITO, Ni/ITO, and NiZn/ITO) contact schemes and compare to Ni/Au, NiZn/Ag, and ITO. The metal layer boosts conductivity while the ITO thickness can be adjusted to constructive transmission interference on GaN that exceeds extraction from bare GaN. We find a best compromise for an Ag/ITO (3 nm/67 nm) ohmic contact with a relative transmittance of 97% of the bare GaN near 530 nm and a specific contact resistance of 0.03 Ω·cm2. The contact proves suitable for green light-emitting diodes in epi-up geometry.

  1. Emission rate and internal quantum efficiency enhancement in different geometrical shapes of GaN LED

    NASA Astrophysics Data System (ADS)

    Rashid, S.; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Halim, N. S. A. Abdul; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    This work is based on the development of light emitting diode (LED) using different geometry of top surface on GaN p-n junction structure. Three types of LED chips are designed with different top surface to differ whether p-type layer or p contact plays an important role in improving its efficiency. The voltage applied ranges from 0V to 4V. Current-voltage characteristic for all three samples are obtained and analyzed. The results show that dome shaped of p-type layer operating at 4V increases the emission rate and internal quantum efficiency up to 70%, which is two times higher than basic cylindrically LED chip. Moreover, this new design effectively solved the higher forward voltage problem of the usual curve surface of p-contact GaN LED.

  2. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  3. An atomistic mechanism study of GaN step-flow growth in vicinal m-plane orientations

    DOE PAGES

    Liu, Zhun; Wang, Ru-Zhi; Zapol, Peter

    2016-10-12

    We present elucidation of homoepitaxial growth mechanisms on vicinal non-polar surfaces of GaN that is highly important for gaining an understanding of and control thin film surface morphology and properties. Using first-principles calculations, we study the step-flow growth in m-plane GaN based on atomic row nucleation and kink propagation kinetics. Ga–N dimer adsorption onto the m-plane is energetically more favorable than that of Ga and N isolated adatoms. Therefore, we have treated the dimers as the dominant growth species attached to the step edges. By calculating the free energies of sequentially attached Ga–N dimers, we have elucidated that the a-stepmore » edge kink growth proceeds by parallel attachment rather than by across the step edge approach. We found a series of favorable configurations of kink propagation and calculated the free energy and nucleation barriers for kink evolution on five types of step edges (a, +c, -c, +a + c, and -a - c). By changing the chemical potential μGa and the excess chemical potential Δμ, the growth velocities at the five types of edges are controlled by the corresponding kink pair nucleation barrier E* in their free energy profiles. To explore the kink-flow growth instability observed at different Ga/N flux ratios, calculations of kink pairs on the incompact -c and +c-step edges are further performed to study their formation energies. Variations of these step edge morphologies with a tuned chemical environment are consistent with previous experimental observations, including stable diagonal ±a ± c-direction steps. In conclusion, our work provides a first-principles approach to explore step growth and surface morphology of the vicinal m-plane GaN, which is applicable to analyze and control the step-flow growth of other binary thin films.« less

  4. Free-standing epitaxial graphene on silicon carbide and transport barriers in layered materials

    NASA Astrophysics Data System (ADS)

    Shivaraman, Shriram

    This thesis is based on the topic of layered materials, in which different layers interact with each other via van der Waals forces. The majority of this thesis deals with epitaxial graphene (EG) obtained from silicon carbide (SiC). Free-standing epitaxial graphene (FSEG) structures are produced from EG using a photoelectrochemical (PEC) etching process developed for making suspended graphene structures on a large-scale. These structures are investigated for their mechanical and electrical properties. For doubly-clamped FSEG structures, a unique U-beam effect is observed which causes orders of magnitude increase in their mechanical resonance frequency compared to that expected using simple beam theory. Combined magnetotransport and Raman spectroscopy studies reveal that FSEG devices produced from nominally monolayer graphene on the Si-face of SiC exhibit properties of an inhomogeneously doped bilayer after becoming suspended. This suggests that the buffer layer which precedes graphene growth on the Si-face of SiC gets converted to a graphene layer after the PEC etching process. In the second theme of this thesis, transport barriers in layered materials are investigated. The EG-SiC interface is studied using a combination of electrical (I-V, C-V) and photocurrent spectroscopy techniques. It is shown that the interface may be described as having a Schottky barrier for electron transport with a Gaussian distribution of barrier heights. Another interface explored in this work is that between different layers of MoS 2, a layered material belonging to the class of transition metal dichalcogenides. This interface maybe thought of as a one-dimensional junction. Four-point transport measurements indicate the presence of a barrier for electron transport at this interface. A simple model of the junction as a region with an increased threshold voltage and degraded mobility is suggested. The final chapter is a collection of works based on the topic of layered materials, which

  5. Effects of plasma spray parameters on two layer thermal barrier

    NASA Technical Reports Server (NTRS)

    Stecura, S.

    1981-01-01

    The power level and the type of arc gas used during plasma spraying of a two layer thermal barrier system (TBS) were found to affect the life of the system. Life at 1095 C in a cyclic furnace test was improved by about 140 percent by increasing the power during plasma spray applications of the bond and thermal barrier coatings. This improvement is due to increases in the densities of the bond and thermal barrier coatings by 3 and 5 percent, respectively. These increases in densities are equivalent to about 45 and 30 percent reduction in mean porosities, respectively. The addition of hydrogen to the argon arc gas had the same effect as the reduction in power level and caused a reduction in TBS life.

  6. Growth condition optimization and mobility enhancement through prolonging the GaN nuclei coalescence process of AlGaN/AlN/GaN structure

    NASA Astrophysics Data System (ADS)

    He, Xiao-Guang; Zhao, De-Gang; Jiang, De-Sheng; Zhu, Jian-Jun; Chen, Ping; Liu, Zong-Shun; Le, Ling-Cong; Yang, Jing; Li, Xiao-Jing; Zhang, Shu-Ming; Yang, Hui

    2015-09-01

    AlGaN/AlN/GaN structures are grown by metalorganic vapor phase epitaxy on sapphire substrates. Influences of AlN interlayer thickness, AlGaN barrier thickness, and Al composition on the two-dimensional electron gas (2DEG) performance are investigated. Lowering the V/III ratio and enhancing the reactor pressure at the initial stage of the high-temperature GaN layer growth will prolong the GaN nuclei coalescence process and effectively improve the crystalline quality and the interface morphology, diminishing the interface roughness scattering and improving 2DEG mobility. AlGaN/AlN/GaN structure with 2DEG sheet density of 1.19 × 1013 cm-2, electron mobility of 2101 cm2·V-1·s-1, and square resistance of 249 Ω is obtained. Project support by the National Natural Science Foundation of China (Grant Nos. 61474110, 61377020, 61376089, 61223005, and 61176126), the National Science Fund for Distinguished Young Scholars, China (Grant No. 60925017), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).

  7. Wetting layer effect on impurity-related electronic properties of different (In,Ga)N QD-shapes

    NASA Astrophysics Data System (ADS)

    El Ghazi, Haddou; Jorio, Anouar; Zorkani, Izeddine; Feddi, El Mustapha; El Mouchtachi, Ahmed

    2018-05-01

    In this paper, we have investigated the electronic properties of (In,Ga)N/GaN coupled wetting layer-quantum dot system using the numerical approach. The finite element method code is used to solve the Schrödinger equation, in the presence of the impurity. In our model, parallelepiped-shape, circular and square based-pyramidal and their wetting layers embedded in GaN matrix were considered. Based on the single band parabolic and the effective mass approximations, the envelop function and its corresponding energy eigenvalue are obtained assuming a finite potential barrier. Our results reveal that: (1) the wetting layer has a great influence on the electronic properties especially for a small quantum dot and acts in the opposite sense of the geometrical confinement, (2) a wetting layer-dependent critical QD-size is obtained limiting two different behaviors and (3) its effect is strongly-dependent on the quantum dot-shape.

  8. ZnO nanorods/graphene/Ni/Au hybrid structures as transparent conductive layer in GaN LED for low work voltage and high light extraction

    NASA Astrophysics Data System (ADS)

    Xu, Kun; Xie, Yiyang; Ma, Huali; Du, Yinxiao; Zeng, Fanguang; Ding, Pei; Gao, Zhiyuan; Xu, Chen; Sun, Jie

    2016-12-01

    In this paper, by virtue of one-dimensional ZnO nanorods and two-dimensional graphene film hybrid structures, both the enhanced current spreading and enhanced light extraction were realized at the same time. A 1 nm/1 nm Ni/Au layer was used as an interlayer between graphene and pGaN to form ohmic contact, which makes the device have a good forward conduction properties. Through the comparison of the two groups of making ZnO nanorods or not, it was found that the 30% light extraction efficiency of the device was improved by using the ZnO nanorods. By analysis key parameters of two groups such as the turn-on voltage, work voltage and reverse leakage current, it was proved that the method for preparing surface nano structure by hydrothermal method self-organization growth ZnO nanorods applied in GaN LEDs has no influence to device's electrical properties. The hybrid structure application in GaN LED, make an achievement of a good ohmic contact, no use of ITO and enhancement of light extraction at the same time, meanwhile it does not change the device structure, introduce additional process, worsen the electrical properties.

  9. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  10. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  11. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  12. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  13. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  14. Pyramidal defects in highly Mg-doped GaN: atomic structure and influence on optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Leroux, M.; Vennéguès, P.; Dalmasso, S.; de Mierry, P.; Lorenzini, P.; Damilano, B.; Beaumont, B.; Gibart, P.; Massies, J.

    2004-07-01

    A detailed transmission electron microscopy study is performed on the pyramidal inversion domains that appear in highly Mg-doped GaN grown by metalorganics vapor phase epitaxy or by the high-pressure, high-temperature method. From a comparison between high resolution images of the inversion domain boundaries and simulations using different atomic models, we conclude that both basal and inclined domain boundaries are likely formed of a monomolecular layer of the definite compound Mg{3}N{2}. We show that, due to their high concentration, the formation of these defects may account for auto-compensation in Mg-doped GaN. We also show that the local band bending induced by the polarity inversion due to these defects can be at the origin of the blue luminescence of highly Mg-doped GaN, always observed when nanometric pyramidal inversion domains are also present.

  15. Electromechanical Characterization of Single GaN Nanobelt Probed with Conductive Atomic Force Microscope

    NASA Astrophysics Data System (ADS)

    Yan, X. Y.; Peng, J. F.; Yan, S. A.; Zheng, X. J.

    2018-04-01

    The electromechanical characterization of the field effect transistor based on a single GaN nanobelt was performed under different loading forces by using a conductive atomic force microscope (C-AFM), and the effective Schottky barrier height (SBH) and ideality factor are simulated by the thermionic emission model. From 2-D current image, the high value of the current always appears on the nanobelt edge with the increase of the loading force less than 15 nN. The localized (I-V) characteristic reveals a typical rectifying property, and the current significantly increases with the loading force at the range of 10-190 nN. The ideality factor is simulated as 9.8 within the scope of GaN nano-Schottky diode unity (6.5-18), therefore the thermionic emission current is dominant in the electrical transport of the GaN-tip Schottky junction. The SBH is changed through the piezoelectric effect induced by the loading force, and it is attributed to the enhanced current. Furthermore, a single GaN nanobelt has a high mechanical-induced current ratio that could be made use of in a nanoelectromechanical switch.

  16. Electromechanical Characterization of Single GaN Nanobelt Probed with Conductive Atomic Force Microscope

    NASA Astrophysics Data System (ADS)

    Yan, X. Y.; Peng, J. F.; Yan, S. A.; Zheng, X. J.

    2018-07-01

    The electromechanical characterization of the field effect transistor based on a single GaN nanobelt was performed under different loading forces by using a conductive atomic force microscope (C-AFM), and the effective Schottky barrier height (SBH) and ideality factor are simulated by the thermionic emission model. From 2-D current image, the high value of the current always appears on the nanobelt edge with the increase of the loading force less than 15 nN. The localized ( I- V) characteristic reveals a typical rectifying property, and the current significantly increases with the loading force at the range of 10-190 nN. The ideality factor is simulated as 9.8 within the scope of GaN nano-Schottky diode unity (6.5-18), therefore the thermionic emission current is dominant in the electrical transport of the GaN-tip Schottky junction. The SBH is changed through the piezoelectric effect induced by the loading force, and it is attributed to the enhanced current. Furthermore, a single GaN nanobelt has a high mechanical-induced current ratio that could be made use of in a nanoelectromechanical switch.

  17. Hydrogen depassivation of the magnesium acceptor by beryllium in p-type GaN

    NASA Astrophysics Data System (ADS)

    Wang, Chihsiang; Wang, Xiao; Zhang, Qiming

    2010-05-01

    Under nitrogen-rich growth conditions, the present ab initio study predicts that hydrogen passivation is more effective on the acceptor Be instead of Mg in a co-doped p-type GaN. The formation energy is 0.24 eV for (H-Be Ga) complex, and 0.46 eV for (H-Mg Ga) complex. Congruently, the binding energy is 1.40 eV for (H-Be Ga), and 0.60 eV for (H-Mg Ga). Owing to the lower binding energy, (H-Mg Ga) is not thermally stable. As Be is incorporated in Mg-doped GaN, a (H-Mg Ga) may release a H + cation at relatively elevated temperatures. Consequently, the H + diffuses swiftly away from a Mg -Ga, across a barrier of 1.17 eV, towards a Be -Ga and forms a stable (H-Be Ga) with it. The activation of Mg acceptors can be thus facilitated. In this view, the process of hydrogen depassivation of the Mg acceptor by Be can convert the as-grown high-resistivity Mg-doped GaN into a p-conducting material, as observed in the experiments.

  18. High Temperature Annealing of MBE-grown Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Contreras, S.; Konczewicz, L.; Peyre, H.; Juillaguet, S.; Khalfioui, M. Al; Matta, S.; Leroux, M.; Damilano, B.; Brault, J.

    2017-06-01

    In this report, are shown the results of high temperature resistivity and Hall Effect studies of Mg-doped GaN epilayers. The samples studied were grown on (0001) (c-plane) sapphire by molecular beam epitaxy and 0.5 μm GaN:Mg layers have been achieved on low temperature buffers of GaN (30 nm) and AlN ( 150 nm). The experiments were carried out in the temperature range from 300 K up to 900 K. Up to about 870 K a typical thermally activated conduction process has been observed with the activation energy value EA = 215 meV. However, for higher temperatures, an annealing effect is observed in all the investigated samples. The increase of the free carrier concentration as a function of time leads to an irreversible decrease of sample resistivity of more than 60%.

  19. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  20. The determination of modified barrier heights in Ti/GaN nano-Schottky diodes at high temperature.

    PubMed

    Lee, Seung-Yong; Kim, Tae-Hong; Chol, Nam-Kyu; Seong, Han-Kyu; Choi, Heon-Jin; Ahn, Byung-Guk; Lee, Sang-Kwon

    2008-10-01

    We have investigated the size-effect of the nano-Schottky diodes on the electrical transport properties and the temperature-dependent current transport mechanism in a metal-semiconductor nanowire junction (a Ti/GaN nano-Schottky diode) using current-voltage characterization in the range of 300-423 K. We found that the modified mean Schottky barrier height (SBH) was approximately 0.7 eV with a standard deviation of approximately 0.14 V using a Gaussian distribution model of the barrier heights. The slightly high value of the modified mean SBH (approximately 0.11 eV) compared to the results from the thin-film based Ti/GaN Schottky diodes could be due to an additional oxide layer at the interface between the Ti and GaN nanowires. Moreover, we found that the abnormal behavior of the barrier heights and the ideality factors in a Ti/GaN nano-Schottky diode at a temperature below 423 K could be explained by a combination of the enhancement of the tunneling current and a model with a Gaussian distribution of the barrier heights.

  1. Buffer transport mechanisms in intentionally carbon doped GaN heterojunction field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uren, Michael J.; Cäsar, Markus; Kuball, Martin

    2014-06-30

    Temperature dependent pulsed and ramped substrate bias measurements are used to develop a detailed understanding of the vertical carrier transport in the buffer layers in a carbon doped GaN power heterojunction field effect transistor. Carbon doped GaN and multiple layers of AlGaN alloy are used in these devices to deliver an insulating and strain relieved buffer with high breakdown voltage capability. However, understanding of the detailed physical mechanism for its operation is still lacking. At the lowest electric fields (<10 MV/m), charge redistribution within the C doped layer is shown to occur by hole conduction in the valence band withmore » activation energy 0.86 eV. At higher fields, leakage between the two-dimensional electron gas and the buffer dominates occurring by a Poole-Frenkel mechanism with activation energy ∼0.65 eV, presumably along threading dislocations. At higher fields still, the strain relief buffer starts to conduct by a field dependent process. Balancing the onset of these leakage mechanisms is essential to allow the build-up of positive rather than negative space charge, and thus minimize bulk-related current-collapse in these devices.« less

  2. Quantum state engineering with ultra-short-period (AlN)m/(GaN)n superlattices for narrowband deep-ultraviolet detection.

    PubMed

    Gao, Na; Lin, Wei; Chen, Xue; Huang, Kai; Li, Shuping; Li, Jinchai; Chen, Hangyang; Yang, Xu; Ji, Li; Yu, Edward T; Kang, Junyong

    2014-12-21

    Ultra-short-period (AlN)m/(GaN)n superlattices with tunable well and barrier atomic layer numbers were grown by metal-organic vapour phase epitaxy, and employed to demonstrate narrowband deep ultraviolet photodetection. High-resolution transmission electron microscopy and X-ray reciprocal space mapping confirm that superlattices containing well-defined, coherently strained GaN and AlN layers as thin as two atomic layers (∼ 0.5 nm) were grown. Theoretical and experimental results demonstrate that an optical absorption band as narrow as 9 nm (210 meV) at deep-ultraviolet wavelengths can be produced, and is attributable to interband transitions between quantum states along the [0001] direction in ultrathin GaN atomic layers isolated by AlN barriers. The absorption wavelength can be precisely engineered by adjusting the thickness of the GaN atomic layers because of the quantum confinement effect. These results represent a major advance towards the realization of wavelength selectable and narrowband photodetectors in the deep-ultraviolet region without any additional optical filters.

  3. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  4. Effect of the Barrier Layer on the Upper Ocean Response to MJO Forcing

    NASA Astrophysics Data System (ADS)

    Bulusu, S.

    2014-12-01

    Recently, attention has been given to an upper ocean feature known as the Barrier Layer, which has been shown to impact meteorological phenomena from ENSO to tropical cyclones by suppressing vertical mixing, which reduces sea surface cooling and enhances surface heat fluxes. The calculation defines the Barrier Layer as the difference between the Isothermal Layer Depth (ILD) and Mixed Layer Depth (MLD). Proper representation of these features relies on precise observations of SSS to attain accurate measurements of the MLD and subsequently, the BLT. Compared to the many available in situ SSS measurements, the NASA Aquarius salinity mission currently obtains the closest observations to the true SSS. The role of subsurface features will be better understood through increased accuracy of SSS measurements. In this study BLT estimates are derived from satellite measurements using a multilinear regression model (MRM) in the Indian Ocean. The MRM relates BLT to satellite derived SSS, sea surface temperature (SST) and sea surface height anomalies (SSHA). Besides being a variable that responds passively to atmospheric conditions, SSS significantly controls upper ocean density and therefore the MLD. The formation of a Barrier Layer can lead to possible feedbacks that impact the atmospheric component of the Madden-Julian Oscillation (MJO), as stated as one of the three major hypotheses of the DYNAMO field campaign. This layer produces a stable stratification, reducing vertical mixing, which influences surface heat fluxes and thus could possibly impact atmospheric conditions during the MJO. Establishing the magnitude and extent of SSS variations during the MJO will be a useful tool for data assimilation into models to correctly represent both oceanic thermodynamic characteristics and atmospheric processes during intraseasonal variations.

  5. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  6. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  7. The Formation and Characterization of GaN Hexagonal Pyramids

    NASA Astrophysics Data System (ADS)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  8. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  9. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  10. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  11. GaN Initiative for Grid Applications (GIGA)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turner, George

    2015-07-03

    For nearly 4 ½ years, MIT Lincoln Laboratory (MIT/LL) led a very successful, DoE-funded team effort to develop GaN-on-Si materials and devices, targeting high-voltage (>1 kV), high-power, cost-effective electronics for grid applications. This effort, called the GaN Initiative for Grid Applications (GIGA) program, was initially made up of MIT/LL, the MIT campus group of Prof. Tomas Palacios (MIT), and the industrial partner M/A Com Technology Solutions (MTS). Later in the program a 4th team member was added (IQE MA) to provide commercial-scale GaN-on-Si epitaxial materials. A basic premise of the GIGA program was that power electronics, for ubiquitous utilization -evenmore » for grid applications - should be closer in cost structure to more conventional Si-based power electronics. For a number of reasons, more established GaN-on-SiC or even SiC-based power electronics are not likely to reach theses cost structures, even in higher manufacturing volumes. An additional premise of the GIGA program was that the technical focus would be on materials and devices suitable for operating at voltages > 1 kV, even though there is also significant commercial interest in developing lower voltage (< 1 kV), cost effective GaN-on-Si devices for higher volume applications, like consumer products. Remarkable technical progress was made during the course of this program. Advances in materials included the growth of high-quality, crack-free epitaxial GaN layers on large-diameter Si substrates with thicknesses up to ~5 μm, overcoming significant challenges in lattice mismatch and thermal expansion differences between Si and GaN in the actual epitaxial growth process. Such thick epilayers are crucial for high voltage operation of lateral geometry devices such as Schottky barrier (SB) diodes and high electron mobility transistors (HEMTs). New “Normally-Off” device architectures were demonstrated – for safe operation of power electronics circuits. The trade-offs between lateral

  12. Properties of Mg and Zn acceptors in MOVPE GaN as studied by optically detected magnetic resonance

    NASA Astrophysics Data System (ADS)

    Kunzer, M.; Baur, J.; Kaufmann, U.; Schneider, J.; Amano, H.; Akasaki, I.

    1997-02-01

    We have studied the photoluminescence (PL) and optically detected magnetic resonance (ODMR) of undoped, n-doped and p-doped thin wurtzite GaN layers grown by metal-organic chemical vapor deposition on sapphire substrates. The ODMR data obtained for undoped. Mg-doped and Zn-doped GaN layers provide an insight into the recombination mechanisms responsible for the broad yellow (2.25 eV), the violet (3.15 eV) and the blue (2.8 eV) PL bands, respectively. The ODMR results for Mg and Zn also show that these acceptors do not behave effective mass like and indicate that the acceptor hole is mainly localized in the nearest neighbor shell surrounding the acceptor core. In addition concentration effects in heavily doped GaN:Mg have been studied.

  13. Free energy barriers for escape of water molecules from protein hydration layer.

    PubMed

    Roy, Susmita; Bagchi, Biman

    2012-03-08

    Free energy barriers separating interfacial water molecules from the hydration layer at the surface of a protein to the bulk are obtained by using the umbrella sampling method of free energy calculation. We consider hydration layer of chicken villin head piece (HP-36) which has been studied extensively by molecular dynamics simulations. The free energy calculations reveal a strong sensitivity to the secondary structure. In particular, we find a region near the junction of first and second helix that contains a cluster of water molecules which are slow in motion, characterized by long residence times (of the order of 100 ps or more) and separated by a large free energy barrier from the bulk water. However, these "slow" water molecules constitute only about 5-10% of the total number of hydration layer water molecules. Nevertheless, they play an important role in stabilizing the protein conformation. Water molecules near the third helix (which is the important helix for biological function) are enthalpically least stable and exhibit the fastest dynamics. Interestingly, barrier height distributions of interfacial water are quite broad for water surrounding all the three helices (and the three coils), with the smallest barriers found for those near the helix-3. For the quasi-bound water molecules near the first and second helices, we use well-known Kramers' theory to estimate the residence time from the free energy surface, by estimating the friction along the reaction coordinate from the diffusion coefficient by using Einstein relation. The agreement found is satisfactory. We discuss the possible biological function of these slow, quasi-bound (but transient) water molecules on the surface.

  14. Transport mechanisms in Schottky diodes realized on GaN

    NASA Astrophysics Data System (ADS)

    Amor, Sarrah; Ahaitouf, Ali; Ahaitouf, Abdelaziz; Salvestrini, Jean Paul; Ougazzaden, Abdellah

    2017-03-01

    This work is focused on the conducted transport mechanisms involved on devices based in gallium nitride GaN and its alloys. With considering all conduction mechanisms of current, its possible to understanded these transport phenomena. Thanks to this methodology the current-voltage characteristics of structures with unusual behaviour are further understood and explain. Actually, the barrier height (SBH) is a complex problem since it depends on several parameters like the quality of the metal-semiconductor interface. This study is particularly interesting as solar cells are made on this material and their qualification is closely linked to their transport properties.

  15. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    NASA Astrophysics Data System (ADS)

    Ohta, Akio; Truyen, Nguyen Xuan; Fujimura, Nobuyuki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The energy distribution of the electronic state density of wet-cleaned epitaxial GaN surfaces and SiO2/GaN structures has been studied by total photoelectron yield spectroscopy (PYS). By X-ray photoelectron spectroscopy (XPS) analysis, the energy band diagram for a wet-cleaned epitaxial GaN surface such as the energy level of the valence band top and electron affinity has been determined to obtain a better understanding of the measured PYS signals. The electronic state density of GaN surface with different carrier concentrations in the energy region corresponding to the GaN bandgap has been evaluated. Also, the interface defect state density of SiO2/GaN structures was also estimated by not only PYS analysis but also capacitance–voltage (C–V) characteristics. We have demonstrated that PYS analysis enables the evaluation of defect state density filled with electrons at the SiO2/GaN interface in the energy region corresponding to the GaN midgap, which is difficult to estimate by C–V measurement of MOS capacitors.

  16. Suitability of polystyrene as a functional barrier layer in coloured food contact materials.

    PubMed

    Genualdi, Susan; Addo Ntim, Susana; Begley, Timothy

    2015-01-01

    Functional barriers in food contact materials (FCMs) are used to prevent or reduce migration from inner layers in multilayer structures to food. The effectiveness of functional barrier layers was investigated in coloured polystyrene (PS) bowls due to their intended condition of use with hot liquids such as soups or stew. Migration experiments were performed over a 10-day period using USFDA-recommended food simulants (10% ethanol, 50% ethanol, corn oil and Miglyol) along with several other food oils. At the end of the 10 days, solvent dyes had migrated from the PS bowls at 12, 1 and 31,000 ng cm(-)(2) into coconut oil, palm kernel oil and Miglyol respectively, and in coconut oil and Miglyol the colour change was visible to the human eye. Scanning electron microscope (SEM) images revealed that the functional barrier was no longer intact for the bowls exposed to coconut oil, palm kernel oil, Miglyol, 10% ethanol, 50% ethanol and goat's milk. Additional tests showed that 1-dodecanol, a lauryl alcohol derived from palm kernel oil and coconut oil, was present in the PS bowls at an average concentration of 11 mg kg(-1). This compound is likely to have been used as a dispersing agent for the solvent dye and aided the migration of the solvent dye from the PS bowl into the food simulant. The solvent dye was not found in the 10% ethanol, 50% ethanol and goat's milk food simulants above their respective limits of detection, which is likely to be due to its insolubility in aqueous solutions. A disrupted barrier layer is of concern because if there are unregulated materials in the inner layers of the laminate, they may migrate to food, and therefore be considered unapproved food additives resulting in the food being deemed adulterated under the Federal Food Drug and Cosmetic Act.

  17. Multilayer moisture barrier

    DOEpatents

    Pankow, Joel W; Jorgensen, Gary J; Terwilliger, Kent M; Glick, Stephen H; Isomaki, Nora; Harkonen, Kari; Turkulainen, Tommy

    2015-04-21

    A moisture barrier, device or product having a moisture barrier or a method of fabricating a moisture barrier having at least a polymer layer, and interfacial layer, and a barrier layer. The polymer layer may be fabricated from any suitable polymer including, but not limited to, fluoropolymers such as polyethylene terephthalate (PET) or polyethylene naphthalate (PEN), or ethylene-tetrafluoroethylene (ETFE). The interfacial layer may be formed by atomic layer deposition (ALD). In embodiments featuring an ALD interfacial layer, the deposited interfacial substance may be, but is not limited to, Al.sub.2O.sub.3, AlSiO.sub.x, TiO.sub.2, and an Al.sub.2O.sub.3/TiO.sub.2 laminate. The barrier layer associated with the interfacial layer may be deposited by plasma enhanced chemical vapor deposition (PECVD). The barrier layer may be a SiO.sub.xN.sub.y film.

  18. Tunnel barrier schottky

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chu, Rongming; Cao, Yu; Li, Zijian

    2018-02-20

    A diode includes: a semiconductor substrate; a cathode metal layer contacting a bottom of the substrate; a semiconductor drift layer on the substrate; a graded aluminum gallium nitride (AlGaN) semiconductor barrier layer on the drift layer and having a larger bandgap than the drift layer, the barrier layer having a top surface and a bottom surface between the drift layer and the top surface, the barrier layer having an increasing aluminum composition from the bottom surface to the top surface; and an anode metal layer directly contacting the top surface of the barrier layer.

  19. Imaging TiO2 nanoparticles on GaN nanowires with electrostatic force microscopy

    NASA Astrophysics Data System (ADS)

    Xie, Ting; Wen, Baomei; Liu, Guannan; Guo, Shiqi; Motayed, Abhishek; Murphy, Thomas; Gomez, R. D.

    Gallium nitride (GaN) nanowires that are functionalized with metal-oxides nanoparticles have been explored extensively for gas sensing applications in the past few years. These sensors have several advantages over conventional schemes, including miniature size, low-power consumption and fast response and recovery times. The morphology of the oxide functionalization layer is critical to achieve faster response and recovery times, with the optimal size distribution of nanoparticles being in the range of 10 to 30 nm. However, it is challenging to characterize these nanoparticles on GaN nanowires using common techniques such as scanning electron microscopy, transmission electron microscopy, and x-ray diffraction. Here, we demonstrate electrostatic force microscopy in combination with atomic force microscopy as a non-destructive technique for morphological characterization of the dispersed TiO2 nanoparticles on GaN nanowires. We also discuss the applicability of this method to other material systems with a proposed tip-surface capacitor model. This project was sponsored through N5 Sensors and the Maryland Industrial Partnerships (MIPS, #5418).

  20. Composites of cationic nanofibrillated cellulose and layered silicates: water vapor barrier and mechanical properties.

    PubMed

    Ho, Thao T T; Zimmermann, Tanja; Ohr, Steffen; Caseri, Walter R

    2012-09-26

    Composites of trimethylammonium-modified nanofibrillated cellulose and layered silicates (TMA-NFC/LS) were prepared by high-shear homogenization followed by pressure filtration and vacuum hot-pressing, which gave rise to particularly homogeneous dispersion of the silicate particles. Thirteen different clays and micas were employed. Water vapor barrier and mechanical properties (tensile strength, E-modulus, strain at break) of the composite films were investigated, considering the effects of layered silicate types and their concentration (in the range of 0 to 85 wt %). Good interactions between TMA-NFC and LS were obtained due to electrostatic attraction between cationic fibrils and anionic silicate layers, and even favored by high-shear homogenization process. Furthermore, oriented TMA-NFC/LS composite structure was achieved. Layered silicates exerted a pronounced influence on the water vapor barrier and mechanical properties; however, there was no common trend reflecting their types. The transport of water molecules through TMA-NFC/LS composites was studied considering both diffusion and adsorption mechanisms. As a result, diffusion pathways were proposed based on two new and one well-known models: the "native network", "covered fiber composite", and "fiber-brick composite" models. Importantly, it was found that the insertion of layered silicate particles did not improve automatically the barrier properties as indicated by the commonly used "fiber-brick composite" model. Mica R120 at a 50 wt % loading in composites with TMA-NFC matrix showed 30-fold improved water vapor permeability and 5-fold higher E-modulus compared to commercially used base paper.

  1. Electrical Characteristics of WSi2 Nanocrystal Capacitors with Barrier-Engineered High-k Tunnel Layers

    NASA Astrophysics Data System (ADS)

    Lee, Hyo Jun; Lee, Dong Uk; Kim, Eun Kyu; You, Hee-Wook; Cho, Won-Ju

    2011-06-01

    Nanocrystal-floating gate capacitors with WSi2 nanocrystals and high-k tunnel layers were fabricated to improve the electrical properties such as retention, programming/erasing speed, and endurance. The WSi2 nanocrystals were distributed uniformly between the tunnel and control gate oxide layers. The electrical performance of the tunnel barrier with the SiO2/HfO2/Al2O3 (2/1/3 nm) (OHA) tunnel layer appeared to be better than that with the Al2O3/HfO2/Al2O3 (2/1/3 nm) (AHA) tunnel layer. When ΔVFB is about 1 V after applying voltage at ±8 V, the programming/erasing speeds of AHA and OHA tunnel layers are 300 ms and 500 µs, respectively. In particular, the device with WSi2 nanocrystals and the OHA tunnel barrier showed a large memory window of about 7.76 V when the voltage swept from 10 to -10 V, and it was maintained at about 2.77 V after 104 cycles.

  2. Electric field dynamics in nitride structures containing quaternary alloy (Al, In, Ga)N

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Borysiuk, J., E-mail: jolanta.borysiuk@ifpan.edu.pl; Faculty of Physics, University of Warsaw, Pasteura 5, 02-093 Warsaw; Sakowski, K.

    2016-07-07

    Molecular beam epitaxy growth and basic physical properties of quaternary AlInGaN layers, sufficiently thick for construction of electron blocking layers (EBL), embedded in ternary InGaN layers are presented. Transmission electron microscopy (TEM) measurement revealed good crystallographic structure and compositional uniformity of the quaternary layers contained in other nitride layers, which are typical for construction of nitride based devices. The AlInGaN layer was epitaxially compatible to InGaN matrix, strained, and no strain related dislocation creation was observed. The strain penetrated for limited depth, below 3 nm, even for relatively high content of indium (7%). For lower indium content (0.6%), the strain wasmore » below the detection limit by TEM strain analysis. The structures containing quaternary AlInGaN layers were studied by time dependent photoluminescence (PL) at different temperatures and excitation powers. It was shown that PL spectra contain three peaks: high energy donor bound exciton peak from the bulk GaN (DX GaN) and the two peaks (A and B) from InGaN layers. No emission from quaternary AlInGaN layers was observed. An accumulation of electrons on the EBL interface in high-In sample and formation of 2D electron gas (2DEG) was detected. The dynamics of 2DEG was studied by time resolved luminescence revealing strong dependence of emission energy on the 2DEG concentration. Theoretical calculations as well as power-dependence and temperature-dependence analysis showed the importance of electric field inside the structure. At the interface, the field was screened by carriers and could be changed by illumination. From these measurements, the dynamics of electric field was described as the discharge of carriers accumulated on the EBL.« less

  3. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  4. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  5. Low voltage operation of GaN vertical nanowire MOSFET

    NASA Astrophysics Data System (ADS)

    Son, Dong-Hyeok; Jo, Young-Woo; Seo, Jae Hwa; Won, Chul-Ho; Im, Ki-Sik; Lee, Yong Soo; Jang, Hwan Soo; Kim, Dae-Hyun; Kang, In Man; Lee, Jung-Hee

    2018-07-01

    GaN gate-all-around (GAA) vertical nanowire MOSFET (VNWMOSFET) with channel length of 300 nm and diameter of 120 nm, the narrowest GaN-based vertical nanowire transistor ever achieved from the top-down approach, was fabricated by utilizing anisotropic side-wall wet etching in TMAH solution and photoresist etch-back process. The VNWMOSFET exhibited output characteristics with very low saturation drain voltage of less than 0.5 V, which is hardly observed from the wide bandgap-based devices. Simulation results indicated that the narrow diameter of the VNWMOSFET with relatively short channel length is responsible for the low voltage operation. The VNWMOSFET also demonstrated normally-off mode with threshold voltage (VTH) of 0.7 V, extremely low leakage current of ∼10-14 A, low drain-induced barrier lowering (DIBL) of 125 mV/V, and subthreshold swing (SS) of 66-122 mV/decade. The GaN GAA VNWMOSFET with narrow channel diameter investigated in this work would be promising for new low voltage logic application. He has been a Professor with the School of Electrical Engineering and Computer Science, Kyungpook National University, Daegu, Korea, since 1993

  6. Degradation of a two-layer thermal barrier coating under thermal cycling. [for superalloys of aircraft turbine engine blades

    NASA Technical Reports Server (NTRS)

    Maier, R. D.; Scheuermann, C. M.; Andrews, C. W.

    1981-01-01

    A two-layer plasma-sprayed thermal barrier coating on a directionally solidified nickel-base eutectic alloy substrate was characterized prior to and after thermal cycling to 1095 C in an accelerated furnace test. The coating was comprised of an inner layer of Ni-16.4Cr-5.1Al-0.15Y (wt%) bond coat and an outer layer of ZrO2-7.9Y2O3 (wt%) thermal barrier. Characterization of the bond coat revealed that substantial amounts of yttrium and aluminum were oxidized during plasma-spraying in air. The oxidation of these elements reduced the protective capacity of the bond coat so that, on thermal exposure, severe degradation of the bond coat resulted and large amounts of nickel oxide formed. This nickel oxide was demonstrated to grow outward into the thermal barrier, which appears to have increased the stresses in the thermal barrier and contributed to its failure near the thermal barrier-bond coat interface.

  7. Effects of GaN interlayer on the transport properties of lattice-matched AlInN/AlN/GaN heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, F.; Gao, K. H., E-mail: khgao@tju.edu.cn; Li, Z. Q.

    2015-04-21

    We study the effects of GaN interlayer on the transport properties of two-dimensional electron gases confined in lattice-matched AlInN/AlN/GaN heterostructures. It is found that the Hall mobility is evidently enhanced when an additional ultrathin GaN interlayer is introduced between AlInN and AlN layers. The enhancement of the Hall mobility is especially remarkable at low temperature. The high Hall mobility results in a low sheet resistance of 23 Ω/◻ at 2 K. Meanwhile, Shubnikov-de Haas oscillations (SdH) are also remarkably enhanced due to the existence of GaN interlayer. The enhancement of the SdH oscillations is related to the larger quantum mobility μ{sub q}more » owing to the suppression of the interface roughness, alloy disorder, and ionized impurity scatterings by the GaN interlayer.« less

  8. Internal Quantum Efficiency of Led Structures at Various Charge Carrier Distributions Over InGaN/GaN Quantum Wells

    NASA Astrophysics Data System (ADS)

    Romanov, I. S.; Prudaev, I. A.; Kopyev, V. V.

    2018-06-01

    The results of studying the effect of the thickness of GaN barrier layers in the active region of LED structures with InGaN/GaN quantum wells on the internal quantum efficiency (IQE) of photoluminescence are presented. It is shown that a decrease in the thickness of the GaN barrier layers from 15 to 3 nm leads to an increase in the maximum value of IQE and to a shift of the maximum to the region of high excitation powers. The result obtained is explained with consideration for the decrease in the Auger recombination rate due to a more uniform distribution of charge carriers over the active region in structures with a barrier thickness of 3 nm.

  9. Laser-induced local activation of Mg-doped GaN with a high lateral resolution for high power vertical devices

    NASA Astrophysics Data System (ADS)

    Kurose, Noriko; Matsumoto, Kota; Yamada, Fumihiko; Roffi, Teuku Muhammad; Kamiya, Itaru; Iwata, Naotaka; Aoyagi, Yoshinobu

    2018-01-01

    A method for laser-induced local p-type activation of an as-grown Mg-doped GaN sample with a high lateral resolution is developed for realizing high power vertical devices for the first time. As-grown Mg-doped GaN is converted to p-type GaN in a confined local area. The transition from an insulating to a p-type area is realized to take place within about 1-2 μm fine resolution. The results show that the technique can be applied in fabricating the devices such as vertical field effect transistors, vertical bipolar transistors and vertical Schottkey diode so on with a current confinement region using a p-type carrier-blocking layer formed by this technique.

  10. Effect of 60Co γ-irradiation on the nature of electronic transport in heavily doped n-type GaN based Schottky photodetectors

    NASA Astrophysics Data System (ADS)

    Chatterjee, Abhishek; Khamari, Shailesh K.; Porwal, S.; Kher, S.; Sharma, T. K.

    2018-04-01

    GaN Schottky photodetectors are fabricated on heavily doped n-type GaN epitaxial layers grown by the hydride vapour phase epitaxy technique. The effect of 60Co γ-radiation on the electronic transport in GaN epilayers and Schottky detectors is studied. In contrast to earlier observations, a steady rise in the carrier concentration with increasing irradiation dose is clearly seen. By considering a two layer model, the contribution of interfacial dislocations in carrier transport is isolated from that of the bulk layer for both the pristine and irradiated samples. The bulk carrier concentration is fitted by using the charge balance equation which indicates that no new electrically active defects are generated by γ-radiation even at 500 kGy dose. The irradiation induced rise in the bulk carrier concentration is attributed to the activation of native Si impurities that are already present in an electrically inert form in the pristine sample. Further, the rise in interfacial contribution in the carrier concentration is governed by the enhanced rate of formation of nitrogen vacancies by irradiation, which leads to a larger diffusion of oxygen impurities. A large value of the characteristic tunnelling energy for both the pristine and irradiated Au/Ni/GaN Schottky devices confirms that the dislocation-assisted tunnelling dominates the low temperature current transport even after irradiation. The advantage of higher displacement energy and larger bandgap of GaN as compared to GaAs is evident from the change in leakage current after irradiation. Further, a fast recovery of the photoresponse of GaN photodetectors after irradiation signifies their compatibility to operate in high radiation zones. The results presented here are found to be crucial in understanding the interaction of 60Co γ-irradiation with n+-GaN epilayers.

  11. Using Layer-by-Layer Coating and Nanocomposite Technologies to Improve the Barrier Properties of Polymeric Materials

    NASA Astrophysics Data System (ADS)

    Soltani, Iman

    Means for improving barrier properties of polymers against gases, particularly for promoting their applications as packaging materials, are divided into surface coating and embedding nanoparticles in the bulk of the polymeric membranes. In this research, we mainly investigated improvement in barrier properties of polymers against oxygen and carbon dioxide, through layer-by-layer (LBL) coating and bulk nanocomposite methods. Initially, we studied the morphology of layer-by-layer assemblies comprising alternating layers of polyelectrolyte (PE) and natural montmorillonite (MMT) platelets, where polyethyleneterephthalate ionomer was used as our proposed alternative PE, to be compared with already examined polyethyleneimine. For both investigated PEs, while microscopic images showed the formation of tortuous networks of galleries between subsequent layers of oriented clay platelets parallel to the substrate surface, x-ray diffractometry (XRD) traces pointed to the intercalation of PE layers between clay platelets. As a confirmation of forming tortuous networks between oriented and high aspect ratio clay platelets to increase the path length of diffusing gas species dramatically, LBL-coated polystyrene-based membranes demonstrated pronounced decreases in permeability of oxygen and carbon dioxide (e.g. about the scale of 500 times decrease in permeability, with only five cycles of bilayer deposition). Before LBL deposition, the surface of the hydrophobic polymeric substrate was pretreated with oxygen plasma to improve its interaction with the coating. In the next study, previously LBL-coated samples were melt pressed in a cyclic manner to embed and to crush the coating inside the polystyrene-based matrix, aiming the exfoliated polymer-clay nanocomposites. The morphological investigations by transmission electron microscopy (TEM) revealed the tortuous internal structure of crushed LBL assemblies' portions, mainly comprising swollen intercalated stacks of clay, as well as

  12. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  13. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  14. Effect of nanodimensional polyethylenimine layer on surface potential barriers of hybrid structures based on silicon single crystal

    NASA Astrophysics Data System (ADS)

    Malyar, Ivan V.; Gorin, Dmitry A.; Stetsyura, Svetlana V.

    2013-01-01

    In this report we present the analysis of I-V curves for MIS-structures like silicon substrate / nanodimensional polyelectrolyte layer / metal probe (contact) which is promising for biosensors, microfluidic chips, different devices of molecular electronics, such as OLEDs, solar cells, where polyelectrolyte layers can be used to modify semiconductor surface. The research is directed to investigate the contact phenomena which influence the resulting signal of devices mentioned above. The comparison of I-V characteristics of such structures measured by scanning tunnel microscopy (contactless technique) and using contact areas deposited by thermal evaporation onto the organic layer (the contact one) was carried out. The photoassisted I-V measurements and complex analysis based on Simmons and Schottky models allow one to extract the potential barriers and to observe the changes of charge transport in MIS-structures under illumination and after polyelectrolyte adsorption. The direct correlation between the thickness of the deposited polyelectrolyte layer and both equilibrium tunnel barrier and Schottky barrier height was observed for hybrid structures with polyethylenimine. The possibility of control over the I-V curves of hybrid structure and the height of the potential barriers (for different charge transports) by illumination was confirmed. Based on experimental data and complex analysis the band diagrams were plotted which illustrate the changes of potential barriers for MIS-structures due to the polyelectrolyte adsorption and under the illumination.

  15. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  16. Electrical and structural properties of (Pd/Au) Schottky contact to as grown and rapid thermally annealed GaN grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nirwal, Varun Singh, E-mail: varun.nirwal30@gmail.com; Singh, Joginder; Gautam, Khyati

    2016-05-06

    We studied effect of thermally annealed GaN surface on the electrical and structural properties of (Pd/Au) Schottky contact to Ga-polar GaN grown by molecular beam epitaxy on Si substrate. Current voltage (I-V) measurement was used to study electrical properties while X-ray diffraction (XRD) measurement was used to study structural properties. The Schottky barrier height calculated using I-V characteristics was 0.59 eV for (Pd/Au) Schottky contact on as grown GaN, which increased to 0.73 eV for the Schottky contact fabricated on 700 °C annealed GaN film. The reverse bias leakage current at -1 V was also significantly reduced from 6.42×10{sup −5} Amore » to 7.31×10{sup −7} A after annealing. The value of series resistance (Rs) was extracted from Cheung method and the value of R{sub s} decreased from 373 Ω to 172 Ω after annealing. XRD results revealed the formation of gallide phases at the interface of (Pd/Au) and GaN for annealed sample, which could be the reason for improvement in the electrical properties of Schottky contact after annealing.« less

  17. Waves propagating over a two-layer porous barrier on a seabed

    NASA Astrophysics Data System (ADS)

    Lin, Qiang; Meng, Qing-rui; Lu, Dong-qiang

    2018-05-01

    A research of wave propagation over a two-layer porous barrier, each layer of which is with different values of porosity and friction, is conducted with a theoretical model in the frame of linear potential flow theory. The model is more appropriate when the seabed consists of two different properties, such as rocks and breakwaters. It is assumed that the fluid is inviscid and incompressible and the motion is irrotational. The wave numbers in the porous region are complex ones, which are related to the decaying and propagating behaviors of wave modes. With the aid of the eigenfunction expansions, a new inner product of the eigenfunctions in the two-layer porous region is proposed to simplify the calculation. The eigenfunctions, under this new definition, possess the orthogonality from which the expansion coefficients can be easily deduced. Selecting the optimum truncation of the series, we derive a closed system of simultaneous linear equations for the same number of the unknown reflection and transmission coefficients. The effects of several physical parameters, including the porosity, friction, width, and depth of the porous barrier, on the dispersion relation, reflection and transmission coefficients are discussed in detail through the graphical representations of the solutions. It is concluded that these parameters have certain impacts on the reflection and transmission energy.

  18. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  19. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  20. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  1. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  2. Nano-scaled Pt/Ag/Ni/Au contacts on p-type GaN for low contact resistance and high reflectivity.

    PubMed

    Kwon, Y W; Ju, I C; Kim, S K; Choi, Y S; Kim, M H; Yoo, S H; Kang, D H; Sung, H K; Shin, K; Ko, C G

    2011-07-01

    We synthesized the vertical-structured LED (VLED) using nano-scaled Pt between p-type GaN and Ag-based reflector. The metallization scheme on p-type GaN for high reflectance and low was the nano-scaled Pt/Ag/Ni/Au. Nano-scaled Pt (5 A) on Ag/Ni/Au exhibited reasonably high reflectance of 86.2% at the wavelength of 460 nm due to high transmittance of light through nano-scaled Pt (5 A) onto Ag layer. Ohmic behavior of contact metal, Pt/Ag/Ni/Au, to p-type GaN was achieved using surface treatments of p-type GaN prior to the deposition of contact metals and the specific contact resistance was observed with decreasing Pt thickness of 5 A, resulting in 1.5 x 10(-4) ohms cm2. Forward voltages of Pt (5 A)/Ag/Ni contact to p-type GaN showed 4.19 V with the current injection of 350 mA. Output voltages with various thickness of Pt showed the highest value at the smallest thickness of Pt due to its high transmittance of light onto Ag, leading to high reflectance. Our results propose that nano-scaled Pt/Ag/Ni could act as a promising contact metal to p-type GaN for improving the performance of VLEDs.

  3. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  4. Integrated thin film Si fluorescence sensor coupled with a GaN microLED for microfluidic point-of-care testing

    NASA Astrophysics Data System (ADS)

    Robbins, Hannah; Sumitomo, Keiko; Tsujimura, Noriyuki; Kamei, Toshihiro

    2018-02-01

    An integrated fluorescence sensor consisting of a SiO2/Ta2O5 multilayer optical interference filter and hydrogenated amorphous silicon (a-Si:H) pin photodiode was coupled with a GaN microLED to construct a compact fluorescence detection module for point-of-care microfluidic biochemical analysis. The combination of the small size of the GaN microLED and asymmetric microlens resulted in a focal spot diameter of the excitation light of approximately 200 µm. The limit of detection of the sensor was as high as 36 nM for fluorescein solution flowing in a 100 µm deep microfluidic channel because of the lack of directionality of the LED light. Nevertheless, we used the GaN microLED coupled with the a-Si:H fluorescence sensor to successfully detect fluorescence from a streptavidin R-phycoerythrin conjugate that bound to biotinylated antibody-coated microbeads trapped by the barrier in the microfluidic channel.

  5. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  6. Fuchs-Kliewer phonons of H-covered and clean GaN(1 1 bar 00)

    NASA Astrophysics Data System (ADS)

    Rink, M.; Himmerlich, M.; Krischok, S.; Kröger, J.

    2018-01-01

    Inelastic electron scattering is used to study surface phonon polaritons on H-covered and clean GaN(1 1 bar 00) surfaces. The Fuchs-Kliewer phonon of GaN(1 1 bar 00) -H gives rise to characteristic signatures of its single and multiple excitation in specular electron energy loss spectra. The loss intensities for multi-phonon scattering processes decrease according to a Poisson distribution. Vibrational spectra of this surface are invariant on the time scale of days reflecting its chemical passivation by the H layer. In contrast, vibrational spectra of pristine GaN(1 1 bar 00) are subject to a pronounced temporal evolution where spectroscopic weight is gradually shifted towards the multiple excitation of the Fuchs-Kliewer phonon. As a consequence, the monotonous decrease of the cross section for multiple quantum excitation as observed for the H-covered surface is not applicable. This remarkable effect is particularly strong in spectra acquired at low primary energies of incident electrons, which hints at processes occurring in the very surface region. Scenarios that may contribute to these observations are discussed.

  7. Basic Equations for the Modeling of Gallium Nitride (gan) High Electron Mobility Transistors (hemts)

    NASA Technical Reports Server (NTRS)

    Freeman, Jon C.

    2003-01-01

    Gallium nitride (GaN) is a most promising wide band-gap semiconductor for use in high-power microwave devices. It has functioned at 320 C, and higher values are well within theoretical limits. By combining four devices, 20 W has been developed at X-band. GaN High Electron Mobility Transistors (HEMTs) are unique in that the two-dimensional electron gas (2DEG) is supported not by intentional doping, but instead by polarization charge developed at the interface between the bulk GaN region and the AlGaN epitaxial layer. The polarization charge is composed of two parts: spontaneous and piezoelectric. This behavior is unlike other semiconductors, and for that reason, no commercially available modeling software exists. The theme of this document is to develop a self-consistent approach to developing the pertinent equations to be solved. A Space Act Agreement, "Effects in AlGaN/GaN HEMT Semiconductors" with Silvaco Data Systems to implement this approach into their existing software for III-V semiconductors, is in place (summer of 2002).

  8. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  9. Optimization of GaN Nanorod Growth Conditions for Coalescence Overgrowth

    DTIC Science & Technology

    2016-02-04

    GaN core and QW deposition, an NR LED array can be implemented by covering the NRs with a transparent conductor . It has been demonstrated that the...with a transparent conductor . It has been demonstrated that the optical and electrical performances of an NR LED array can be comparable to those of a...a process of buffered oxide etching for removing this SiNx layer on the sidewalls is required before sidewall QW deposition. Nevertheless, the

  10. Nitride based quantum well light-emitting devices having improved current injection efficiency

    DOEpatents

    Tansu, Nelson; Zhao, Hongping; Liu, Guangyu; Arif, Ronald

    2014-12-09

    A III-nitride based device provides improved current injection efficiency by reducing thermionic carrier escape at high current density. The device includes a quantum well active layer and a pair of multi-layer barrier layers arranged symmetrically about the active layer. Each multi-layer barrier layer includes an inner layer abutting the active layer; and an outer layer abutting the inner layer. The inner barrier layer has a bandgap greater than that of the outer barrier layer. Both the inner and the outer barrier layer have bandgaps greater than that of the active layer. InGaN may be employed in the active layer, AlInN, AlInGaN or AlGaN may be employed in the inner barrier layer, and GaN may be employed in the outer barrier layer. Preferably, the inner layer is thin relative to the other layers. In one embodiment the inner barrier and active layers are 15 .ANG. and 24 .ANG. thick, respectively.

  11. Surface Morphology Evolution Mechanisms of InGaN/GaN Multiple Quantum Wells with Mixture N2/H2-Grown GaN Barrier.

    PubMed

    Zhou, Xiaorun; Lu, Taiping; Zhu, Yadan; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Yang, Yongzhen; Chen, Yongkang; Xu, Bingshe

    2017-12-01

    Surface morphology evolution mechanisms of InGaN/GaN multiple quantum wells (MQWs) during GaN barrier growth with different hydrogen (H 2 ) percentages have been systematically studied. Ga surface-diffusion rate, stress relaxation, and H 2 etching effect are found to be the main affecting factors of the surface evolution. As the percentage of H 2 increases from 0 to 6.25%, Ga surface-diffusion rate and the etch effect are gradually enhanced, which is beneficial to obtaining a smooth surface with low pits density. As the H 2 proportion further increases, stress relaxation and H 2 over- etching effect begin to be the dominant factors, which degrade surface quality. Furthermore, the effects of surface evolution on the interface and optical properties of InGaN/GaN MQWs are also profoundly discussed. The comprehensive study on the surface evolution mechanisms herein provides both technical and theoretical support for the fabrication of high-quality InGaN/GaN heterostructures.

  12. Catalytic activity of enzymes immobilized on AlGaN /GaN solution gate field-effect transistors

    NASA Astrophysics Data System (ADS)

    Baur, B.; Howgate, J.; von Ribbeck, H.-G.; Gawlina, Y.; Bandalo, V.; Steinhoff, G.; Stutzmann, M.; Eickhoff, M.

    2006-10-01

    Enzyme-modified field-effect transistors (EnFETs) were prepared by immobilization of penicillinase on AlGaN /GaN solution gate field-effect transistors. The influence of the immobilization process on enzyme functionality was analyzed by comparing covalent immobilization and physisorption. Covalent immobilization by Schiff base formation on GaN surfaces modified with an aminopropyltriethoxysilane monolayer exhibits high reproducibility with respect to the enzyme/substrate affinity. Reductive amination of the Schiff base bonds to secondary amines significantly increases the stability of the enzyme layer. Electronic characterization of the EnFET response to penicillin G indicates that covalent immobilization leads to the formation of an enzyme (sub)monolayer.

  13. Laboratory-based observations of capillary barriers and preferential flow in layered snow

    NASA Astrophysics Data System (ADS)

    Avanzi, F.; Hirashima, H.; Yamaguchi, S.; Katsushima, T.; De Michele, C.

    2015-12-01

    Several evidences are nowadays available that show how the effects of capillary gradients and preferential flow on water transmission in snow may play a more important role than expected. To observe these processes and to contribute in their characterization, we performed observations on the development of capillary barriers and preferential flow patterns in layered snow during cold laboratory experiments. We considered three different layering (all characterized by a finer-over-coarser texture in grain size) and three different water input rates. Nine samples of layered snow were sieved in a cold laboratory, and subjected to a constant supply of dyed tracer. By means of visual inspection, horizontal sectioning and liquid water content measurements, the processes of ponding and preferential flow were characterized as a function of texture and water input rate. The dynamics of each sample were replicated using the multi-layer physically-based SNOWPACK model. Results show that capillary barriers and preferential flow are relevant processes ruling the speed of liquid water in stratified snow. Ponding is associated with peaks in LWC at the boundary between the two layers equal to ~ 33-36 vol. % when the upper layer is composed by fine snow (grain size smaller than 0.5 mm). The thickness of the ponding layer at the textural boundary is between 0 and 3 cm, depending on sample stratigraphy. Heterogeneity in water transmission increases with grain size, while we do not observe any clear dependency on water input rate. The extensive comparison between observed and simulated LWC profiles by SNOWPACK (using an approximation of Richards Equation) shows high performances by the model in estimating the LWC peak over the boundary, while water speed in snow is underestimated by the chosen water transport scheme.

  14. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  15. Individual electron and hole localization in submonolayer InN quantum sheets embedded in GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feix, F., E-mail: feix@pdi-berlin.de; Flissikowski, T.; Chèze, C.

    2016-07-25

    We investigate sub-monolayer InN quantum sheets embedded in GaN(0001) by temperature-dependent photoluminescence spectroscopy under both continuous-wave and pulsed excitation. Both the peak energy and the linewidth of the emission band associated with the quantum sheets exhibit an anomalous dependence on temperature indicative of carrier localization. Photoluminescence transients reveal a power law decay at low temperatures reflecting that the recombining electrons and holes occupy spatially separate, individual potential minima reminiscent of conventional (In,Ga)N(0001) quantum wells exhibiting the characteristic disorder of a random alloy. At elevated temperatures, carrier delocalization sets in and is accompanied by a thermally activated quenching of the emission.more » We ascribe the strong nonradiative recombination to extended states in the GaN barriers and confirm our assumption by a simple rate-equation model.« less

  16. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560 013; Bhat, Thirumaleshwara N.

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics ofmore » a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.« less

  17. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  18. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  19. Polarization-Engineered Ga-Face GaN-Based Heterostructures for Normally-Off Heterostructure Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Kim, Hyeongnam; Nath, Digbijoy; Rajan, Siddharth; Lu, Wu

    2013-01-01

    Polarization-engineered Ga-face GaN-based heterostructures with a GaN cap layer and an AlGaN/ p-GaN back barrier have been designed for normally-off field-effect transistors (FETs). The simulation results show that an unintentionally doped GaN cap and p-GaN layer in the buffer primarily deplete electrons in the channel and the Al0.2Ga0.8N back barrier helps to pinch off the channel. Experimentally, we have demonstrated a normally-off GaN-based field-effect transistor on the designed GaN cap/Al0.3Ga0.7N/GaN channel/Al0.2Ga0.8N/ p-GaN/GaN heterostructure. A positive threshold voltage of 0.2 V and maximum transconductance of 2.6 mS/mm were achieved for 80- μm-long gate devices. The device fabrication process does not require a dry etching process for gate recessing, while highly selective etching of the GaN cap against a very thin Al0.3GaN0.7N top barrier has to be performed to create a two-dimensional electron gas for both the ohmic and access regions. A self-aligned, selective etch of the GaN cap in the access region is introduced, using the gate metal as an etch mask. The absence of gate recess etching is promising for uniform and repeatable threshold voltage control in normally-off AlGaN/GaN heterostructure FETs for power switching applications.

  20. In0.15Ga0.85N visible-light metal-semiconductor-metal photodetector with GaN interlayers deposited by pulsed NH3

    NASA Astrophysics Data System (ADS)

    Wang, Hongxia; Zhang, Xiaohan; Wang, Hailong; Lv, Zesheng; Li, Yongxian; Li, Bin; Yan, Huan; Qiu, Xinjia; Jiang, Hao

    2018-05-01

    InGaN visible-light metal-semiconductor-metal photodetectors with GaN interlayers deposited by pulsed NH3 were fabricated and characterized. By periodically inserting the GaN thin interlayers, the surface morphology of InGaN active layer is improved and the phase separation is suppressed. At 5 V bias, the dark current reduced from 7.0 × 10-11 A to 7.0 × 10-13 A by inserting the interlayers. A peak responsivity of 85.0 mA/W was measured at 420 nm and 5 V bias, corresponding to an external quantum efficiency of 25.1%. The insertion of GaN interlayers also lead to a sharper spectral response cutoff.

  1. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Long, CL; Del Genio, A; Deng, M

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJOmore » initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include

  2. Near-ultraviolet micro-Raman study of diamond grown on GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nazari, M., E-mail: m-n79@txstate.edu; Hancock, B. L.; Anderson, J.

    2016-01-18

    Ultraviolet (UV) micro-Raman measurements are reported of diamond grown on GaN using chemical vapor deposition. UV excitation permits simultaneous investigation of the diamond (D) and disordered carbon (DC) comprising the polycrystalline layer. From line scans of a cross-section along the diamond growth direction, the DC component of the diamond layer is found to be highest near the GaN-on-diamond interface and diminish with characteristic length scale of ∼3.5 μm. Transmission electron microscopy (TEM) of the diamond near the interface confirms the presence of DC. Combined micro-Raman and TEM are used to develop an optical method for estimating the DC volume fraction.

  3. ZnO nanorod arrays and direct wire bonding on GaN surfaces for rapid fabrication of antireflective, high-temperature ultraviolet sensors

    NASA Astrophysics Data System (ADS)

    So, Hongyun; Senesky, Debbie G.

    2016-11-01

    Rapid, cost-effective, and simple fabrication/packaging of microscale gallium nitride (GaN) ultraviolet (UV) sensors are demonstrated using zinc oxide nanorod arrays (ZnO NRAs) as an antireflective layer and direct bonding of aluminum wires to the GaN surface. The presence of the ZnO NRAs on the GaN surface significantly reduced the reflectance to less than 1% in the UV and 4% in the visible light region. As a result, the devices fabricated with ZnO NRAs and mechanically stable aluminum bonding wires (pull strength of 3-5 gf) showed higher sensitivity (136.3% at room temperature and 148.2% increase at 250 °C) when compared with devices with bare (uncoated) GaN surfaces. In addition, the devices demonstrated reliable operation at high temperatures up to 300 °C, supporting the feasibility of simple and cost-effective UV sensors operating with higher sensitivity in high-temperature conditions, such as in combustion, downhole, and space exploration applications.

  4. Simulation based comparative analysis of photoresponse in front- and back-illuminated GaN P-I-N ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Guo, Jin; Xie, Feng; Wang, Guosheng; Wu, Haoran; Song, Man; Yi, Yuanyuan

    2016-10-01

    This paper presents the comparative analysis of influence of doping level and doping profile of the active region on zero bias photoresponse characteristics of GaN-based p-i-n ultraviolet (UV) photodetectors operating at front- and back-illuminated. A two dimensional physically-based computer simulation of GaN-based p-i-n UV photodetectors is presented. We implemented GaN material properties and physical models taken from the literature. It is shown that absorption layer doping profile has notable impacts on the photoresponse of the device. Especially, the effect of doping concentration and distribution of the absorption layer on photoresponse is discussed in detail. In the case of front illumination, comparative to uniform n-type doping, the device with n-type Gaussian doping profiles at absorption layer has higher responsivity. Comparative to front illumination, back illuminated detector with p-type doping profiles at absorption layer has higher maximum photoresponse, while the Gaussian doping profiles have a weaker ability to enhance the device responsivity. It is demonstrated that electric field distribution, mobility degradation, and recombinations are jointly responsible for the variance of photoresponse. Our work enriches the understanding and utilization of GaN based p-i-n UV photodetectors.

  5. Highly sensitive hydrogen sensor based on graphite-InP or graphite-GaN Schottky barrier with electrophoretically deposited Pd nanoparticles

    PubMed Central

    2011-01-01

    Depositions on surfaces of semiconductor wafers of InP and GaN were performed from isooctane colloid solutions of palladium (Pd) nanoparticles (NPs) in AOT reverse micelles. Pd NPs in evaporated colloid and in layers deposited electrophoretically were monitored by SEM. Diodes were prepared by making Schottky contacts with colloidal graphite on semiconductor surfaces previously deposited with Pd NPs and ohmic contacts on blank surfaces. Forward and reverse current-voltage characteristics of the diodes showed high rectification ratio and high Schottky barrier heights, giving evidence of very small Fermi level pinning. A large increase of current was observed after exposing diodes to flow of gas blend hydrogen in nitrogen. Current change ratio about 700,000 with 0.1% hydrogen blend was achieved, which is more than two orders-of-magnitude improvement over the best result reported previously. Hydrogen detection limit of the diodes was estimated at 1 ppm H2/N2. The diodes, besides this extremely high sensitivity, have been temporally stable and of inexpensive production. Relatively more expensive GaN diodes have potential for functionality at high temperatures. PMID:21831273

  6. Stacking fault effects in Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Schmidt, T. M.; Miwa, R. H.; Orellana, W.; Chacham, H.

    2002-01-01

    First-principles total energy calculations are performed to investigate the interaction of a stacking fault with a p-type impurity in both zinc-blende and wurtzite GaN. For both structures we find that, in the presence of a stacking fault, the impurity level is a more localized state in the band gap. In zinc-blende GaN, the minimum energy position of the substitutional Mg atom is at the plane of the stacking fault. In contrast, in wurtzite GaN the substitutional Mg atom at the plane of the stacking fault is a local minimum and the global minimum is the substitutional Mg far from the fault. This behavior can be understood as a packing effect which induces a distinct strain relief process, since the local structure of the stacking fault in zinc-blende GaN is similar to fault-free wurtzite GaN and vice-versa.

  7. Cobalt chloride compromises transepithelial barrier properties of CaCo-2 BBe human gastrointestinal epithelial cell layers.

    PubMed

    DiGuilio, K M; Valenzano, M C; Rybakovsky, E; Mullin, J M

    2018-01-05

    Elevation of the transcription factor HIF-1 is a prominent mediator of not only processes that accompany hypoxia, but also the tumor microenvironment and tissue regeneration. This study uses mediators of "chemical hypoxia" to ask the question whether HIF-1α elevation in a healthy epithelial cell layer leads to leakiness in its tight junctional seals. Transepithelial electrical resistance and transepithelial diffusion of 14 C-D-mannitol and other radiolabeled probes are used as indicators of transepithelial barrier function of CaCo-2 BBe human gastrointestinal epithelial cell layers cultured on permeable supports. Western immunoblot analyses of integral tight junctional proteins (occludin and claudins) are used as further indicators of barrier function change. Cobalt, an inhibitor of the prolyl hydroxylase enzymes governing HIF-1α breakdown in the cell, induces transepithelial leakiness in CaCo-2 BBe cell layers in a time and concentration-dependent manner. This increased leakiness is accompanied by significant changes in certain specific integral tight junctional (TJ) proteins such as a decreased level of occludin and increased level of claudin-5. Similar results regarding barrier function compromise also occur with other chemical inhibitors of HIF-1α breakdown, namely ciclopiroxolamine (CPX) and dimethyloxalylglycine (DMOG). The increased leak is manifested by both decreased transepithelial electrical resistance (R t ) and increased paracellular diffusion of D-mannitol (J m ). The induced transepithelial leak shows significant size selectivity, consistent with induced effects on TJ permeability. Less-differentiated cell layers were significantly more affected than well-differentiated cell layers regarding induced transepithelial leak. A genetically modified CaCo-2 variant with reduced levels of HIF-1β, showed reduced transepithelial leak in response to cobalt exposure, further indicating that elevation of HIF-1α levels induced by agents of "chemical hypoxia

  8. Seasonal Variation of Barrier Layer in the Southern Ocean

    NASA Astrophysics Data System (ADS)

    Pan, Li; Zhong, Yisen; Liu, Hailong; Zhou, Lei; Zhang, Zhaoru; Zhou, Meng

    2018-03-01

    The seasonal variability of barrier layer (BL) and its formation mechanism in the Southern Ocean are investigated using the most recent Argo data. The results reveal that the BL is a persistent feature in the Southern Ocean with a strong seasonal cycle. The thickest BL appears in winter with the maximum amplitude exceeding 250 m while it dramatically decreases to less than 50 m in summer. The spatial distribution of BL is zonally oriented in the Pacific and Indian Ocean sectors, which is in agreement with that of the mixed layer depth (MLD) and the isothermal layer depth (ILD). Two areas with the most prominent BL are identified. One is located south of Australia and the other in the southeastern Pacific. The BL formation in both areas is generally attributed to a shallow mixed layer controlled by surface freshwater intrusion and a deep isothermal layer modulated by seasonal vertical convection. In the former region, the cold and fresh Antarctic Surface Water (ASW) is transported northward across the Subantarctic Front (SAF) by the Ekman effect and overlies the warm Subantarctic Mode Water (SAMW). The resulting inverse temperature structure facilitates the development of thick BLs. In the latter region, the BL emerges in the ventilation area where the shallow Surface Salinity Minimum Water (SSMW) coming from north leans against the deep vertical isotherms. In summer, positive surface heat flux into the ocean overwhelms other thermodynamic effects in the mixed layer heat budget. The MLD and ILD coincide and thus the BL is destroyed.

  9. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  10. Interface Engineering of Monolayer MoS2/GaN Hybrid Heterostructure: Modified Band Alignment for Photocatalytic Water Splitting Application by Nitridation Treatment.

    PubMed

    Zhang, Zhaofu; Qian, Qingkai; Li, Baikui; Chen, Kevin J

    2018-05-23

    Interface engineering is a key strategy to deal with the two-dimensional (2D)/three-dimensional (3D) hybrid heterostructure, since the properties of this atomic-layer-thick 2D material can easily be impacted by the substrate environment. In this work, the structural, electronic, and optical properties of the 2D/3D heterostructure of monolayer MoS 2 on wurtzite GaN surface without and with nitridation interfacial layer are systematically investigated by first-principles calculation and experimental analysis. The nitridation interfacial layer can be introduced into the 2D/3D heterostructure by remote N 2 plasma treatment to GaN sample surface prior to stacking monolayer MoS 2 on top. The calculation results reveal that the 2D/3D integrated heterostructure is energetically favorable with a negative formation energy. Both interfaces demonstrate indirect band gap, which is a benefit for longer lifetime of the photoexcited carriers. Meanwhile, the conduction band edge and valence band edge of the MoS 2 side increases after nitridation treatment. The modification to band alignment is then verified by X-ray photoelectron spectroscopy measurement on MoS 2 /GaN heterostructures constructed by a modified wet-transfer technique, which indicates that the MoS 2 /GaN heterostructure without nitridation shows a type-II alignment with a conduction band offset (CBO) of only 0.07 eV. However, by the deployment of interface nitridation, the band edges of MoS 2 move upward for ∼0.5 eV as a result of the nitridized substrate property. The significantly increased CBO could lead to better electron accumulation capability at the GaN side. The nitridized 2D/3D heterostructure with effective interface treatment exhibits a clean band gap and substantial optical absorption ability and could be potentially used as practical photocatalyst for hydrogen generation by water splitting using solar energy.

  11. Atomic scale morphology, growth behaviour and electronic properties of semipolar {101[overline]3} GaN surfaces.

    PubMed

    Kioseoglou, J; Kalesaki, E; Lymperakis, L; Karakostas, Th; Komninou, Ph

    2013-01-30

    First-principles calculations relating to the atomic structure and electronic properties of {101[overline]3} GaN surfaces reveal significant differentiations between the two polarity orientations. The (101[overline]3) surface exhibits a remarkable morphological stability, stabilizing a metallic structure (Ga adlayer) over the entire range of the Ga chemical potential. In contrast, the semiconducting, cleaved surface is favoured on (101[overline]3[overline]) under extremely and moderately N-rich conditions, a Ga bilayer is stabilized under corresponding Ga-rich conditions and various transitions between metallic reconstructions take place in intermediate growth stoichiometries. Efficient growth schemes for smooth, two-dimensional GaN layers and the isolation of {101[overline]3} material from parasitic orientations are identified.

  12. Nanoscale characterization of GaN/InGaN multiple quantum wells on GaN nanorods by photoluminescence spectroscopy

    NASA Astrophysics Data System (ADS)

    Chen, Weijian; Wen, Xiaoming; Latzel, Michael; Yang, Jianfeng; Huang, Shujuan; Shrestha, Santosh; Patterson, Robert; Christiansen, Silke; Conibeer, Gavin

    2017-02-01

    GaN/InGaN multiple quantum wells (MQW) and GaN nanorods have been widely studied as a candidate material for high-performance light emitting diodes. In this study, GaN/InGaN MQW on top of GaN nanorods are characterized in nanoscale using confocal microscopy associated with photoluminescence spectroscopy, including steady-state PL, timeresolved PL and fluorescence lifetime imaging (FLIM). Nanorods are fabricated by etching planar GaN/InGaN MQWs on top of a GaN layer on a c-plane sapphire substrate. Photoluminescence efficiency from the GaN/InGaN nanorods is evidently higher than that of the planar structure, indicating the emission improvement. Time-resolved photoluminescence (TRPL) prove that surface defects on GaN nanorod sidewalls have a strong influence on the luminescence property of the GaN/InGaN MWQs. Such surface defects can be eliminated by proper surface passivation. Moreover, densely packed nanorod array and sparsely standing nanorods have been studied for better understanding the individual property and collective effects from adjacent nanorods. The combination of the optical characterization techniques guides optoelectronic materials and device fabrication.

  13. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  14. Contributions from gallium vacancies and carbon-related defects to the ``yellow luminescence'' in GaN

    NASA Astrophysics Data System (ADS)

    Armitage, R.; Hong, William; Yang, Qing; Feick, H.; Gebauer, J.; Weber, E. R.; Hautakangas, S.; Saarinen, K.

    2003-05-01

    Carbon-doped GaN layers grown by molecular-beam epitaxy are studied with photoluminescence and positron annihilation spectroscopy. Semi-insulating layers doped with >1018 cm-3 carbon show a strong luminescence band centered at ˜2.2 eV (yellow luminescence). The absolute intensity of the 2.2 eV band is compared with the gallium vacancy concentration determined by positron annihilation spectroscopy. The results indicate that a high concentration of gallium vacancies is not necessary for yellow luminescence and that there is in fact a causal relationship between carbon and the 2.2 eV band. Markedly different deep-level ionization energies are found for the high-temperature quenching of the 2.2 eV photoluminescence in carbon-doped and reference samples. We propose that while the model of Neugebauer and Van de Walle [Appl. Phys. Lett. 69, 503 (1996)] applies for GaN of low carbon concentration, a different yellow luminescence mechanism is involved when the interstitial carbon concentration is comparable to or exceeds the gallium vacancy concentration.

  15. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  16. Understanding luminescence properties of grain boundaries in GaN thin films and their atomistic origin

    NASA Astrophysics Data System (ADS)

    Yoo, Hyobin; Yoon, Sangmoon; Chung, Kunook; Kang, Seoung-Hun; Kwon, Young-Kyun; Yi, Gyu-Chul; Kim, Miyoung

    2018-03-01

    We report our findings on the optical properties of grain boundaries in GaN films grown on graphene layers and discuss their atomistic origin. We combine electron backscatter diffraction with cathodoluminescence to directly correlate the structural defects with their optical properties, enabling the high-precision local luminescence measurement of the grain boundaries in GaN films. To further understand the atomistic origin of the luminescence properties, we carefully probed atomic core structures of the grain boundaries by exploiting aberration-corrected scanning transmission electron microscopy. The atomic core structures of grain boundaries show different ordering behaviors compared with those observed previously in threading dislocations. Energetics of the grain boundary core structures and their correlation with electronic structures were studied by first principles calculation.

  17. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    NASA Astrophysics Data System (ADS)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  18. High efficiency yellow organic light-emitting diodes with optimized barrier layers

    NASA Astrophysics Data System (ADS)

    Mu, Ye; Zhang, Shiming; Yue, Shouzhen; Wu, Qingyang; Zhao, Yi

    2015-12-01

    High efficiency Iridium (III) bis (4-phenylthieno [3,2-c] pyridinato-N,C2‧) acetylacetonate (PO-01) based yellow organic light-emitting devices are fabricated by employing multiple emission layers. The efficiency of the device using 4,4‧,4″-tris(N-carbazolyl) triphenylamine (TCTA) as potential barrier layer (PBL) outperforms those devices based on other PBLs and detailed analysis is carried out to reveal the mechanisms. A forward-viewing current efficiency (CE) of 65.21 cd/A, which corresponds to a maximum total CE of 110.85 cd/A is achieved at 335.8 cd/m2 in the optimized device without any outcoupling enhancement structures.

  19. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    NASA Astrophysics Data System (ADS)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  20. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  1. Two-layer thermal barrier coating for turbine airfoils - furnace and burner rig test results

    NASA Technical Reports Server (NTRS)

    Stecura, S.

    1976-01-01

    A simple, two-layer plasma-sprayed thermal barrier coating system was developed which has the potential for protecting high temperature air-cooled gas turbine components. Of those coatings initially examined, the most promising system consisted of a Ni-16Cr-6Al-0.6Y (in wt%) thermal barrier coating (about 0.005 to 0.010 cm thick) and a ZrO2-12Y2O3 (in wt%) thermal barrier coating (about 0.025 to 0.064 cm thick). This thermal barrier substantially lowered the metal temperature of an air-cooled airfoil. The coating withstood 3,200 cycles (80 sec at 1,280 C surface temperature) and 275 cycles (1 hr at 1,490 C surface temperature) without cracking or spalling. No separation of the thermal barrier from the bond coating or the bond coating from the substrate was observed.

  2. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  3. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  4. Photocurrent modulation under dual excitation in individual GaN nanowires.

    PubMed

    Yadav, Shivesh; Deb, Swarup; Gupta, Kantimay Das; Dhar, Subhabrata

    2018-06-21

    The photo-response properties of vapor-liquid-solid (VLS) grown [101[combining macron]0] oriented individual GaN nanowires of the diameter ranging from 30 to 100 nm are investigated under the joint illumination of above and sub-bandgap lights. When illuminated with above-bandgap light, these wires show persistent photoconductivity (PPC) effects with long build-up and decay times. The study reveals the quenching of photoconductivity (PC) upon illumination with an additional sub-bandgap light. PC recovers when the sub-bandgap illumination is withdrawn. A rate equation model attributing the PPC effect to the entrapment of photo-generated holes in the surface states and the PC quenching effect on the sub-bandgap light driven release of the holes from the trapped states has been proposed. The average height of the capture barrier has been found to be about 400 meV. The study also suggests that the capture barrier has a broad distribution with an upper cut-off energy of ∼2 eV.

  5. Growth rate independence of Mg doping in GaN grown by plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Turski, Henryk; Muzioł, Grzegorz; Siekacz, Marcin; Wolny, Pawel; Szkudlarek, Krzesimir; Feduniewicz-Żmuda, Anna; Dybko, Krzysztof; Skierbiszewski, Czeslaw

    2018-01-01

    Doping of Ga(Al)N layers by plasma-assisted molecular beam epitaxy in Ga-rich conditions on c-plane bulk GaN substrates was studied. Ga(Al)N samples, doped with Mg or Si, grown using different growth conditions were compared. In contrast to Si doped layers, no change in the Mg concentration was observed for layers grown using different growth rates for a constant Mg flux and constant growth temperature. This effect enables the growth of Ga(Al)N:Mg layers at higher growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Change of Al content from 0% to 17% resulted in more than two times higher Mg concentration.

  6. Alumina Paste Layer as a Sublimation Suppression Barrier for Yb14MnSb11

    NASA Technical Reports Server (NTRS)

    Paik, Jong-Ah; Caillat, Thierry

    2010-01-01

    Sublimation is a major cause of degradation of thermoelectric power generation systems. Most thermoelectric materials tend to have peak values at the temperature where sublimation occurs. A sublimation barrier is needed that is stable at operating temperatures, inert against thermoelectric materials, and able to withstand thermal cycling stress. A porous alumina paste layer is suitable as a sublimation barrier for Yb14MnSb11. It can accommodate stress generated by the thermal expansion discrepancy between the suppression layer and thermoelectric materials. Sublimation suppression is achieved by filling pores naturally with YbO2, a natural byproduct of sublimation. YbO2 generated during the sublimation of Yb14MnSb11 fills the porous structure of the alumina paste, causing sublimation to decrease with time as the pores become filled.

  7. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  8. Role of the ganSPQAB Operon in Degradation of Galactan by Bacillus subtilis.

    PubMed

    Watzlawick, Hildegard; Morabbi Heravi, Kambiz; Altenbuchner, Josef

    2016-10-15

    Bacillus subtilis possesses different enzymes for the utilization of plant cell wall polysaccharides. This includes a gene cluster containing galactan degradation genes (ganA and ganB), two transporter component genes (ganQ and ganP), and the sugar-binding lipoprotein-encoding gene ganS (previously known as cycB). These genes form an operon that is regulated by GanR. The degradation of galactan by B. subtilis begins with the activity of extracellular GanB. GanB is an endo-β-1,4-galactanase and is a member of glycoside hydrolase (GH) family 53. This enzyme was active on high-molecular-weight arabinose-free galactan and mainly produced galactotetraose as well as galactotriose and galactobiose. These galacto-oligosaccharides may enter the cell via the GanQP transmembrane proteins of the galactan ABC transporter. The specificity of the galactan ABC transporter depends on the sugar-binding lipoprotein, GanS. Purified GanS was shown to bind galactotetraose and galactotriose using thermal shift assay. The energy for this transport is provided by MsmX, an ATP-binding protein. The transported galacto-oligosaccharides are further degraded by GanA. GanA is a β-galactosidase that belongs to GH family 42. The GanA enzyme was able to hydrolyze short-chain β-1,4-galacto-oligosaccharides as well as synthetic β-galactopyranosides into galactose. Thermal shift assay as well as electrophoretic mobility shift assay demonstrated that galactobiose is the inducer of the galactan operon regulated by GanR. DNase I footprinting revealed that the GanR protein binds to an operator overlapping the -35 box of the σ(A)-type promoter of Pgan, which is located upstream of ganS IMPORTANCE: Bacillus subtilis is a Gram-positive soil bacterium that utilizes different types of carbohydrates, such as pectin, as carbon sources. So far, most of the pectin degradation systems and enzymes have been thoroughly studied in B. subtilis Nevertheless, the B. subtilis utilization system of galactan, which is

  9. Effect of p-GaN layer doping on the photoresponse of GaN-based p-i-n ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Guo, Jin; Xie, Feng; Wang, Wanjun; Wang, Guosheng; Wu, Haoran; Wang, Tanglin; Song, Man

    2015-08-01

    We report on two-dimensional (2D) numerical simulations of photoresponse characteristics for GaN based p-i-n ultraviolet (UV) photodetectors. Effects of doping density of p-GaN layer on the photoresponse have been investigated. In order to accurately simulate the device performance, the theoretical calculation includes doping-dependent mobility degradation by Arora model and high field saturation model. Theoretical modeling shows that the doping density of p- GaN layer can significantly affect the photoresponse of GaN based p-i-n UV photodetectors, especially at schottky contact. We have to make a suitable choice of the doping in the device design according to the simulation results.

  10. Properties of GaN grown on sapphire substrates

    NASA Technical Reports Server (NTRS)

    Crouch, R. K.; Debnam, W. J.; Fripp, A. L.

    1978-01-01

    Epitaxial growth of GaN on sapphire substrates using an open-tube growth furnace has been carried out to study the effects of substrate orientation and transfer gas upon the properties of the layers. It has been found that for the (0001) substrates, surface appearance was virtually independent of carrier gas and of doping levels. For the (1(-1)02) substrates surface faceting was greatly reduced when He was used as a transfer gas as opposed to H2. Faceting was also reduced when the GaN was doped with Zn, and the best surfaces for the (1(-1)02) substrates were obtained in a Zn-doped run using He as the transfer gas. The best sample in terms of electrical properties for the (1(-1)02) substrate had a mobility greater than 400 sq cm/V per sec and a carrier concentration of about 10 to the 17th per cu cm. This sample was undoped and used He as the transfer gas. The best (0001) sample was also grown undoped with He as the transfer gas and had a mobility of 300 sq cm/V per sec and a carrier concentration of 1 x 10 to the 18th per cu cm.

  11. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  12. GaN as an interfacial passivation layer: tuning band offset and removing fermi level pinning for III-V MOS devices.

    PubMed

    Zhang, Zhaofu; Cao, Ruyue; Wang, Changhong; Li, Hao-Bo; Dong, Hong; Wang, Wei-Hua; Lu, Feng; Cheng, Yahui; Xie, Xinjian; Liu, Hui; Cho, Kyeongjae; Wallace, Robert; Wang, Weichao

    2015-03-11

    The use of an interfacial passivation layer is one important strategy for achieving a high quality interface between high-k and III-V materials integrated into high-mobility metal-oxide-semiconductor field-effect transistor (MOSFET) devices. Here, we propose gallium nitride (GaN) as the interfacial layer between III-V materials and hafnium oxide (HfO2). Utilizing first-principles calculations, we explore the structural and electronic properties of the GaN/HfO2 interface with respect to the interfacial oxygen contents. In the O-rich condition, an O8 interface (eight oxygen atoms at the interface, corresponding to 100% oxygen concentration) displays the most stability. By reducing the interfacial O concentration from 100 to 25%, we find that the interface formation energy increases; when sublayer oxygen vacancies exist, the interface becomes even less stable compared with O8. The band offset is also observed to be highly dependent on the interfacial oxygen concentration. Further analysis of the electronic structure shows that no interface states are present at the O8 interface. These findings indicate that the O8 interface serves as a promising candidate for high quality III-V MOS devices. Moreover, interfacial states are present when such interfacial oxygen is partially removed. The interface states, leading to Fermi level pinning, originate from unsaturated interfacial Ga atoms.

  13. Strain relaxation of thick (11–22) semipolar InGaN layer for long wavelength nitride-based device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jaehwan; Min, Daehong; Jang, Jongjin

    2014-10-28

    In this study, the properties of thick stress-relaxed (11–22) semipolar InGaN layers were investigated. Owing to the inclination of growth orientation, misfit dislocations (MDs) occurred at the heterointerface when the strain state of the (11–22) semipolar InGaN layers reached the critical point. We found that unlike InGaN layers based on polar and nonpolar growth orientations, the surface morphologies of the stress-relaxed (11–22) semipolar InGaN layers did not differ from each other and were similar to the morphology of the underlying GaN layer. In addition, misfit strain across the whole InGaN layer was gradually relaxed by MD formation at the heterointerface.more » To minimize the effect of surface roughness and defects in GaN layers on the InGaN layer, we conducted further investigation on a thick (11–22) semipolar InGaN layer grown on an epitaxial lateral overgrown GaN template. We found that the lateral indium composition across the whole stress-relaxed InGaN layer was almost uniform. Therefore, thick stress-relaxed (11–22) semipolar InGaN layers are suitable candidates for use as underlying layers in long-wavelength devices, as they can be used to control strain accumulation in the heterostructure active region without additional influence of surface roughness.« less

  14. Barrier layers against oxygen transmission on the basis of electron beam cured methacrylated gelatin

    NASA Astrophysics Data System (ADS)

    Scherzer, Tom

    1997-08-01

    The development of barrier layers against oxygen transmission on the basis of radiation-curable methacrylated gelatin will be reported. The electron beam cured gelatin coatings show an extremely low oxygen permeability and a high resistance against boiling water. Moreover, the methacrylated gelatins possess good adhesion characteristics. Therefore, they are suited as barrier adhesives in laminates for food packaging applications. If substrate foils from biodegradable polymers are used, the development of completely biodegradable packaging materials seems to be possible.

  15. Reactive ion etching of GaN using BCl 3, BCl 3/Ar and BCl 3/ N 2 gas plasmas

    NASA Astrophysics Data System (ADS)

    Basak, D.; Nakanishi, T.; Sakai, S.

    2000-04-01

    Reactive ion etching (RIE) of GaN has been performed using BCl 3 and additives, Ar and N 2, to BCl 3 plasma. The etch rate, surface roughness and the etch profile have been investigated. The etch rate of GaN is found to be 104 nm/min at rf power of 200 W, pressure of 2 Pa, with 9.5 sccm flow rate of BCl 3. The addition of 5 sccm of Ar to 9.5 sccm of BCl 3 reduces the etch rate of GaN while the addition of N 2 does not influence the etch rate significantly. The RIE of GaN layer with BCl 3/Ar and BCl 3/N 2 results in a smoother surface compared to surfaces etched with BCl 3 only. The etched side-wall in BCl 3 plasma makes an angle of 60° with the normal surface, and the angle of inclination is more in cases of BCl 3/Ar and BCl 3/N 2 plasmas. The RIE induced damage to the surface is measured qualitatively by PL measurements. It is observed that the damage to the etched surfaces is similar for all the plasmas.

  16. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    NASA Astrophysics Data System (ADS)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  17. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    NASA Astrophysics Data System (ADS)

    Freitas, Jaime A., Jr.; Feigelson, Boris N.; Anderson, Travis J.

    2013-11-01

    Detailed spectrometry and optical spectroscopy studies carried out on GaN crystals grown in solution detect and identify Mg as the dominant shallow acceptor. Selective etching of crystals with higher Mg levels than that of the donor concentration background indicates that Mg acceptors incorporate preferentially in the N-polar face. Electrical transport measurements verified an efficient incorporation and activation of the Mg acceptors. These results suggest that this growth method has the potential to produce p-type doped epitaxial layers or p-type substrates characterized by high hole concentration and low defect density.

  18. Unintentional indium incorporation into barriers of InGaN/GaN multiple quantum wells studied by photoreflectance and photoluminescence excitation spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Freytag, Stefan, E-mail: stefan.freytag@ovgu.de; Feneberg, Martin; Berger, Christoph

    2016-07-07

    In{sub x}Ga{sub 1–x}N/GaN single and multi quantum well (MQW) structures with x ≈ 0.13 were investigated optically by photoreflectance, photoluminescence excitation spectroscopy, and luminescence. Clear evidence of unintentional indium incorporation into the nominal GaN barrier layers is found. The unintentional In content is found to be around 3%. Inhomogeneous distribution of In atoms occurs within the distinct quantum well (QW) layers, which is commonly described as statistical alloy fluctuation and leads to the characteristic S-shape temperature shift of emission energy. Furthermore, differences in emission energy between the first and the other QWs of a MQW stack are found experimentally. Thismore » effect is discussed with the help of model calculations and is assigned to differences in the confining potential due to unwanted indium incorporation for the upper QWs.« less

  19. Zinc-blende MnN bilayer formation on the GaN(111) surface

    NASA Astrophysics Data System (ADS)

    Gutierrez-Ojeda, S. J.; Guerrero-Sánchez, J.; Garcia-Diaz, R.; Ramirez-Torres, A.; Takeuchi, Noboru; H. Cocoletzi, Gregorio

    2017-07-01

    Atomic layers of manganese nitride, deposited on the cubic gallium nitride (111) surface, are investigated using spin polarized periodic density functional theory calculations. The adsorption of a manganese atom has been evaluated at different high symmetry sites. Incorporation into the GaN substrate by replacing gallium atoms drives the formation of a site in which the displaced Ga atom forms bonds with Ga atoms at the surface. This energetically favorable configuration shows a ferromagnetic alignment. Surface formation energy calculations demonstrate that when a full Mn ML is incorporated into the GaN structure, a Ga ML on top of a MnN bilayer may be formed for very Ga-rich conditions. On the other hand, when a full Mn ML is deposited on top of the nitrogen terminated surface, an epitaxial MnN bilayer is formed with antiferromagnetic characteristics. Density of states and partial density of states are reported to show the antiferromagnetic alignment in both structures. This behavior is mainly induced by the Mn-d orbitals.

  20. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  1. Optical design of GaN nanowire arrays for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Winnerl, Julia; Hudeczek, Richard; Stutzmann, Martin

    2018-05-01

    GaN nanowire (NW) arrays are interesting candidates for photocatalytic applications due to their high surface-to-volume ratio and their waveguide character. The integration of GaN NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs. Here, we present a numerical study of the influence of the NW geometries, i.e., the NW diameter, length, and period, and the illumination wavelength on the transmission of GaN NW arrays on transparent substrates. A detailed numerical analysis reveals that the transmission characteristics for large periods are determined by the waveguide character of the single NW, whereas for dense GaN NW arrays inter-wire coupling and diffraction effects originating from the periodic arrangement of the GaN NWs dominate the transmission. The numerically simulated results are confirmed by experimental transmission measurements. We also investigate the influence of a dielectric NW shell and of the surrounding medium on the transmission characteristics of a GaN NW array.

  2. Temperature-Dependent Electrical Properties and Carrier Transport Mechanisms of TMAH-Treated Ni/Au/Al2O3/GaN MIS Diode

    NASA Astrophysics Data System (ADS)

    Reddy, M. Siva Pratap; Puneetha, Peddathimula; Reddy, V. Rajagopal; Lee, Jung-Hee; Jeong, Seong-Hoon; Park, Chinho

    2016-11-01

    The temperature-dependent electrical properties and carrier transport mechanisms of tetramethylammonium hydroxide (TMAH)-treated Ni/Au/Al2O3/GaN metal-insulator-semiconductor (MIS) diodes have been investigated by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The experimental results reveal that the barrier height ( I- V) increases whereas the ideality factor decreases with increasing temperature. The TMAH-treated Ni/Au/Al2O3/GaN MIS diode showed nonideal behaviors which indicate the presence of a nonuniform distribution of interface states ( N SS) and effect of series resistance ( R S). The obtained R S and N SS were found to decrease with increasing temperature. Furthermore, it was found that different transport mechanisms dominated in the TMAH-treated Ni/Au/Al2O3/GaN MIS diode. At 150 K to 250 K, Poole-Frenkel emission (PFE) was found to be responsible for the reverse leakage, while Schottky emission (SE) was the dominant mechanism at high electric fields in the temperature range from 300 K to 400 K. Feasible energy band diagrams and possible carrier transport mechanisms for the TMAH-treated Ni/Au/Al2O3/GaN MIS diode are discussed based on PFE and SE.

  3. Method of growing GaN films with a low density of structural defects using an interlayer

    DOEpatents

    Bourret-Courchesne, Edith D.

    2003-01-01

    A dramatic reduction of the dislocation density in GaN was obtained by insertion of a single thin interlayer grown at an intermediate temperature (IT-IL) after the growth of an initial grown at high temperature. A description of the growth process is presented with characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend near the interlayer and do not propagate into the top layer which grows at higher temperature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the epitaxial lateral overgrowth process, however a notable difference is the absence of coalescence boundaries.

  4. Multiband Reconfigurable Harmonically Tuned Gallium Nitride (GaN) Solid-State Power Amplifier (SSPA) for Cognitive Radios

    NASA Technical Reports Server (NTRS)

    Waldstein, Seth W.; Kortright, Barbosa Miguel A.; Simons, Rainee N.

    2017-01-01

    The paper presents the architecture of a wideband reconfigurable harmonically-tuned Gallium Nitride (GaN) Solid State Power Amplifier (SSPA) for cognitive radios. When interfaced with the physical layer of a cognitive communication system, this amplifier topology offers broadband high efficiency through the use of multiple tuned input/output matching networks. This feature enables the cognitive radio to reconfigure the operating frequency without sacrificing efficiency. This paper additionally presents as a proof-of-concept the design, fabrication, and test results for a GaN inverse Class-F type amplifier operating at X-band (8.4 GHz) that achieves a maximum output power of 5.14-W, Power Added Efficiency (PAE) of 38.6 percent, and Drain Efficiency (DE) of 48.9 percent under continuous wave (CW) operation.

  5. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  6. Fabrication and characterization of GaN nanowire doubly clamped resonators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maliakkal, Carina B., E-mail: carina@tifr.res.in; Mathew, John P.; Hatui, Nirupam

    2015-09-21

    Gallium nitride (GaN) nanowires (NWs) have been intensely researched as building blocks for nanoscale electronic and photonic device applications; however, the mechanical properties of GaN nanostructures have not been explored in detail. The rigidity, thermal stability, and piezoelectric properties of GaN make it an interesting candidate for nano-electromechanical systems. We have fabricated doubly clamped GaN NW electromechanical resonators on sapphire using electron beam lithography and estimated the Young's modulus of GaN from resonance frequency measurements. For wires of triangular cross section with side ∼90 nm, we obtained values for the Young's modulus to be about 218 and 691 GPa, which are ofmore » the same order of magnitude as the values reported for bulk GaN. We also discuss the role of residual strain in the nanowire on the resonant frequency and the orientation dependence of the Young's modulus in wurtzite crystals.« less

  7. Self-organization of palladium nanoislands on GaN and AlxGa1-xN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Stafiniak, Andrzej; Szymański, Tomasz; Paszkiewicz, Regina

    2017-12-01

    We report on systematic study on the dewetting process of thin Pd layer and self-organized Pd nano-islands on SiO2, GaN and AlxGa1-xN/GaN heterostructures with various Al content. The influence of factors such as the thickness of metal layer, type of top layer of AlGaN/GaN heterostructures, temperature and time of annealing process on the dimensions, shapes and density of Pd islands was analyzed. Comparing the behavior of self-organization of Pd islands on Al0.25Ga0.75N/GaN and SiO2 we can conclude that solid-state dewetting process on SiO2 occures much faster than on Al0.25Ga0.75N. For substrates with SiO2 this process requires less energy and can arise for thicker layer. On the Al0.25Ga0.75N surface the islands take more crystalline shape which is probably due to surface reconstruction of Pd-Ga alloy thin layer on interface. For thin metal layer the coalescence of islands into larger islands similar to Ostwald ripening mechanism was observed. Greater surface roughness of AlxGa1-xN/GaN heterostructures with higher Al content causes an increase of surface density of islands and the reduction of their sizes which improves the roundness. In case of GaN and AlxGa1-xN layers with Al content lower than 20%, the surface degradation caused by annealing process was observed. Probably, this is due to the decomposition of layers with gallium droplet formation on catalytic metal islands.

  8. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  9. N-Face GaN Electronics for Heteroepitaxial and Bonded Structures

    DTIC Science & Technology

    2015-08-27

    GaN ! ?" InGaAs’Channel’ InAlAs’ !!!!!S! !!!!!!D! !!!!G! Ga (In)N’Dri2 ’Region! Wafer* Bonded! Junc2on! !!!!!S...Gate InGaAs InAlAs (In, Ga )N Source GaN on Sapphire Aperture CBL WBI InGaN n-InGaAs InAlAs n+ GaN S D WBI...about. Polarization effects at the interface may need to be considered. For Ga -polar InGaN- GaN homojunctions,

  10. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  11. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  12. Multilayer thermal barrier coating systems

    DOEpatents

    Vance, Steven J.; Goedjen, John G.; Sabol, Stephen M.; Sloan, Kelly M.

    2000-01-01

    The present invention generally describes multilayer thermal barrier coating systems and methods of making the multilayer thermal barrier coating systems. The thermal barrier coating systems comprise a first ceramic layer, a second ceramic layer, a thermally grown oxide layer, a metallic bond coating layer and a substrate. The thermal barrier coating systems have improved high temperature thermal and chemical stability for use in gas turbine applications.

  13. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    NASA Astrophysics Data System (ADS)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  14. Sensitivity of Fermi level position at Ga-polar, N-polar, and nonpolar m-plane GaN surfaces to vacuum and air ambient

    NASA Astrophysics Data System (ADS)

    Janicki, Łukasz; Ramírez-López, Manolo; Misiewicz, Jan; Cywiński, Grzegorz; Boćkowski, Michał; Muzioł, Grzegorz; Chèze, Caroline; Sawicka, Marta; Skierbiszewski, Czesław; Kudrawiec, Robert

    2016-05-01

    Ga-polar, N-polar, and nonpolar m-plane GaN UN+ structures have been examined in air and vacuum ambient by contactless electroreflectance (CER). This technique is very sensitive to the surface electric field that varies with the Fermi level position at the surface. For UN+ GaN structures [i.e., GaN (undoped)/GaN (n-type)/substrate], a homogeneous built-in electric field is expected in the undoped GaN layer that is manifested by Franz-Keldysh oscillation (FKO) in CER spectra. A clear change in FKO has been observed in CER spectra for N-polar and nonpolar m-plane structures when changing from air to vacuum ambient. This means that those surfaces are very sensitive to ambient atmosphere. In contrast to that, only a small change in FKO can be seen in the Ga-polar structure. This clearly shows that the ambient sensitivity of the Fermi level position at the GaN surface varies with the crystallographic orientation and is very high for N-polar and nonpolar m-plane surfaces. This feature of the N-polar and nonpolar m-plane surfaces can be very important for GaN-based devices grown on these crystallographic orientations and can be utilized in some of the devices, e.g., sensors.

  15. The properties and performance of moisture/oxygen barrier layers deposited by remote plasma sputtering

    NASA Astrophysics Data System (ADS)

    Brown, Hayley Louise

    The development of flexible lightweight OLED devices requires oxygen/moisture barrier layer thin films with water vapour transmission rates (WVTR) of < 10-6 g/m2/day. This thesis reports on single and multilayer architecture barrier layers (mostly based on SiO2, Al2O3 and TiO2) deposited onto glass, Si and polymeric substrates using remote plasma sputtering. The reactive sputtering depositions were performed on Plasma Quest S500 based sputter systems and the morphology, nanostructure and composition of the coatings have been examined using SEM, EDX, STEM, XPS, XRD and AFM. The WVTR has been determined using industry standard techniques (e.g. MOCON) but, for rapid screening of the deposited layers, an in-house permeation test was also developed. SEM, XRD and STEM results showed that the coatings exhibited a dense, amorphous structure with no evidence of columnar growth. However, all of the single and multilayer coatings exhibited relatively poor WVTRs of > 1 x 10-1 g/m2/day at 38 °C and 85 % RH. Further characterisation indicated that the barrier films were failing due to the presence of substrate asperities and airborne particulates. Different mechanisms were investigated in an attempt to reduce the density of film defects including incorporation of a getter layer, modification of growth kinetics, plasma treatment and polymer planarising, but none were successful in lowering the WVTR. Review of this issue indicated that the achievement of good barrier layers was likely to be problematic in commercial practice due to the cost implications of adequately reducing particulate density and the need to cover deliberately non-planar surfaces and fabricated 3D structures. Conformal coverage would therefore be required to bury surface structures and to mitigate particulate issues. Studies of the remote plasma system showed that it both inherently delivered an ionised physical vapour deposition (IPVD) process and was compatible with bias re-sputtering of substrates

  16. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  17. Effect of photocatalytic oxidation technology on GaN CMP

    NASA Astrophysics Data System (ADS)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-01-01

    GaN is so hard and so chemically inert that it is difficult to obtain a high material removal rate (MRR) in the chemical mechanical polishing (CMP) process. This paper discusses the application of photocatalytic oxidation technology in GaN planarization. Three N-type semiconductor particles (TiO2, SnO2, and Fe2O3) are used as catalysts and added to the H2O2-SiO2-based slurry. By optical excitation, highly reactive photoinduced holes are produced on the surface of the particles, which can oxidize OH- and H2O absorbed on the surface of the catalysts; therefore, more OH* will be generated. As a result, GaN MRRs in an H2O2-SiO2-based polishing system combined with catalysts are improved significantly, especially when using TiO2, the MRR of which is 122 nm/h. The X-ray photoelectron spectroscopy (XPS) analysis shows the variation trend of chemical composition on the GaN surface after polishing, revealing the planarization process. Besides, the effect of pH on photocatalytic oxidation combined with TiO2 is analyzed deeply. Furthermore, the physical model of GaN CMP combined with photocatalytic oxidation technology is proposed to describe the removal mechanism of GaN.

  18. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  19. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Bagnall, Kevin R.; Wang, Evelyn N.

    2016-06-01

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approach has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E2 high and A1 (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart from the inverse

  20. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bagnall, Kevin R.; Wang, Evelyn N.

    2016-06-15

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approachmore » has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E{sub 2} high and A{sub 1} (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart

  1. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  2. [Clinical trial on treatment of Parkinson's disease of Gan-Shen yin deficiency type by recipe for nourishing Gan-Shen].

    PubMed

    Zhao, Hong; Li, Wen-Wei; Gao, Jun-Peng

    2007-09-01

    To observe the curative effect of the recipe for nourishing Gan-Shen on Parkinson's disease (PD) of Gan-Shen yin deficiency type. One hundred and twenty-one PD patients were ran-domly assigned by blocking design to the control group and the treated group in the ratio of 1:1. All were treated according to the international medication guiding principle for PD treatment, but the treated group was ad-ministered with the recipe for nourishing Gan-Shen additionally. The treatment course lasted for 12 consecutive months, and the end point was the end of the 12th month. The unified Parkinson's disease rating scale (UP-DRS) score, TCM primary and secondary symptom scores were evaluated before treatment, every 3 months of treatment and at the end point. The average daily levodopa dose and the Hoehn & Yahr grading were assessed before treatment and at the end point. After treatment, UPDRS score in both groups showed an ascending trend at a slower rate in the treated groups than in the control group. At the 9th and 12th month of medication, a significant difference was found in UPDRS score between the two groups (P < 0.05), and the TCM symptom score was obviously lower in the treated group than in the control group (P < 0.05). At the end point of the trial, the average daily levodopa dose used was lower in the treated group than in the control group (P < 0.05) and there was no significant difference in the Hoehn & Yahr score between the two groups (P > 0.05). The recipe for norishing Gan-Shen can slow the ascending trend of UPDRS score in the PD patients, improve the symptoms of Gan-Shen yin deficiency, and decrease the daily levodopa dose used, showing a curative effect on PD of Gan-Shen yin deficiency type.

  3. Luminescence properties of defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, Michael A.; Morkoç, Hadis

    2005-03-01

    Gallium nitride (GaN) and its allied binaries InN and AIN as well as their ternary compounds have gained an unprecedented attention due to their wide-ranging applications encompassing green, blue, violet, and ultraviolet (UV) emitters and detectors (in photon ranges inaccessible by other semiconductors) and high-power amplifiers. However, even the best of the three binaries, GaN, contains many structural and point defects caused to a large extent by lattice and stacking mismatch with substrates. These defects notably affect the electrical and optical properties of the host material and can seriously degrade the performance and reliability of devices made based on these nitride semiconductors. Even though GaN broke the long-standing paradigm that high density of dislocations precludes acceptable device performance, point defects have taken the center stage as they exacerbate efforts to increase the efficiency of emitters, increase laser operation lifetime, and lead to anomalies in electronic devices. The point defects include native isolated defects (vacancies, interstitial, and antisites), intentional or unintentional impurities, as well as complexes involving different combinations of the isolated defects. Further improvements in device performance and longevity hinge on an in-depth understanding of point defects and their reduction. In this review a comprehensive and critical analysis of point defects in GaN, particularly their manifestation in luminescence, is presented. In addition to a comprehensive analysis of native point defects, the signatures of intentionally and unintentionally introduced impurities are addressed. The review discusses in detail the characteristics and the origin of the major luminescence bands including the ultraviolet, blue, green, yellow, and red bands in undoped GaN. The effects of important group-II impurities, such as Zn and Mg on the photoluminescence of GaN, are treated in detail. Similarly, but to a lesser extent, the effects of

  4. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  5. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  6. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals.

    PubMed

    Qin, Hongbo; Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-12-12

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol -1 K -1 , respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band

  7. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    PubMed Central

    Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-01-01

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100) and (111) are isotropic, while the Poisson’s ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a

  8. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  9. The 2018 GaN power electronics roadmap

    NASA Astrophysics Data System (ADS)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  10. Near-field phase-change recording using a GaN laser diode

    NASA Astrophysics Data System (ADS)

    Kishima, Koichiro; Ichimura, Isao; Yamamoto, Kenji; Osato, Kiyoshi; Kuroda, Yuji; Iida, Atsushi; Saito, Kimihiro

    2000-09-01

    We developed a 1.5-Numerical-Aperture optical setup using a GaN blue-violet laser diode. We used a 1.0 mm-diameter super-hemispherical solid immersion lens, and optimized a phase-change disk structure including the cover layer by the method of MTF simulation. The disk surface was polished by tape burnishing technique. An eye-pattern of (1-7)-coded data at the linear density of 80 nm/bit was demonstrated on the phase-change disk below a 50 nm gap height, which was realized through our air-gap servo mechanism.

  11. Characterization of Plasma-Induced Damage of Selectively Recessed GaN/InAlN/AlN/GaN Heterostructures Using SiCl4 and SF6

    NASA Astrophysics Data System (ADS)

    Ostermaier, Clemens; Pozzovivo, Gianmauro; Basnar, Bernhard; Schrenk, Werner; Carlin, Jean-François; Gonschorek, Marcus; Grandjean, Nicolas; Vincze, Andrej; Tóth, Lajos; Pécz, Bela; Strasser, Gottfried; Pogany, Dionyz; Kuzmik, Jan

    2010-11-01

    We have investigated an inductively coupled plasma etching recipe using SiCl4 and SF6 with a resulting selectivity >10 for GaN in respect to InAlN. The formation of an etch-resistant layer of AlF3 on InAlN required about 1 min and was noticed by a 4-times-higher initial etch rate on bare InAlN barrier high electron mobility transistors (HEMTs). Comparing devices with and without plasma-treatment below the gate showed no degradation in drain current and gate leakage current for plasma exposure durations shorter than 30 s, indicating no plasma-induced damage of the InAlN barrier. Devices etched longer than the required time for the formation of the etch-resistant barrier exhibited a slight decrease in drain current and an increase in gate leakage current which saturated for longer etching-time durations. Finally, we could prove the quality of the recipe by recessing the highly doped 6 nm GaN cap layer of a GaN/InAlN/AlN/GaN heterostructure down to the 2 nm thin InAlN/AlN barrier layer.

  12. Electrically Tunable and Negative Schottky Barriers in Multi-layered Graphene/MoS2 Heterostructured Transistors.

    PubMed

    Qiu, Dongri; Kim, Eun Kyu

    2015-09-03

    We fabricated multi-layered graphene/MoS2 heterostructured devices by positioning mechanically exfoliated bulk graphite and single-crystalline 2H-MoS2 onto Au metal pads on a SiO2/Si substrate via a contamination-free dry transfer technique. We also studied the electrical transport properties of Au/MoS2 junction devices for systematic comparison. A previous work has demonstrated the existence of a positive Schottky barrier height (SBH) in the metal/MoS2 system. However, analysis of the SBH indicates that the contacts of the multi-layered graphene/MoS2 have tunable negative barriers in the range of 300 to -46 meV as a function of gate voltage. It is hypothesized that this tunable SBH is responsible for the modulation of the work function of the thick graphene in these devices. Despite the large number of graphene layers, it is possible to form ohmic contacts, which will provide new opportunities for the engineering of highly efficient contacts in flexible electronics and photonics.

  13. Electrically Tunable and Negative Schottky Barriers in Multi-layered Graphene/MoS2 Heterostructured Transistors

    NASA Astrophysics Data System (ADS)

    Qiu, Dongri; Kim, Eun Kyu

    2015-09-01

    We fabricated multi-layered graphene/MoS2 heterostructured devices by positioning mechanically exfoliated bulk graphite and single-crystalline 2H-MoS2 onto Au metal pads on a SiO2/Si substrate via a contamination-free dry transfer technique. We also studied the electrical transport properties of Au/MoS2 junction devices for systematic comparison. A previous work has demonstrated the existence of a positive Schottky barrier height (SBH) in the metal/MoS2 system. However, analysis of the SBH indicates that the contacts of the multi-layered graphene/MoS2 have tunable negative barriers in the range of 300 to -46 meV as a function of gate voltage. It is hypothesized that this tunable SBH is responsible for the modulation of the work function of the thick graphene in these devices. Despite the large number of graphene layers, it is possible to form ohmic contacts, which will provide new opportunities for the engineering of highly efficient contacts in flexible electronics and photonics.

  14. Delta-doping optimization for high quality p-type GaN

    NASA Astrophysics Data System (ADS)

    Bayram, C.; Pau, J. L.; McClintock, R.; Razeghi, M.

    2008-10-01

    Delta (δ -) doping is studied in order to achieve high quality p-type GaN. Atomic force microscopy, x-ray diffraction, photoluminescence, and Hall measurements are performed on the samples to optimize the δ-doping characteristics. The effect of annealing on the electrical, optical, and structural quality is also investigated for different δ-doping parameters. Optimized pulsing conditions result in layers with hole concentrations near 1018 cm-3 and superior crystal quality compared to conventional p-GaN. This material improvement is achieved thanks to the reduction in the Mg activation energy and self-compensation effects in δ-doped p-GaN.

  15. Ultrathin epitaxial barrier layer to avoid thermally induced phase transformation in oxide heterostructures

    DOE PAGES

    Baek, David J.; Lu, Di; Hikita, Yasuyuki; ...

    2016-12-22

    Incorporating oxides with radically different physical and chemical properties into heterostructures offers tantalizing possibilities to derive new functions and structures. Recently, we have fabricated freestanding 2D oxide membranes using the water-soluble perovskite Sr 3Al 2O 6 as a sacrificial buffer layer. Here, with atomic-resolution spectroscopic imaging, we observe that direct growth of oxide thin films on Sr 3Al 2O 6 can cause complete phase transformation of the buffer layer, rendering it water-insoluble. More importantly, we demonstrate that an ultrathin SrTiO 3 layer can be employed as an effective barrier to preserve Sr 3Al 2O 6 during subsequent growth, thus allowingmore » its integration in a wider range of oxide heterostructures.« less

  16. Multibias and thermal behavior of microwave GaN and GaAs based HEMTs

    NASA Astrophysics Data System (ADS)

    Alim, Mohammad A.; Rezazadeh, Ali A.; Gaquiere, Christophe

    2016-12-01

    Multibias and thermal characterizations on 0.25 μm × (2 × 100) μm AlGaN/GaN/SiC HEMT and 0.5 μm × (2 × 100) μm AlGaAs/InGaAs pseudomorphic HEMT have carried out for the first time. Two competitive device technologies are investigated with the variations of bias and temperature in order to afford a detailed realization of their potentialities. The main finding includes the self heating effect in the GaN device, zero temperature coefficient points at the drain current and transconductance in the GaAs device. The thermal resistance RTH of 7.1, 8.2 and 9.4 °C mm/W for the GaN device was estimated at 25, 75 and 150 °C respectively which are consistent with those found in the open literature. The temperature trend of the threshold voltage VT, Schottky barrier height ϕb, sheet charge densities of two dimensional electron gas ns, and capacitance under the gate Cg are exactly opposite in the two devices; whereas the knee voltage Vk, on resistance Ron, and series resistance Rseries are shows similar trend. The multi-bias and thermal behavior of the output current Ids, output conductance gds, transconductance gm, cut-off frequency ft, maximum frequency fmax, effective velocity of electron, veff and field dependent mobility, μ demonstrates a great potential of GaN device. These results provide some valuable insights for technology of preference for future and current applications.

  17. Acceptor binding energies in GaN and AlN

    NASA Astrophysics Data System (ADS)

    Mireles, Francisco; Ulloa, Sergio E.

    1998-08-01

    We employ effective-mass theory for degenerate hole bands to calculate the acceptor binding energies for Be, Mg, Zn, Ca, C, and Si substitutional acceptors in GaN and AlN. The calculations are performed through the 6×6 Rashba-Sheka-Pikus and the Luttinger-Kohn matrix Hamiltonians for wurtzite (WZ) and zinc-blende (ZB) crystal phases, respectively. An analytic representation for the acceptor pseudopotential is used to introduce the specific nature of the impurity atoms. The energy shift due to polaron effects is also considered in this approach. The ionization energy estimates are in very good agreement with those reported experimentally in WZ GaN. The binding energies for ZB GaN acceptors are all predicted to be shallower than the corresponding impurities in the WZ phase. The binding-energy dependence upon the crystal-field splitting in WZ GaN is analyzed. Ionization levels in AlN are found to have similar ``shallow'' values to those in GaN, but with some important differences which depend on the band structure parametrizations, especially the value of the crystal-field splitting used.

  18. Native defects in GaN: a hybrid functional study

    NASA Astrophysics Data System (ADS)

    Diallo, Ibrahima Castillo; Demchenko, Denis

    Intrinsic defects play an important role in the performance of GaN-based devices. We present hybrid density functional calculations of the electronic and possible optical properties of interstitial N (Ni-Ni) , N antisite (NGa) , interstitial Ga (Gai) , Ga antisite (GaN) , Ga vacancy (VGa) , N vacancy (VN) and Ga-N divacancies (VGaVN) in GaN. Our results show that the vacancies display relatively low formation energies in certain samples, whereas antisites and interstitials are energetically less favorable. However, interstitials can be created by electron irradiation. For instance, in 2.5 MeV electron-irradiated GaN samples, a strong correlation between the frequently observed photoluminescence (PL) band centered around 0.85 eV accompanied with a rich phonon sideband of ~0.88 eV and the theoretical optical behavior of interstitial Ga is discussed. N vacancies are found to likely contribute to the experimentally obtained green luminescence band (GL2) peaking at 2.24 eV in high-resistivity undoped and Mg-doped GaN. National Science Foundation (DMR-1410125) and the Thomas F. and Kate Miller Jeffress Memorial Trust.

  19. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  20. Fabrication of metallic single electron transistors featuring plasma enhanced atomic layer deposition of tunnel barriers

    NASA Astrophysics Data System (ADS)

    Karbasian, Golnaz

    The continuing increase of the device density in integrated circuits (ICs) gives rise to the high level of power that is dissipated per unit area and consequently a high temperature in the circuits. Since temperature affects the performance and reliability of the circuits, minimization of the energy consumption in logic devices is now the center of attention. According to the International Technology Roadmaps for Semiconductors (ITRS), single electron transistors (SETs) hold the promise of achieving the lowest power of any known logic device, as low as 1x10-18 J per switching event. Moreover, SETs are the most sensitive electrometers to date, and are capable of detecting a fraction of an electron charge. Despite their low power consumption and high sensitivity for charge detection, room temperature operation of these devices is quite challenging mainly due to lithographical constraints in fabricating structures with the required dimensions of less than 10 nm. Silicon based SETs have been reported to operate at room temperature. However, they all suffer from significant variation in batch-to-batch performance, low fabrication yield, and temperature-dependent tunnel barrier height. In this project, we explored the fabrication of SETs featuring metal-insulator-metal (MIM) tunnel junctions. While Si-based SETs suffer from undesirable effect of dopants that result in irregularities in the device behavior, in metal-based SETs the device components (tunnel barrier, island, and the leads) are well-defined. Therefore, metal SETs are potentially more predictable in behavior, making them easier to incorporate into circuits, and easier to check against theoretical models. Here, the proposed fabrication method takes advantage of unique properties of chemical mechanical polishing (CMP) and plasma enhanced atomic layer deposition (PEALD). Chemical mechanical polishing provides a path for tuning the dimensions of the tunnel junctions, surpassing the limits imposed by electron beam

  1. High-Sensitivity GaN Microchemical Sensors

    NASA Technical Reports Server (NTRS)

    Son, Kyung-ah; Yang, Baohua; Liao, Anna; Moon, Jeongsun; Prokopuk, Nicholas

    2009-01-01

    Systematic studies have been performed on the sensitivity of GaN HEMT (high electron mobility transistor) sensors using various gate electrode designs and operational parameters. The results here show that a higher sensitivity can be achieved with a larger W/L ratio (W = gate width, L = gate length) at a given D (D = source-drain distance), and multi-finger gate electrodes offer a higher sensitivity than a one-finger gate electrode. In terms of operating conditions, sensor sensitivity is strongly dependent on transconductance of the sensor. The highest sensitivity can be achieved at the gate voltage where the slope of the transconductance curve is the largest. This work provides critical information about how the gate electrode of a GaN HEMT, which has been identified as the most sensitive among GaN microsensors, needs to be designed, and what operation parameters should be used for high sensitivity detection.

  2. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  3. Thermal barriers for compartments

    DOEpatents

    Kreutzer, Cory J.; Lustbader, Jason A.

    2017-10-17

    An aspect of the present disclosure is a thermal barrier that includes a core layer having a first surface, a second surface, and a first edge, and a first outer layer that includes a third surface and a second edge, where the third surface substantially contacts the first surface, the core layer is configured to minimize conductive heat transfer through the barrier, and the first outer layer is configured to maximize reflection of light away from the barrier.

  4. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I.

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffersmore » also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.« less

  5. The optimal thickness of a transmission-mode GaN photocathode

    NASA Astrophysics Data System (ADS)

    Wang, Xiao-Hui; Shi, Feng; Guo, Hui; Hu, Cang-Lu; Cheng, Hong-Chang; Chang, Ben-Kang; Ren, Ling; Du, Yu-Jie; Zhang, Jun-Ju

    2012-08-01

    A 150-nm-thick GaN photocathode with a Mg doping concentration of 1.6 × 1017 cm-3 is activated by Cs/O in an ultrahigh vacuum chamber, and a quantum efficiency (QE) curve of the negative electron affinity transmission-mode (t-mode) of the GaN photocathode is obtained. The maximum QE reaches 13.0% at 290 nm. According to the t-mode QE equation solved from the diffusion equation, the QE curve is fitted. From the fitting results, the electron escape probability is 0.32, the back-interface recombination velocity is 5 × 104 cm·s-1, and the electron diffusion length is 116 nm. Based on these parameters, the influence of GaN thickness on t-mode QE is simulated. The simulation shows that the optimal thickness of GaN is 90 nm, which is better than the 150-nm GaN.

  6. Penetration resistant barrier

    DOEpatents

    Hoover, William R.; Mead, Keith E.; Street, Henry K.

    1977-01-01

    The disclosure relates to a barrier for resisting penetration by such as hand tools and oxy-acetylene cutting torches. The barrier comprises a layer of firebrick, which is preferably epoxy impregnated sandwiched between inner and outer layers of steel. Between the firebrick and steel are layers of resilient rubber-like filler.

  7. Mechanism of radiative recombination in acceptor-doped bulk GaN crystals

    NASA Astrophysics Data System (ADS)

    Godlewski, M.; Suski, T.; Grzegory, I.; Porowski, S.; Bergman, J. P.; Chen, W. M.; Monemar, B.

    1999-12-01

    Optical and electrical properties of acceptor-doped bulk GaN crystals are discussed. Though introducing Zn and Ca to bulk GaN does not significantly change electron concentration, it results in the appearance of a blue photoluminescence band accompanying the relatively strong yellow band usually present. Highly resistive GaN : Mg crystals are obtained when high amount of Mg is introduced to the Ga melt during high-pressure synthesis. Change of electrical properties of Mg-doped bulk crystals is accompanied by the appearance of a strong blue emission of GaN similar to that in Ca- and Zn-doped crystals. Optically detected magnetic resonance investigations indicate a multi-band character of this blue emission and suggest possible mechanism of compensation in acceptor-doped bulk GaN.

  8. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  9. Morphological evolution and characterization of GaN pyramid arrays fabricated by photo-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Shiying; Xiu, Xiangqian; Xu, Qingjun; Li, Yuewen; Hua, Xuemei; Chen, Peng; Xie, Zili; Liu, Bin; Zhou, Yugang; Han, Ping; Zhang, Rong; Zheng, Youdou

    2016-12-01

    GaN pyramid arrays have been successfully synthesized by selective photo-assisted chemical etching in a K2S2O8/KOH solution. A detailed analysis of time evolution of surface morphology has been conducted, which describes an etching process of GaN pyramids. Room temperature cathodoluminescence images indicate that these pyramids are composed of crystalline GaN surrounding dislocations, which is caused by the greater recombination rate of electrons and holes at dislocation than that of crystalline GaN. The Raman results show a stress relaxation in GaN pyramids compared with unetched GaN. The optical property of both unetched GaN and GaN pyramids has been studied by photoluminescence. The formation mechanism and feature of GaN pyramids are also rationally explained.

  10. Sputter Deposition of Yttrium-Barium Superconductor and Strontium Titanium Oxide Barrier Layer Thin Films

    NASA Astrophysics Data System (ADS)

    Truman, James Kelly

    1992-01-01

    The commercial application of superconducting rm YBa_2Cu_3O_{7 -x} thin films requires the development of deposition methods which can be used to reproducibly deposit films with good superconducting properties on insulating and semiconducting substrates. Sputter deposition is the most popular method to fabricate Y-Ba-Cu-O superconductor thin films, but when used in the standard configuration suffers from a deviation between the compositions of the Y-Ba-Cu-O sputter target and deposited films, which is thought to be primarily due to resputtering of the film by negative ions sputtered from the target. In this study, the negative ions were explicitly identified and were found to consist predominantly O^-. The sputter yield of O^- was found to depend on the Ba compound used in the fabrication of Y -Ba-Cu-O targets and was related to the electronegativity difference between the components. An unreacted mixture of rm Y_2O_3, CuO, and BaF_2 was found to have the lowest O^- yield among targets with Y:Ba:Cu = 1:2:3. The high yield of O^- from rm YBa_2Cu_3O _{7-x} was found to depend on the target temperature and be due to the excess oxygen present. The SIMS negative ion data supported the composition data for sputter-deposited Y-Ba-Cu-O films. Targets using BaF _2 were found to improve the Ba deficiency, the run-to-run irreproducibility and the nonuniformity of the film composition typically found in sputtered Y -Ba-Cu-O films. Superconducting Y-Ba-Cu-O films were formed on SrTiO_3 substrates by post-deposition heat treatment of Y-Ba-Cu-O-F films in humid oxygen. The growth of superconducting rm YBa_2Cu_3O_{7-x}, thin films on common substrates such as sapphire or silicon requires the use of a barrier layer to prevent the deleterious interaction which occurs between Y-Ba-Cu-O films and these substrates. Barrier layers of SrTiO_3 were studied and found to exhibit textured growth with a preferred (111) orientation on (100) Si substrates. However, SrTiO_3 was found to be

  11. Estimating ice particle scattering properties using a modified Rayleigh-Gans approximation

    NASA Astrophysics Data System (ADS)

    Lu, Yinghui; Clothiaux, Eugene E.; Aydin, Kültegin; Verlinde, Johannes

    2014-09-01

    A modification to the Rayleigh-Gans approximation is made that includes self-interactions between different parts of an ice crystal, which both improves the accuracy of the Rayleigh-Gans approximation and extends its applicability to polarization-dependent parameters. This modified Rayleigh-Gans approximation is both efficient and reasonably accurate for particles with at least one dimension much smaller than the wavelength (e.g., dendrites at millimeter or longer wavelengths) or particles with sparse structures (e.g., low-density aggregates). Relative to the Generalized Multiparticle Mie method, backscattering reflectivities at horizontal transmit and receive polarization (HH) (ZHH) computed with this modified Rayleigh-Gans approach are about 3 dB more accurate than with the traditional Rayleigh-Gans approximation. For realistic particle size distributions and pristine ice crystals the modified Rayleigh-Gans approach agrees with the Generalized Multiparticle Mie method to within 0.5 dB for ZHH whereas for the polarimetric radar observables differential reflectivity (ZDR) and specific differential phase (KDP) agreement is generally within 0.7 dB and 13%, respectively. Compared to the A-DDA code, the modified Rayleigh-Gans approximation is several to tens of times faster if scattering properties for different incident angles and particle orientations are calculated. These accuracies and computational efficiencies are sufficient to make this modified Rayleigh-Gans approach a viable alternative to the Rayleigh-Gans approximation in some applications such as millimeter to centimeter wavelength radars and to other methods that assume simpler, less accurate shapes for ice crystals. This method should not be used on materials with dielectric properties much different from ice and on compact particles much larger than the wavelength.

  12. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  13. Colossal internal barrier layer capacitance effect in polycrystalline copper (II) oxide

    NASA Astrophysics Data System (ADS)

    Sarkar, Sudipta; Jana, Pradip Kumar; Chaudhuri, B. K.

    2008-01-01

    Dielectric spectroscopy analysis of the high permittivity (κ˜104) copper (II) oxide (CuO) ceramic shows that the grain contribution plays a major role for the giant-κ value at low temperature, whereas grain boundary (GB) contribution dominates around room temperature and above. Moreover, impedance spectroscopy analysis reveals electrically heterogeneous microstructure in CuO consisting of semiconducting grains and insulating GBs. Finally, the giant dielectric phenomenon exhibited by CuO is attributed to the internal barrier layer (due to GB) capacitance mechanism.

  14. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    NASA Astrophysics Data System (ADS)

    Li, Z. J.; Chen, X. L.; Li, H. J.; Tu, Q. Y.; Yang, Z.; Xu, Y. P.; Hu, B. Q.

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials.

  15. Epitaxy of Polar Oxides and Semiconductors

    NASA Astrophysics Data System (ADS)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  16. Vacancy-hydrogen complexes in ammonothermal GaN

    NASA Astrophysics Data System (ADS)

    Tuomisto, F.; Kuittinen, T.; Zając, M.; Doradziński, R.; Wasik, D.

    2014-10-01

    We have applied positron annihilation spectroscopy to study in-grown vacancy defects in bulk GaN crystals grown by the ammonothermal method. We observe a high concentration of Ga vacancy related defects in n-type samples with varying free electron and oxygen content. The positron lifetimes found in these samples suggest that the Ga vacancies are complexed with hydrogen impurities. The number of hydrogen atoms in each vacancy decreases with increasing free electron concentration and oxygen and hydrogen content. The local vibrational modes observed in infrared absorption support this conclusion. Growth of high-quality ammonothermal GaN single crystals with varying electron concentrations. Identification of defect complexes containing a Ga vacancy and 1 or more hydrogen atoms, and possibly O. These vacancy complexes provide a likely explanation for electrical compensation in ammonothermal GaN.

  17. Method to grow group III-nitrides on copper using passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  18. Photoemission stability of negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Zhang, Junju; Wang, Xiaohui; Yang, Wenzheng; Tang, Weidong; Fu, Xiaoqian; Li, Biao; Chang, Benkang

    2012-11-01

    The stability for reflection-mode GaN photocathode has been investigated by monitoring the photocurrent and the spectral response at room temperature. We watch that the photocurrent of the cathode decays with time in the vacuum system, and compare the spectral response curves after activation and after degradation. The photocurrent decay mechanism for reflection-mode NEA GaN photocathode was studied by the surface model ?GaN (Mg) :Cs ?:O-Cs. The reduction of the effective dipole quantity, which is caused by harmful gases, is the key factor of the photocurrent reduction.

  19. Chromium oxide as a metal diffusion barrier layer: An x-ray absorption fine structure spectroscopy study

    NASA Astrophysics Data System (ADS)

    Ahamad Mohiddon, Md.; Lakshun Naidu, K.; Ghanashyam Krishna, M.; Dalba, G.; Ahmed, S. I.; Rocca, F.

    2014-01-01

    The interaction at the interface between chromium and amorphous Silicon (a-Si) films in the presence of a sandwich layer of chromium oxide is investigated using X-ray absorption fine structure (XAFS) spectroscopy. The oxidized interface was created, in situ, prior to the deposition of a 400 nm tick a-Si layer over a 50 nm tick Cr layer. The entire stack of substrate/metallic Cr/Cr2O3/a-Si was then annealed at temperatures from 300 up to 700 °C. Analysis of the near edge and extended regions of each XAFS spectrum shows that only a small fraction of Cr is able to diffuse through the oxide layer up to 500 °C, while the remaining fraction is buried under the oxide layer in the form of metallic Cr. At higher temperatures, diffusion through the oxide layer is enhanced and the diffused metallic Cr reacts with a-Si to form CrSi2. At 700 °C, the film contains Cr2O3 and CrSi2 without evidence of unreacted metallic Cr. The activation energy and diffusion coefficient of Cr are quantitatively determined in the two temperature regions, one where the oxide acts as diffusion barrier and another where it is transparent to Cr diffusion. It is thus demonstrated that chromium oxide can be used as a diffusion barrier to prevent metal diffusion into a-Si.

  20. Graphene oxide assisted synthesis of GaN nanostructures for reducing cell adhesion.

    PubMed

    Yang, Rong; Zhang, Ying; Li, Jingying; Han, Qiusen; Zhang, Wei; Lu, Chao; Yang, Yanlian; Dong, Hongwei; Wang, Chen

    2013-11-21

    We report a general approach for the synthesis of large-scale gallium nitride (GaN) nanostructures by the graphene oxide (GO) assisted chemical vapor deposition (CVD) method. A modulation effect of GaN nanostructures on cell adhesion has been observed. The morphology of the GaN surface can be controlled by GO concentrations. This approach, which is based on the predictable choice of the ratio of GO to catalysts, can be readily extended to the synthesis of other materials with controllable nanostructures. Cell studies show that GaN nanostructures reduced cell adhesion significantly compared to GaN flat surfaces. The cell-repelling property is related to the nanostructure and surface wettability. These observations of the modulation effect on cell behaviors suggest new opportunities for novel GaN nanomaterial-based biomedical devices. We believe that potential applications will emerge in the biomedical and biotechnological fields.