Sample records for gan buffer layers

  1. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  2. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  3. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  4. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  5. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  6. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  7. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  8. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  9. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  10. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  11. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  12. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  13. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  14. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  15. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  16. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  17. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  18. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  19. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  20. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  1. Buffer transport mechanisms in intentionally carbon doped GaN heterojunction field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uren, Michael J.; Cäsar, Markus; Kuball, Martin

    2014-06-30

    Temperature dependent pulsed and ramped substrate bias measurements are used to develop a detailed understanding of the vertical carrier transport in the buffer layers in a carbon doped GaN power heterojunction field effect transistor. Carbon doped GaN and multiple layers of AlGaN alloy are used in these devices to deliver an insulating and strain relieved buffer with high breakdown voltage capability. However, understanding of the detailed physical mechanism for its operation is still lacking. At the lowest electric fields (<10 MV/m), charge redistribution within the C doped layer is shown to occur by hole conduction in the valence band withmore » activation energy 0.86 eV. At higher fields, leakage between the two-dimensional electron gas and the buffer dominates occurring by a Poole-Frenkel mechanism with activation energy ∼0.65 eV, presumably along threading dislocations. At higher fields still, the strain relief buffer starts to conduct by a field dependent process. Balancing the onset of these leakage mechanisms is essential to allow the build-up of positive rather than negative space charge, and thus minimize bulk-related current-collapse in these devices.« less

  2. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  3. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  4. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  5. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  6. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  7. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  8. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  9. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  10. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  11. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  12. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  13. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I.

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffersmore » also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.« less

  14. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  15. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  17. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  18. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  19. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  20. Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission

    NASA Astrophysics Data System (ADS)

    Young, Erin C.; Wu, Feng; Romanov, Alexey E.; Haeger, Daniel A.; Nakamura, Shuji; Denbaars, Steven P.; Cohen, Daniel A.; Speck, James S.

    2012-10-01

    In this Letter, we report on the growth and properties of relaxed, compositionally graded AlxGa1 - xN buffer layers on freestanding semipolar (202¯1) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 106/cm2 as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.

  1. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M.

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reducedmore » channel thermal conductivity must be considered.« less

  2. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  3. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  4. Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, Erin C.; Wu Feng; Haeger, Daniel A.

    In this Letter, we report on the growth and properties of relaxed, compositionally graded Al{sub x}Ga{sub 1-x}N buffer layers on freestanding semipolar (2021) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 10{sup 6}/cm{sup 2} as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.

  5. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  6. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  7. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  8. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  9. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  10. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    PubMed

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  11. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  12. Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on the Characteristics of Nitrogen-polar GaN.

    PubMed

    Yang, Fann-Wei; Chen, Yu-Yu; Feng, Shih-Wei; Sun, Qian; Han, Jung

    2016-12-01

    In this study, effects of the thickness of a low temperature (LT) buffer and impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are investigated. By using either a nitridation or thermal annealing step before the deposition of a LT buffer, three N-polar GaN samples with different thicknesses of LT buffer and different impurity incorporations are prepared. It is found that the sample with the thinnest LT buffer and a nitridation step proves to be the best in terms of a fewer impurity incorporations, strong PL intensity, fast mobility, small biaxial strain, and smooth surface. As the temperature increases at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing integral intensity of the band-to-band emission peak. In addition, the thermal annealing of the sapphire substrates may cause more impurity incorporation around the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger yellow luminescence. By using a nitridation step, both a thinner LT buffer and less impurity incorporation are beneficial to obtaining a high quality N-polar GaN.

  13. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  14. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  15. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  16. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  17. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer

    DOEpatents

    Chavarkar, Prashant; Smorchkova, Ioulia P.; Keller, Stacia; Mishra, Umesh; Walukiewicz, Wladyslaw; Wu, Yifeng

    2005-02-01

    A Group III nitride based high electron mobility transistors (HEMT) is disclosed that provides improved high frequency performance. One embodiment of the HEMT comprises a GaN buffer layer, with an Al.sub.y Ga.sub.1-y N (y=1 or y 1) layer on the GaN buffer layer. An Al.sub.x Ga.sub.1-x N (0.ltoreq.x.ltoreq.0.5) barrier layer on to the Al.sub.y Ga.sub.1-y N layer, opposite the GaN buffer layer, Al.sub.y Ga.sub.1-y N layer having a higher Al concentration than that of the Al.sub.x Ga.sub.1-x N barrier layer. A preferred Al.sub.y Ga.sub.1-y N layer has y=1 or y.about.1 and a preferred Al.sub.x Ga.sub.1-x N barrier layer has 0.ltoreq.x.ltoreq.0.5. A 2DEG forms at the interface between the GaN buffer layer and the Al.sub.y Ga.sub.1-y N layer. Respective source, drain and gate contacts are formed on the Al.sub.x Ga.sub.1-x N barrier layer. The HEMT can also comprising a substrate adjacent to the buffer layer, opposite the Al.sub.y Ga.sub.1-y N layer and a nucleation layer between the Al.sub.x Ga.sub.1-x N buffer layer and the substrate.

  18. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as themore » AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.« less

  19. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  20. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  1. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  3. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  4. Doped LZO buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2010-03-23

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the substrate, the biaxially textured buffer layer comprising LZO and a dopant for mitigating metal diffusion through the LZO, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  5. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  6. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  7. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  8. Buffer layer for thin film structures

    DOEpatents

    Foltyn, Stephen R.; Jia, Quanxi; Arendt, Paul N.; Wang, Haiyan

    2006-10-31

    A composite structure including a base substrate and a layer of a mixture of strontium titanate and strontium ruthenate is provided. A superconducting article can include a composite structure including an outermost layer of magnesium oxide, a buffer layer of strontium titanate or a mixture of strontium titanate and strontium ruthenate and a top-layer of a superconducting material such as YBCO upon the buffer layer.

  9. Buffer layer for thin film structures

    DOEpatents

    Foltyn, Stephen R.; Jia, Quanxi; Arendt, Paul N.; Wang, Haiyan

    2010-06-15

    A composite structure including a base substrate and a layer of a mixture of strontium titanate and strontium ruthenate is provided. A superconducting article can include a composite structure including an outermost layer of magnesium oxide, a buffer layer of strontium titanate or a mixture of strontium titanate and strontium ruthenate and a top-layer of a superconducting material such as YBCO upon the buffer layer.

  10. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  11. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  12. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  13. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  15. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  16. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  17. Back contact buffer layer for thin-film solar cells

    DOEpatents

    Compaan, Alvin D.; Plotnikov, Victor V.

    2014-09-09

    A photovoltaic cell structure is disclosed that includes a buffer/passivation layer at a CdTe/Back contact interface. The buffer/passivation layer is formed from the same material that forms the n-type semiconductor active layer. In one embodiment, the buffer layer and the n-type semiconductor active layer are formed from cadmium sulfide (CdS). A method of forming a photovoltaic cell includes the step of forming the semiconductor active layers and the buffer/passivation layer within the same deposition chamber and using the same material source.

  18. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  19. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  20. On buffer layers as non-reflecting computational boundaries

    NASA Technical Reports Server (NTRS)

    Hayder, M. Ehtesham; Turkel, Eli L.

    1996-01-01

    We examine an absorbing buffer layer technique for use as a non-reflecting boundary condition in the numerical simulation of flows. One such formulation was by Ta'asan and Nark for the linearized Euler equations. They modified the flow inside the buffer zone to artificially make it supersonic in the layer. We examine how this approach can be extended to the nonlinear Euler equations. We consider both a conservative and a non-conservative form modifying the governing equations in the buffer layer. We compare this with the case that the governing equations in the layer are the same as in the interior domain. We test the effectiveness of these buffer layers by a simulation of an excited axisymmetric jet based on a nonlinear compressible Navier-Stokes equations.

  1. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  2. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  3. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  4. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  5. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  6. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  7. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  8. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  9. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  10. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  11. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  12. Catalytic Activation of Mg-Doped GaN by Hydrogen Desorption Using Different Metal Thin Layers

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Wang, Junxi; Liu, Naixin; Lu, Hongxi; Zeng, Yiping; Wang, Guohong; Li, Jinmin

    2010-10-01

    The annealing of Mg-doped GaN with Pt and Mo layers has been found to effectively improve the hole concentration of such material by more than 2 times as high as those in the same material without metal. Compared with the Ni and Mo catalysts, Pt showed good activation effect for hydrogen desorption and ohmic contact to the Ni/Au electrode. Despite the weak hydrogen desorption, Mo did not diffuse into the GaN epilayer in the annealing process, thus suppressing the carrier compensation phenomenon with respect to Ni and Pt depositions, which resulted in the high activation of Mg acceptors. For the GaN activated with the Ni, Pt, and Mo layers, the blue emission became dominant, followed by a clear peak redshift and the degradation of photoluminescence signal when compared with that of GaN without metal.

  13. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  14. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  15. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  16. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  17. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  18. Thin film photovoltaic devices with a minimally conductive buffer layer

    DOEpatents

    Barnes, Teresa M.; Burst, James

    2016-11-15

    A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.

  19. An AlGaN/GaN high-electron-mobility transistor with an AlN sub-buffer layer

    NASA Astrophysics Data System (ADS)

    Shealy, J. R.; Kaper, V.; Tilak, V.; Prunty, T.; Smart, J. A.; Green, B.; Eastman, L. F.

    2002-04-01

    The AlGaN/GaN high-electron-mobility transistor requires a thermally conducting, semi-insulating substrate to achieve the best possible microwave performance. The semi-insulating SiC substrate is currently the best choice for this device technology; however, fringing fields which penetrate the GaN buffer layer at pinch-off introduce significant substrate conduction at modest drain bias if channel electrons are not well confined to the nitride structure. The addition of an insulating AlN sub-buffer on the semi-insulating SiC substrate suppresses this parasitic conduction, which results in dramatic improvements in the AlGaN/GaN transistor performance. A pronounced reduction in both the gate-lag and the gate-leakage current are observed for structures with the AlN sub-buffer layer. These structures operate up to 50 V drain bias under drive, corresponding to a peak voltage of 80 V, for a 0.30 µm gate length device. The devices have achieved high-efficiency operation at 10 GHz (>70% power-added efficiency in class AB mode at 15 V drain bias) and the highest output power density observed thus far (11.2 W mm-1). Large-periphery devices (1.5 mm gate width) deliver 10 W (continuous wave) of maximum saturated output power at 10 GHz. The growth, processing, and performance of these devices are briefly reviewed.

  20. AlGaSb Buffer Layers for Sb-Based Transistors

    DTIC Science & Technology

    2010-01-01

    transistor ( HEMT ), molecular beam epitaxy (MBE), field-effect transistor (FET), buffer layer INTRODUCTION High-electron-mobility transistors ( HEMTs ) with InAs...monolayers/s. The use of thinner buffer layers reduces molecular beam epitaxial growth time and source consumption. The buffer layers also exhibit...source. In addition, some of the flux from an Sb cell in a molecular beam epitaxy (MBE) system will deposit near the mouth of the cell, eventually

  1. Rare earth zirconium oxide buffer layers on metal substrates

    DOEpatents

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  2. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    NASA Astrophysics Data System (ADS)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  3. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shuo-Wei; Epistar Corporation, Hsinchu 300, Taiwan; Li, Heng

    The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs) with ex-situ sputtered physical vapor deposition (PVD) aluminum nitride (AlN) nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study showsmore » the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.« less

  4. Mitigation of substrate defects in reticles using multilayer buffer layers

    DOEpatents

    Mirkarimi, Paul B.; Bajt, Sasa; Stearns, Daniel G.

    2001-01-01

    A multilayer film is used as a buffer layer to minimize the size of defects on a reticle substrate prior to deposition of a reflective coating on the substrate. The multilayer buffer layer deposited intermediate the reticle substrate and the reflective coating produces a smoothing of small particles and other defects on the reticle substrate. The reduction in defect size is controlled by surface relaxation during the buffer layer growth process and by the degree of intermixing and volume contraction of the materials at the multilayer interfaces. The buffer layers are deposited at near-normal incidence via a low particulate ion beam sputtering process. The growth surface of the buffer layer may also be heated by a secondary ion source to increase the degree of intermixing and improve the mitigation of defects.

  5. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  6. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-10-05

    An article including a substrate, at least one intermediate layer upon the surface of the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the at least one intermediate layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected I.sub.c 's of over 200 Amperes across a sample 1 cm wide.

  7. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-06-29

    An article including a substrate, a layer of an inert oxide material upon the surface of the substrate, a layer of an amorphous oxide or oxynitride material upon the inert oxide material layer, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the amorphous oxide material layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected IC's of over 200 Amperes across a sample 1 cm wide.

  8. Propagation of misfit dislocations from buffer/Si interface into Si

    DOEpatents

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  9. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  10. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  11. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  12. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  13. Buffer layers for REBCO films for use in superconducting devices

    DOEpatents

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  14. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  15. Method of depositing buffer layers on biaxially textured metal substrates

    DOEpatents

    Beach, David B.; Morrell, Jonathan S.; Paranthaman, Mariappan; Chirayil, Thomas; Specht, Eliot D.; Goyal, Amit

    2002-08-27

    A laminate article comprises a substrate and a biaxially textured (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  16. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  17. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  18. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  19. Leakage effects in n-GaAs MESFET with n-GaAs buffer layer

    NASA Technical Reports Server (NTRS)

    Wang, Y. C.; Bahrami, M.

    1983-01-01

    Whereas improvement of the interface between the active layer and the buffer layer has been demonstrated, the leakage effects can be important if the buffer layer resistivity is not sufficiently high and/or the buffer layer thickness is not sufficiently small. It was found that two buffer leakage currents exist from the channel under the gate to the source and from drain to the channel in addition to the buffer leakage resistance between drain and source. It is shown that for a 1 micron gate-length n-GaAs MESFET, if the buffer layer resistivity is 12 OHM-CM and the buffer layer thickness h is 2 microns, the performance of the device degrades drastically. It is suggested that h should be below 2 microns.

  20. Optimization of GaN Nanorod Growth Conditions for Coalescence Overgrowth

    DTIC Science & Technology

    2016-02-04

    GaN core and QW deposition, an NR LED array can be implemented by covering the NRs with a transparent conductor . It has been demonstrated that the...with a transparent conductor . It has been demonstrated that the optical and electrical performances of an NR LED array can be comparable to those of a...a process of buffered oxide etching for removing this SiNx layer on the sidewalls is required before sidewall QW deposition. Nevertheless, the

  1. Doped Y.sub.2O.sub.3 buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2007-08-21

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the metallic substrate, the biaxially textured buffer layer comprising Y.sub.2O.sub.3 and a dopant for blocking cation diffusion through the Y.sub.2O.sub.3, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  2. Stress related aspects of GaN technology physics

    NASA Astrophysics Data System (ADS)

    Suhir, Ephraim

    2015-03-01

    Simple, easy-to-use and physically meaningful analytical models have been developed for the assessment of the combined effect of the lattice and thermal mismatch on the induced stresses in an elongated bi-material assembly, as well as on the thermal mismatch on the thermal stresses in a tri-material assembly, in which the lattice mismatched stresses are eliminated in one way or another. This could be done, e.g., by using a polished or an etched substrate. The analysis is carried out in application to Gallium Nitride (GaN)-Silicon Carbide (SiC) and GaN-diamond (C) filmsubstrate assemblies. The calculated data are obtained, assuming that no annealing or other stress reduction means is applied. The data agree reasonably well with the reported (available) in-situ measurements. The most important conclusion from the computed data is that even if a reasonably good lattice match takes place (as, e.g., in the case of a GaN film fabricated on a SiC substrate, when the mismatch strain is only about 3%) and, in addition, the temperature change (from the fabrication/growth temperature to the operation temperature) is significant (as high as 1000 °C), the thermal stresses are still considerably lower than the lattice-mismatch stresses. Although there are structural and technological means for further reduction of the lattice-mismatch stresses (e.g., by high temperature annealing or by providing one or more buffering layers, or by using patterned or porous substrates), there is still a strong incentive to eliminate completely the lattice mismatch stresses. This seems to be indeed possible, if polished or otherwise flattened (e.g., chemically etched) substrates and sputter deposited GaN film is employed. In such a case only thermal stresses remain, but even these could be reduced, if necessary, by using compliant buffering layers, including layers of variable compliance, or by introducing variable compliance into the properly engineered substrate. In any event, it is expected

  3. Selective excitation of window and buffer layers in chalcopyrite devices and modules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glynn, Stephen; Repins, Ingrid L.; Burst, James M.

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects ofmore » the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. Furthermore, this provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.« less

  4. Selective excitation of window and buffer layers in chalcopyrite devices and modules

    DOE PAGES

    Glynn, Stephen; Repins, Ingrid L.; Burst, James M.; ...

    2018-02-02

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects ofmore » the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. Furthermore, this provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.« less

  5. Enhanced adhesion for LIGA microfabrication by using a buffer layer

    DOEpatents

    Bajikar, Sateesh S.; De Carlo, Francesco; Song, Joshua J.

    2004-01-27

    The present invention is an improvement on the LIGA microfabrication process wherein a buffer layer is applied to the upper or working surface of a substrate prior to the placement of a resist onto the surface of the substrate. The buffer layer is made from an inert low-Z material (low atomic weight), a material that absorbs secondary X-rays emissions from the substrate that are generated from the substrate upon exposure to a primary X-rays source. Suitable materials for the buffer layer include polyamides and polyimide. The preferred polyimide is synthesized form pyromellitic anhydride and oxydianiline (PMDA-ODA).

  6. Enhanced adhesion for LIGA microfabrication by using a buffer layer

    DOEpatents

    Bajikar, Sateesh S.; De Carlo, Francesco; Song, Joshua J.

    2001-01-01

    The present invention is an improvement on the LIGA microfabrication process wherein a buffer layer is applied to the upper or working surface of a substrate prior to the placement of a resist onto the surface of the substrate. The buffer layer is made from an inert low-Z material (low atomic weight), a material that absorbs secondary X-rays emissions from the substrate that are generated from the substrate upon exposure to a primary X-rays source. Suitable materials for the buffer layer include polyamides and polyimide. The preferred polyimide is synthesized form pyromellitic anhydride and oxydianiline (PMDA-ODA).

  7. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  8. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  9. Matching characteristics of different buffer layers with VO2 thin films

    NASA Astrophysics Data System (ADS)

    Yang, Kai; Zhang, Dongping; Liu, Yi; Guan, Tianrui; Qin, Xiaonan; Zhong, Aihua; Cai, Xingmin; Fan, Ping; Lv, Weizhong

    2016-10-01

    VO2 thin films were fabricated by reactive DC magnetron sputtering on different buffer layers of MgF2, Al2O3 and TiO2, respectively. The crystallinity and orientation relationship, thickness of VO2 thin films, atoms vibrational modes, optical and electrical property, surface morphology of films were characterized by X-ray diffraction, Raman scattering microscopy, step profiler, spectrophotometer, four-probe technique, and scanning electron microscopy, respectively. XRD results investigated that the films have preferential crystalline planes VO2 (011). The crystallinity of VO2 films grown on TiO2 buffer layers are superior to VO2 directly deposited on soda-lime glass. The Raman bands of the VO2 films correspond to an Ag symmetry mode of VO2 (M). The sample prepared on 100nm TiO2 buffer layer appears nanorods structure, and exhibits remarkable solar energy modulation ability as high as 5.82% in full spectrum and 23% in near infrared spectrum. Cross-sectional SEM image of the thin films samples indicate that MgF2 buffer layer has clear interface with VO2 layer. But there are serious interdiffusion phenomenons between Al2O3, TiO2 buffer layer with VO2 layer.

  10. Current isolating epitaxial buffer layers for high voltage photodiode array

    DOEpatents

    Morse, Jeffrey D.; Cooper, Gregory A.

    2002-01-01

    An array of photodiodes in series on a common semi-insulating substrate has a non-conductive buffer layer between the photodiodes and the semi-insulating substrate. The buffer layer reduces current injection leakage between the photodiodes of the array and allows optical energy to be converted to high voltage electrical energy.

  11. Simulation study on single event burnout in linear doping buffer layer engineered power VDMOSFET

    NASA Astrophysics Data System (ADS)

    Yunpeng, Jia; Hongyuan, Su; Rui, Jin; Dongqing, Hu; Yu, Wu

    2016-02-01

    The addition of a buffer layer can improve the device's secondary breakdown voltage, thus, improving the single event burnout (SEB) threshold voltage. In this paper, an N type linear doping buffer layer is proposed. According to quasi-stationary avalanche simulation and heavy ion beam simulation, the results show that an optimized linear doping buffer layer is critical. As SEB is induced by heavy ions impacting, the electric field of an optimized linear doping buffer device is much lower than that with an optimized constant doping buffer layer at a given buffer layer thickness and the same biasing voltages. Secondary breakdown voltage and the parasitic bipolar turn-on current are much higher than those with the optimized constant doping buffer layer. So the linear buffer layer is more advantageous to improving the device's SEB performance. Project supported by the National Natural Science Foundation of China (No. 61176071), the Doctoral Fund of Ministry of Education of China (No. 20111103120016), and the Science and Technology Program of State Grid Corporation of China (No. SGRI-WD-71-13-006).

  12. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  13. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  14. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  15. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  16. High Temperature Annealing of MBE-grown Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Contreras, S.; Konczewicz, L.; Peyre, H.; Juillaguet, S.; Khalfioui, M. Al; Matta, S.; Leroux, M.; Damilano, B.; Brault, J.

    2017-06-01

    In this report, are shown the results of high temperature resistivity and Hall Effect studies of Mg-doped GaN epilayers. The samples studied were grown on (0001) (c-plane) sapphire by molecular beam epitaxy and 0.5 μm GaN:Mg layers have been achieved on low temperature buffers of GaN (30 nm) and AlN ( 150 nm). The experiments were carried out in the temperature range from 300 K up to 900 K. Up to about 870 K a typical thermally activated conduction process has been observed with the activation energy value EA = 215 meV. However, for higher temperatures, an annealing effect is observed in all the investigated samples. The increase of the free carrier concentration as a function of time leads to an irreversible decrease of sample resistivity of more than 60%.

  17. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  18. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  19. Suppression of the self-heating effect in GaN HEMT by few-layer graphene heat spreading elements

    NASA Astrophysics Data System (ADS)

    Volcheck, V. S.; Stempitsky, V. R.

    2017-11-01

    Self-heating has an adverse effect on characteristics of gallium nitride (GaN) high electron mobility transistors (HEMTs). Various solutions to the problem have been proposed, however, a temperature rise due to dissipated electrical power still hinders the production of high power and high speed GaN devices. In this paper, thermal management of GaN HEMT via few-layer graphene (FLG) heat spreading elements is investigated. It is shown that integration of the FLG elements on top of the device structure considerably reduces the maximum temperature and improves the DC and small signal AC performance.

  20. Characterization of Cu buffer layers for growth of L10-FeNi thin films

    NASA Astrophysics Data System (ADS)

    Mizuguchi, M.; Sekiya, S.; Takanashi, K.

    2010-05-01

    A Cu(001) layer was fabricated on a Au(001) layer to investigate the use of Cu as a buffer layer for growing L10-FeNi thin films. The epitaxial growth of a Cu buffer layer was observed using reflection high-energy electron diffraction. The flatness of the layer improved drastically with an increase in the substrate temperature although the layer was an alloy (AuCu3). An FeNi thin film was epitaxially grown on the AuCu3 buffer layer by alternate monatomic layer deposition and the formation of an L10-FeNi ordered alloy was expected. The AuCu3 buffer layer is thus a promising candidate material for the growth of L10-FeNi thin films.

  1. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Polarity determination of polar and semipolar (112¯2) InN and GaN layers by valence band photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Skuridina, D.; Dinh, D. V.; Lacroix, B.; Ruterana, P.; Hoffmann, M.; Sitar, Z.; Pristovsek, M.; Kneissl, M.; Vogt, P.

    2013-11-01

    We demonstrate that the polarity of polar (0001), (0001¯) and semipolar (112¯2) InN and GaN thin layers can be determined by valence band X-ray photoemission spectroscopy (XPS). The polarity of the layers has been confirmed by wet etching and convergent beam electron diffraction. Unlike these two techniques, XPS is a non-destructive method and unaffected by surface oxidation or roughness. Different intensities of the valence band states in spectra recorded by using AlKα X-ray radiation are observed for N-polar and group-III-polar layers. The highest intensity of the valence band state at ≈3.5 eV for InN and ≈5.2 eV for GaN correlates with the group-III polarity, while the highest intensity at ≈6.7 eV for InN and ≈9.5 eV for GaN correlates with the N-polarity. The difference between the peaks for the group-III- and N-polar orientations was found to be statistically significant at the 0.05 significance level. The polarity of semipolar (112¯2) InN and GaN layers can be determined by recording valence band photoelectrons emitted along the [000 ± 1] direction.

  3. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2005-10-18

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  4. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2003-09-09

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  5. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  6. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  7. MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2001-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  8. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liliental-Weber, Zuzanna

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  9. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE PAGES

    Liliental-Weber, Zuzanna

    2014-09-08

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  10. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  11. Optical and structural characteristics of high indium content InGaN/GaN multi-quantum wells with varying GaN cap layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, J.; Zhao, D. G., E-mail: dgzhao@red.semi.ac.cn; Jiang, D. S.

    2015-02-07

    The optical and structural properties of InGaN/GaN multi-quantum wells (MQWs) with different thicknesses of low temperature grown GaN cap layers are investigated. It is found that the MQW emission energy red-shifts and the peak intensity decreases with increasing GaN cap layer thickness, which may be partly caused by increased floating indium atoms accumulated at quantum well (QW) surface. They will result in the increased interface roughness, higher defect density, and even lead to a thermal degradation of QW layers. An extra growth interruption introduced before the growth of GaN cap layer can help with evaporating the floating indium atoms, andmore » therefore is an effective method to improve the optical properties of high indium content InGaN/GaN MQWs.« less

  12. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  13. Critical CuI buffer layer surface density for organic molecular crystal orientation change

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Kwangseok; Kim, Jong Beom; Lee, Dong Ryeol, E-mail: drlee@ssu.ac.kr

    We have determined the critical surface density of the CuI buffer layer inserted to change the preferred orientation of copper phthalocyanine (CuPc) crystals grown on the buffer layer. X-ray reflectivity measurements were performed to obtain the density profiles of the buffer layers and out-of-plane and 2D grazing-incidence X-ray diffraction measurements were performed to determine the preferred orientations of the molecular crystals. Remarkably, it was found that the preferred orientation of the CuPc film is completely changed from edge-on (1 0 0) to face-on (1 1 −2) by a CuI buffer layer with a very low surface density, so low thatmore » a large proportion of the substrate surface is bare.« less

  14. Buffer layers on biaxially textured metal substrates

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2001-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  15. Optical losses in p-type layers of GaN ridge waveguides in the IR region

    NASA Astrophysics Data System (ADS)

    Westreich, Ohad; Katz, Moti; Atar, Gil; Paltiel, Yossi; Sicron, Noam

    2017-07-01

    Optical losses in c-plane (0001) GaN ridge waveguides, containing Mg-doped layers, were measured at 1064 nm, using the Fabry-Perot method. The losses increase linearly with the modal content of the p-layer, indicating that the absorption in these waveguides is dominated by p-layer absorption. The p-layer absorption is strongly anisotropic with E⊥c losses 4 times higher than E∥c. The absorption is temperature independent between 10 °C and 60 °C, supporting the possibility that it is related to Mg-bound holes.

  16. Method of deforming a biaxially textured buffer layer on a textured metallic substrate and articles therefrom

    DOEpatents

    Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    The present invention provides methods and biaxially textured articles having a deformed epitaxial layer formed therefrom for use with high temperature superconductors, photovoltaic, ferroelectric, or optical devices. A buffer layer is epitaxially deposited onto biaxially-textured substrates and then mechanically deformed. The deformation process minimizes or eliminates grooves, or other irregularities, formed on the buffer layer while maintaining the biaxial texture of the buffer layer. Advantageously, the biaxial texture of the buffer layer is not altered during subsequent heat treatments of the deformed buffer. The present invention provides mechanical densification procedures which can be incorporated into the processing of superconducting films through the powder deposit or precursor approaches without incurring unfavorable high-angle grain boundaries.

  17. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  18. Buffer layer between a planar optical concentrator and a solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solano, Manuel E.; Barber, Greg D.; Department of Chemistry, Pennsylvania State University, University Park, PA 16802

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structuremore » increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.« less

  19. Buffer Layer Effects on Tandem InGaAs TPV Devices

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Wehrer, Rebecca J.; Maurer, William F.

    2004-01-01

    Single junction indium gallium arsenide (InGaAs) based TPV devices have demonstrated efficiencies in excess of 20% at radiator temperatures of 1058 C. Modeling suggests that efficiency improvements in single bandgap devices should continue although they will eventually plateau. One approach for extending efficiencies beyond the single bandgap limit is to follow the technique taken in the solar cell field, namely tandem TPV cells. Tandem photovoltaic devices are traditionally composed of cells of decreasing bandgap, connected electrically and optically in series. The incident light impinges upon the highest bandgap first. This device acts as a sieve, absorbing the high-energy photons, while allowing the remainder to pass through to the underlying cell(s), and so on. Tandem devices reduce the energy lost to overexcitation as well as reducing the current density (Jsc). Reduced Jsc results in lower resistive losses and enables the use of thinner and lower doped lateral current conducting layers as well as a higher pitch grid design. Fabricating TPV tandem devices utilizing InGaAs for all of the component cells in a two cell tandem necessitates the inclusion of a buffer layer in-between the high bandgap device (In0.53 Ga0.47As - 0.74eV) and the low bandgap device (In0.66Ga0.34As - 0.63eV) to accommodate the approximately 1% lattice strain generated due to the change in InGaAs composition. To incorporate only a single buffer layer structure, we have investigated the use of the indium phosphide (InP) substrate as a superstrate. Thus the high-bandgap, lattice- matched device is deposited first, followed by the buffer structure and the low-bandgap cell. The near perfect transparency of the high bandgap (1.35eV) iron-doped InP permits the device to be oriented such that the light enters through the substrate. In this paper we examine the impact of the buffer layer on the underlying lattice-matched InGaAs device. 0.74eV InGaAs devices were produced in a variety of

  20. Tunneling Injection and Exciton Diffusion of White Organic Light-Emitting Diodes with Composed Buffer Layers

    NASA Astrophysics Data System (ADS)

    Yang, Su-Hua; Wu, Jian-Ping; Huang, Tao-Liang; Chung, Bin-Fong

    2018-02-01

    Four configurations of buffer layers were inserted into the structure of a white organic light emitting diode, and their impacts on the hole tunneling-injection and exciton diffusion processes were investigated. The insertion of a single buffer layer of 4,4'-bis(carbazol-9-yl)biphenyl (CBP) resulted in a balanced carrier concentration and excellent color stability with insignificant chromaticity coordinate variations of Δ x < 0.023 and Δ y < 0.023. A device with a 2,9-Dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP) buffer layer was beneficial for hole tunneling to the emission layer, resulting in a 1.45-fold increase in current density. The tunneling of holes and the diffusion of excitons were confirmed by the preparation of a dual buffer layer of CBP:tris-(phenylpyridine)-iridine (Ir(ppy)3)/BCP. A maximum current efficiency of 12.61 cd/A with a luminance of 13,850 cd/m2 was obtained at 8 V when a device with a dual-buffer layer of CBP:6 wt.% Ir(ppy)3/BCP was prepared.

  1. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Bagnall, Kevin R.; Wang, Evelyn N.

    2016-06-01

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approach has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E2 high and A1 (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart from the inverse

  2. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bagnall, Kevin R.; Wang, Evelyn N.

    2016-06-15

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approachmore » has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E{sub 2} high and A{sub 1} (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart

  3. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  4. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  5. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  6. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  7. Layer-based buffer aware rate adaptation design for SHVC video streaming

    NASA Astrophysics Data System (ADS)

    Gudumasu, Srinivas; Hamza, Ahmed; Asbun, Eduardo; He, Yong; Ye, Yan

    2016-09-01

    This paper proposes a layer based buffer aware rate adaptation design which is able to avoid abrupt video quality fluctuation, reduce re-buffering latency and improve bandwidth utilization when compared to a conventional simulcast based adaptive streaming system. The proposed adaptation design schedules DASH segment requests based on the estimated bandwidth, dependencies among video layers and layer buffer fullness. Scalable HEVC video coding is the latest state-of-art video coding technique that can alleviate various issues caused by simulcast based adaptive video streaming. With scalable coded video streams, the video is encoded once into a number of layers representing different qualities and/or resolutions: a base layer (BL) and one or more enhancement layers (EL), each incrementally enhancing the quality of the lower layers. Such layer based coding structure allows fine granularity rate adaptation for the video streaming applications. Two video streaming use cases are presented in this paper. The first use case is to stream HD SHVC video over a wireless network where available bandwidth varies, and the performance comparison between proposed layer-based streaming approach and conventional simulcast streaming approach is provided. The second use case is to stream 4K/UHD SHVC video over a hybrid access network that consists of a 5G millimeter wave high-speed wireless link and a conventional wired or WiFi network. The simulation results verify that the proposed layer based rate adaptation approach is able to utilize the bandwidth more efficiently. As a result, a more consistent viewing experience with higher quality video content and minimal video quality fluctuations can be presented to the user.

  8. Buffer layer enhanced stability of sodium-ion storage

    NASA Astrophysics Data System (ADS)

    Wang, Xusheng; Yang, Zhanhai; Wang, Chao; Chen, Dong; Li, Rui; Zhang, Xinxiang; Chen, Jitao; Xue, Mianqi

    2017-11-01

    Se-Se buffer layers are introduced into tin sequences as SnSe2 single crystal to enhance the cycling stability for long-term sodium-ion storage by blazing a trail of self-defence strategy to structural pulverization especially at high current density. Specifically, under half-cell test, the SnSe2 electrodes could yield a high discharge capacity of 345 mAh g-1 after 300 cycles at 1 A g-1 and a high discharge capacity of 300 mAh g-1 after 2100 cycles at 5 A g-1 with stable coulombic efficiency and no capacity fading. Even with the ultrafast sodium-ion storage at 10 A g-1, the cycling stability still makes a positive response and a high discharge capacity of 221 mAh g-1 is demonstrated after 2700 cycles without capacity fading. The full-cell test for the SnSe2 electrodes also demonstrates the superior cycling stability. The flexible and tough Se-Se buffer layers are favourable to accommodate the sodium-ion intercalation process, and the autogenous Na2Se layers could confine the structural pulverization of further sodiated tin sequences by the slip along the Na2Se-NaxSn interfaces.

  9. Luminescence from defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Morkoç, H.

    2006-04-01

    We briefly review the luminescence properties of defects in GaN and focus on the most interesting defects. In particular, the blue luminescence band peaking at about 3 eV is assigned to different defects and even different types of transitions in undoped, Zn-, C-, and Mg-doped GaN. Another omnipresent luminescence band, the yellow luminescence band may have different origin in nearly dislocation-free freestanding GaN templates, undoped thin layers, and carbon-doped GaN. The Y4 and Y7 lines are caused by recombination at unidentified point defects captured by threading edge dislocations.

  10. Preparation and Optoelectronic Characteristics of ZnO/CuO-Cu2O Complex Inverse Heterostructure with GaP Buffer for Solar Cell Applications

    PubMed Central

    Hsu, Chih-Hung; Chen, Lung-Chien; Lin, Yi-Feng

    2013-01-01

    This study reports the optoelectronic characteristics of ZnO/GaP buffer/CuO-Cu2O complex (COC) inverse heterostructure for solar cell applications. The GaP and COC layers were used as buffer and absorber in the cell structure, respectively. An energy gap widening effect and CuO whiskers were observed as the copper (Cu) layer was exerted under heat treatment for oxidation at 500 °C for 10 min, and arose from the center of the Cu2O rods. For preparation of the 30 nm-thick GaP buffer by sputtering from GaP target, as the nitrogen gas flow rate increased from 0 to 2 sccm, the transmittance edge of the spectra demonstrated a blueshift form 2.24 to 3.25 eV. Therefore, the layer can be either GaP, GaNP, or GaN by changing the flow rate of nitrogen gas. PMID:28788341

  11. Final Report: Rational Design of Wide Band Gap Buffer Layers for High-Efficiency Thin-Film Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lordi, Vincenzo

    The main objective of this project is to enable rational design of wide band gap buffer layer materials for CIGS thin-film PV by building understanding of the correlation of atomic-scale defects in the buffer layer and at the buffer/absorber interface with device electrical properties. Optimized wide band gap buffers are needed to reduce efficiency loss from parasitic absorption in the buffer. The approach uses first-principles materials simulations coupled with nanoscale analytical electron microscopy as well as device electrical characterization. Materials and devices are produced by an industrial partner in a manufacturing line to maximize relevance, with the goal of enablingmore » R&D of new buffer layer compositions or deposition processes to push device efficiencies above 21%. Cadmium sulfide (CdS) is the reference material for analysis, as the prototypical high-performing buffer material.« less

  12. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    PubMed

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  13. Threading Dislocations in InGaAs/GaAs (001) Buffer Layers for Metamorphic High Electron Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Song, Yifei; Kujofsa, Tedi; Ayers, John E.

    2018-07-01

    In order to evaluate various buffer layers for metamorphic devices, threading dislocation densities have been calculated for uniform composition In x Ga1- x As device layers deposited on GaAs (001) substrates with an intermediate graded buffer layer using the L MD model, where L MD is the average length of misfit dislocations. On this basis, we compare the relative effectiveness of buffer layers with linear, exponential, and S-graded compositional profiles. In the case of a 2 μm thick buffer layer linear grading results in higher threading dislocation densities in the device layer compared to either exponential or S-grading. When exponential grading is used, lower threading dislocation densities are obtained with a smaller length constant. In the S-graded case, lower threading dislocation densities result when a smaller standard deviation parameter is used. As the buffer layer thickness is decreased from 2 μm to 0.1 μm all of the above effects are diminished, and the absolute threading dislocation densities increase.

  14. Method for making MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2002-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  15. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  16. Photovoltaic devices comprising zinc stannate buffer layer and method for making

    DOEpatents

    Wu, Xuanzhi; Sheldon, Peter; Coutts, Timothy J.

    2001-01-01

    A photovoltaic device has a buffer layer zinc stannate Zn.sub.2 SnO.sub.4 disposed between the semiconductor junction structure and the transparent conducting oxide (TCO) layer to prevent formation of localized junctions with the TCO through a thin window semiconductor layer, to prevent shunting through etched grain boundaries of semiconductors, and to relieve stresses and improve adhesion between these layers.

  17. The effect of the MgO buffer layer thickness on magnetic anisotropy in MgO/Fe/Cr/MgO buffer/MgO(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozioł-Rachwał, Anna, E-mail: a.koziolrachwal@aist.go.jp; AGH University of Science and Technology, Faculty of Physics and Applied Computer Science, al. Mickiewicza 30, 30-059 Kraków; Nozaki, Takayuki

    2016-08-28

    The relationship between the magnetic properties and MgO buffer layer thickness d was studied in epitaxial MgO/Fe(t)/Cr/MgO(d) layers grown on MgO(001) substrate in which the Fe thickness t ranged from 0.4 nm to 1.1 nm. For 0.4 nm ≤ t ≤ 0.7 nm, a non-monotonic coercivity dependence on the MgO buffer thickness was shown by perpendicular magneto-optic Kerr effect magnetometry. For thicker Fe films, an increase in the buffer layer thickness resulted in a spin reorientation transition from perpendicular to the in-plane magnetization direction. Possible origins of these unusual behaviors were discussed in terms of the suppression of carbon contamination at the Fe surface and changes inmore » the magnetoelastic anisotropy in the system. These results illustrate a method to control magnetic anisotropy in MgO/Fe/Cr/MgO(d) via an appropriate choice of MgO buffer layer thickness d.« less

  18. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan; Goyal, Amit; Barnett, Scott A.; Kim, Ilwon; Kroeger, Donald M.

    2004-08-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metal and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layers. In some embodiments the article further comprises electromagnetic devices which may be super conducting properties.

  19. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  20. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  1. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  2. Conductivity based on selective etch for GaN devices and applications thereof

    DOEpatents

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  3. Strained layer relaxation effect on current crowding and efficiency improvement of GaN based LED

    NASA Astrophysics Data System (ADS)

    Aurongzeb, Deeder

    2012-02-01

    Efficiency droop effect of GaN based LED at high power and high temperature is addressed by several groups based on career delocalization and photon recycling effect(radiative recombination). We extend the previous droop models to optical loss parameters. We correlate stained layer relaxation at high temperature and high current density to carrier delocalization. We propose a third order model and show that Shockley-Hall-Read and Auger recombination effect is not enough to account for the efficiency loss. Several strained layer modification scheme is proposed based on the model.

  4. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    NASA Astrophysics Data System (ADS)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  5. Low-Cd CIGS solar cells made with a hybrid CdS/Zn(O,S) buffer layer

    DOE PAGES

    Garris, Rebekah L.; Mansfield, Lorelle M.; Egaas, Brian; ...

    2016-10-27

    In Cu(In,Ga)Se2 (CIGS) solar cells, CdS and Zn(O,S) buffer layers were compared with a hybrid buffer layer consisting of thin CdS followed Zn(O,S). We explore the physics of this hybrid layer that combines the standard (Cd) approach with the alternative (Zn) approach in the pursuit to unlock further potential for CIGS technology. CdS buffer development has shown optimal interface properties, whereas Zn(O,S) buffer development has shown increased photocurrent. Although a totally Cd-free solar module is more marketable, the retention of a small amount of Cd can be beneficial to achieve optimum junction properties. As long as the amount of Cdmore » is reduced to less than 0.01% by weight, the presence of Cd does not violate the hazardous substance restrictions of the European Union (EU). We estimate the amount of Cd allowed in the EU for CIGS on both glass and stainless steel substrates, and we show that reducing Cd becomes increasingly important as substrate weights decrease. As a result, this hybrid buffer layer had reduced Cd content and a wider space charge region, while achieving equal or better solar cell performance than buffer layers of either CdS or Zn(O,S) alone.« less

  6. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery

    NASA Astrophysics Data System (ADS)

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-05-01

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a

  7. Co-adsorption of water and oxygen on GaN: Effects of charge transfer and formation of electron depletion layer.

    PubMed

    Wang, Qi; Puntambekar, Ajinkya; Chakrapani, Vidhya

    2017-09-14

    Species from ambient atmosphere such as water and oxygen are known to affect electronic and optical properties of GaN, but the underlying mechanism is not clearly known. In this work, we show through careful measurement of electrical resistivity and photoluminescence intensity under various adsorbates that the presence of oxygen or water vapor alone is not sufficient to induce electron transfer to these species. Rather, the presence of both water and oxygen is necessary to induce electron transfer from GaN that leads to the formation of an electron depletion region on the surface. Exposure to acidic gases decreases n-type conductivity due to increased electron transfer from GaN, while basic gases increase n-type conductivity and PL intensity due to reduced charge transfer from GaN. These changes in the electrical and optical properties, as explained using a new electrochemical framework based on the phenomenon of surface transfer doping, suggest that gases interact with the semiconductor surface through electrochemical reactions occurring in an adsorbed water layer present on the surface.

  8. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  9. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  10. Alternative buffer layer development in Cu(In,Ga)Se2 thin film solar cells

    NASA Astrophysics Data System (ADS)

    Xin, Peipei

    Cu(In,Ga)Se2-based thin film solar cells are considered to be one of the most promising photovoltaic technologies. Cu(In,Ga)Se2 (CIGS) solar devices have the potential advantage of low-cost, fast fabrication by using semiconductor layers of only a few micrometers thick and high efficiency photovoltaics have been reported at both the cell and the module levels. CdS via chemical bath deposition (CBD) has been the most widely used buffer option to form the critical junction in CIGS-based thin film photovoltaic devices. However, the disadvantages of CdS can’t be ignored - regulations on cadmium usage are getting stricter primarily due to its toxicity and environmental impacts, and the proper handling of the large amount of toxic chemical bath waste is a massive and expensive task. This dissertation is devoted to the development of Cd-free alternative buffer layers in CIGS-based thin film solar cells. Based on the considerations of buffer layer selection criteria and extensive literature review, Zn-compound buffer materials are chosen as the primary investigation candidates. Radio frequency magnetron sputtering is the preferred buffer deposition approach since it’s a clean and more controllable technique compared to CBD, and is readily scaled to large area manufacturing. First, a comprehensive study of the ZnSe1-xOx compound prepared by reactive sputtering was completed. As the oxygen content in the reactive sputtering gas increased, ZnSe1-xOx crystallinity and bandgap decreased. It’s observed that oxygen miscibility in ZnSe was low and a secondary phase formed when the O2 / (O2 + Ar) ratio in the sputtering gas exceeded 2%. Two approaches were proposed to optimize the band alignment between the CIGS and buffer layer. One method focused on the bandgap engineering of the absorber, the other focused on the band structure modification of the buffer. As a result, improved current of the solar cell was achieved although a carrier transport barrier at the junction

  11. Effect of buffer layer on photoresponse of MoS2 phototransistor

    NASA Astrophysics Data System (ADS)

    Miyamoto, Yuga; Yoshikawa, Daiki; Takei, Kuniharu; Arie, Takayuki; Akita, Seiji

    2018-06-01

    An atomically thin MoS2 field-effect transistor (FET) is expected as an ultrathin photosensor with high sensitivity. However, a persistent photoconductivity phenomenon prevents high-speed photoresponse. Here, we investigate the photoresponse of a MoS2 FET with a thin Al2O3 buffer layer on a SiO2 gate insulator. The application of a 2-nm-thick Al2O3 buffer layer greatly improves not only the steady state properties but also the response speed from 1700 to 0.2 s. These experimental results are well explained by the random localized potential fluctuation model combined with the model based on the recombination of the bounded electrons around the trapped hole.

  12. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  13. Inverted bulk-heterojunction organic solar cells with the transfer-printed anodes and low-temperature-processed ultrathin buffer layers

    NASA Astrophysics Data System (ADS)

    Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi

    2018-03-01

    We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.

  14. Effect of ZnO buffer layer on phase transition properties of vanadium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Huiqun; Li, Lekang; Li, Chunbo

    2016-03-01

    VO2 thin films were prepared on ZnO buffer layers by DC magnetron sputtering at room temperature using vanadium target and post annealing at 400 °C. The ZnO buffer layers with different thickness deposited on glass substrates by magnetron sputtering have a high visible and near infrared optical transmittance. The electrical resistivity and the phase transition properties of the VO2/ZnO composite thin films in terms of temperature were investigated. The results showed that the resistivity variation of VO2 thin film with ZnO buffer layer deposited for 35 min was 16 KΩ-cm. The VO2/ZnO composite thin films exhibit a reversible semiconductor-metal phase transition at 48 °C.

  15. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  16. Comparative analysis of strain fields in layers of step-graded metamorphic buffers of various designs

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ruban, O. A.; Tabachkova, N. Yu.; Shchetinin, I. V.

    2017-10-01

    Spatial distribution of residual elastic strain in the layers of two step-graded metamophic buffers of various designs, grown by molecular beam epitaxy from ternary InxAl1-xAs solutions on GaAs(001) substrates, is obtained using reciprocal space mapping by three-axis X-ray diffractometry and the linear theory of elasticity. The difference in the design of the buffers enabled the formation of a dislocation-free layer with different thickness in each of the heterostructures, which was the main basis of this study. It is shown that, in spite of the different design of graded metamorphic buffers, the nature of strain fields in them is the same, and the residual elastic strains in the final elements of both buffers adjusted for the effect of work hardening subject to the same phenomenological law, which describes the strain relief process in single-layer heterostructures.

  17. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery.

    PubMed

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-06-07

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.

  18. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  19. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  20. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  1. Botulinum toxin detection using AlGaN /GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Wang, Yu-Lin; Chu, B. H.; Chen, K. H.; Chang, C. Y.; Lele, T. P.; Tseng, Y.; Pearton, S. J.; Ramage, J.; Hooten, D.; Dabiran, A.; Chow, P. P.; Ren, F.

    2008-12-01

    Antibody-functionalized, Au-gated AlGaN /GaN high electron mobility transistors (HEMTs) were used to detect botulinum toxin. The antibody was anchored to the gate area through immobilized thioglycolic acid. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when the target toxin in a buffer was added to the antibody-immobilized surface. We could detect a range of concentrations from 1to10ng/ml. These results clearly demonstrate the promise of field-deployable electronic biological sensors based on AlGaN /GaN HEMTs for botulinum toxin detection.

  2. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  3. Selective growth of Pb islands on graphene/SiC buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X. T.; Miao, Y. P.; Ma, D. Y.

    2015-02-14

    Graphene is fabricated by thermal decomposition of silicon carbide (SiC) and Pb islands are deposited by Pb flux in molecular beam epitaxy chamber. It is found that graphene domains and SiC buffer layer coexist. Selective growth of Pb islands on SiC buffer layer rather than on graphene domains is observed. It can be ascribed to the higher adsorption energy of Pb atoms on the 6√(3) reconstruction of SiC. However, once Pb islands nucleate on graphene domains, they will grow very large owing to the lower diffusion barrier of Pb atoms on graphene. The results are consistent with first-principle calculations. Sincemore » Pb atoms on graphene are nearly free-standing, Pb islands grow in even-number mode.« less

  4. Single layers and multilayers of GaN and AlN in square-octagon structure: Stability, electronic properties, and functionalization

    NASA Astrophysics Data System (ADS)

    Gürbüz, E.; Cahangirov, S.; Durgun, E.; Ciraci, S.

    2017-11-01

    Further to planar single-layer hexagonal structures, GaN and AlN can also form free-standing, single-layer structures constructed from squares and octagons. We performed an extensive analysis of dynamical and thermal stability of these structures in terms of ab initio finite-temperature molecular dynamics and phonon calculations together with the analysis of Raman and infrared active modes. These single-layer square-octagon structures of GaN and AlN display directional mechanical properties and have wide, indirect fundamental band gaps, which are smaller than their hexagonal counterparts. These density functional theory band gaps, however, increase and become wider upon correction. Under uniaxial and biaxial tensile strain, the fundamental band gaps decrease and can be closed. The electronic and magnetic properties of these single-layer structures can be modified by adsorption of various adatoms, or by creating neutral cation-anion vacancies. The single-layer structures attain magnetic moment by selected adatoms and neutral vacancies. In particular, localized gap states are strongly dependent on the type of vacancy. The energetics, binding, and resulting electronic structure of bilayer, trilayer, and three-dimensional (3D) layered structures constructed by stacking the single layers are affected by vertical chemical bonds between adjacent layers. In addition to van der Waals interaction, these weak vertical bonds induce buckling in planar geometry and enhance their binding, leading to the formation of stable 3D layered structures. In this respect, these multilayers are intermediate between van der Waals solids and wurtzite crystals, offering a wide range of tunability.

  5. Zero-internal fields in nonpolar InGaN/GaN multi-quantum wells grown by the multi-buffer layer technique.

    PubMed

    Song, Hooyoung; Kim, Jin Soak; Kim, Eun Kyu; Seo, Yong Gon; Hwang, Sung-Min

    2010-04-02

    The potential of nonpolar a-plane InGaN/GaN multi-quantum wells (MQWs), which are free from a strong piezoelectric field, was demonstrated. An a-GaN template grown on an r-plane sapphire substrate by the multi-buffer layer technique showed high structural quality with an omega full width at half maximum value along the c-axis of 418 arcsec obtained from high-resolution x-ray diffraction analysis. From barrier analysis by deep level transient spectroscopy, it appeared that a-plane InGaN/GaN MQWs can solve the efficiency droop problem as they have a lower electron capture barrier than the c-plane sample. The peak shift of the temperature-dependent photoluminescence signal for the nonpolar InGaN/GaN MQWs was well fitted by Varshni's empirical equation with zero-internal fields. A high photoluminescence efficiency of 0.27 from this sample also showed that nonpolar MQWs can be the key factor to solve the efficiency limitation in conventional c-plane GaN based light emitting diodes.

  6. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  7. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    NASA Astrophysics Data System (ADS)

    Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou

    2016-12-01

    In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  8. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  9. Improving fatigue resistance of Pb(Zr,Ti)O3 thin films by using PbZrO3 buffer layers

    NASA Astrophysics Data System (ADS)

    Mensur Alkoy, Ebru; Uchiyama, Kiyoshi; Shiosaki, Tadashi; Alkoy, Sedat

    2006-05-01

    Ferroelectric Pb(Zr0.52Ti0.48)O3 (PZT) thin films with PbZrO3 (PZ) buffer layers were prepared on Pt(111)/Ti/SiO2/Si(100) substrates using a hybrid rf magnetron sputtering and sol-gel process. Texture of PZT films was found to depend on Pb content of PZ buffer layers. Buffered PZT films displayed comparable ferroelectric properties (2Pr=38-53 μC/cm2,2Ec=136-170 kV/cm) with unbuffered PZT. Asymmetric leakage current and fatigue behavior with superior fatigue resistance was observed in PZ buffered PZT compared to unbuffered films. PZ buffer layers were found to affect crystallization and texture of PZT, and act as a capacitive interface layer possibly blocking charge injection from electrodes.

  10. Buffer layers and articles for electronic devices

    DOEpatents

    Paranthaman, Mariappan P.; Aytug, Tolga; Christen, David K.; Feenstra, Roeland; Goyal, Amit

    2004-07-20

    Materials for depositing buffer layers on biaxially textured and untextured metallic and metal oxide substrates for use in the manufacture of superconducting and other electronic articles comprise RMnO.sub.3, R.sub.1-x A.sub.x MnO.sub.3, and combinations thereof; wherein R includes an element selected from the group consisting of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Y, and A includes an element selected from the group consisting of Be, Mg, Ca, Sr, Ba, and Ra.

  11. Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Jiang, Ying; Miyashita, Takahiro; Motoyama, Shin-ichi; Li, Liuan; Wang, Dejun; Ohno, Yasuo; Ao, Jin-Ping

    2014-09-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with recessed gate on AlGaN/GaN heterostructure are reported in which the drain and source ohmic contacts were fabricated on the AlGaN/GaN heterostructure and the electron channel was formed on the GaN buffer layer by removing the AlGaN barrier layer. Negative threshold voltages were commonly observed in all devices. To investigate the reasons of the negative threshold voltages, different oxide thickness, etching gas and bias power of inductively-coupled plasma (ICP) system were utilized in the fabrication process of the GaN MOSFETs. It is found that positive charges of around 1 × 1012 q/cm2 exist near the interface at the just threshold condition in both silane- and tetraethylorthosilicate (TEOS)-based devices. It is also found that the threshold voltages do not obviously change with the different etching gas (SiCl4, BCl3 and two-step etching of SiCl4/Cl2) at the same ICP bias power level (20-25 W) and will become deeper when higher bias power is used in the dry recess process which may be related to the much serious ion bombardment damage. Furthermore, X-ray photoelectron spectroscopy (XPS) experiments were done to investigate the surface conditions. It is found that N 1s peaks become lower with higher bias power of the dry etching process. Also, silicon contamination was found and could be removed by HNO3/HF solution. It indicates that the nitrogen vacancies are mainly responsible for the negative threshold voltages rather than the silicon contamination. It demonstrates that optimization of the ICP recess conditions and improvement of the surface condition are still necessary to realize enhancement-mode GaN MOSFETs on AlGaN/GaN heterostructure.

  12. Improvement of the interfacial Dzyaloshinskii-Moriya interaction by introducing a Ta buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Nam-Hui; Jung, Jinyong; Cho, Jaehun

    2015-10-05

    We report systematic measurements of the interfacial Dzyaloshinskii-Moriya interaction (iDMI) by employing Brillouin light scattering in Pt/Co/AlO{sub x} and Ta/Pt/Co/AlO{sub x} structures. By introducing a tantalum buffer layer, the saturation magnetization and the interfacial perpendicular magnetic anisotropy are significantly improved due to the better interface between heavy metal and ferromagnetic layer. From the frequency shift between Stokes- and anti-Stokes spin-waves, we successively obtain considerably larger iDM energy densities (D{sub max} = 1.65 ± 0.13 mJ/m{sup 2} at t{sub Co} = 1.35 nm) upon adding the Ta buffer layer, despite the nominally identical interface materials. Moreover, the energy density shows an inverse proportionality with the Co layer thickness,more » which is the critical clue that the observed iDMI is indeed originating from the interface between the Pt and Co layers.« less

  13. Effect of Same-Temperature GaN Cap Layer on the InGaN/GaN Multiquantum Well of Green Light-Emitting Diode on Silicon Substrate

    PubMed Central

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow. PMID:24369453

  14. Effect of same-temperature GaN cap layer on the InGaN/GaN multiquantum well of green light-emitting diode on silicon substrate.

    PubMed

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow.

  15. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  16. Enhanced electrical stability of flexible indium tin oxide films prepared on stripe SiO 2 buffer layer-coated polymer substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Yu, Zhi-nong; Zhao, Jian-jian; Xia, Fan; Lin, Ze-jiang; Zhang, Dong-pu; Leng, Jian; Xue, Wei

    2011-03-01

    The electrical stability of flexible indium tin oxide (ITO) films fabricated on stripe SiO 2 buffer layer-coated polyethylene terephthalate (PET) substrates by magnetron sputtering was investigated by the bending test. The ITO thin films with stripe SiO 2 buffer layer under bending have better electrical stability than those with flat SiO 2 buffer layer and without buffer layer. Especially in inward bending text, the ITO thin films with stripe SiO 2 buffer layer only have a slight resistance change when the bending radius r is not less than 8 mm, while the resistances of the films with flat SiO 2 buffer layer and without buffer layer increase significantly at r = 16 mm with decreasing bending radius. This improvement of electrical stability in bending test is due to the small mismatch factor α in ITO-SiO 2, the enhanced interface adhesion and the balance of residual stress. These results indicate that the stripe SiO 2 buffer layer is suited to enhance the electrical stability of flexible ITO film under bending.

  17. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  18. Influence of C or In buffer layer on photoluminescence behaviour of ultrathin ZnO film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saravanan, K., E-mail: saravanan@igcar.gov.in; Jayalakshmi, G.; Krishnan, R.

    We study the effect of the indium or carbon buffer layer on the photoluminescence (PL) property of ZnO ultrathin films deposited on a Si(100) substrate. The surface morphology of the films obtained using scanning tunnelling microscopy shows spherical shaped ZnO nanoparticles of size ∼8 nm in ZnO/C/Si and ∼22 nm in ZnO/Si samples, while the ZnO/In/Si sample shows elliptical shaped ZnO particles. Further, the ZnO/C/Si sample shows densely packed ZnO nanoparticles in comparison with other samples. Strong band edge emission has been observed in the presence of In or C buffer layer, whereas the ZnO/Si sample exhibits poor PL emission. The influencemore » of C and In buffer layers on the PL behaviour of ZnO films is studied in detail using temperature dependent PL measurements in the range of 4 K–300 K. The ZnO/C/Si sample exhibits a multi-fold enhancement in the PL emission intensity with well-resolved free and bound exciton emission lines. Our experimental results imply that the ZnO films deposited on the C buffer layer showed higher particle density and better exciton emission desired for optoelectronic applications.« less

  19. Cooptimization of Adhesion and Power Conversion Efficiency of Organic Solar Cells by Controlling Surface Energy of Buffer Layers.

    PubMed

    Lee, Inhwa; Noh, Jonghyeon; Lee, Jung-Yong; Kim, Taek-Soo

    2017-10-25

    Here, we demonstrate the cooptimization of the interfacial fracture energy and power conversion efficiency (PCE) of poly[N-9'-heptadecanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)] (PCDTBT)-based organic solar cells (OSCs) by surface treatments of the buffer layer. The investigated surface treatments of the buffer layer simultaneously changed the crack path and interfacial fracture energy of OSCs under mechanical stress and the work function of the buffer layer. To investigate the effects of surface treatments, the work of adhesion values were calculated and matched with the experimental results based on the Owens-Wendt model. Subsequently, we fabricated OSCs on surface-treated buffer layers. In particular, ZnO layers treated with poly[(9,9-bis(3'-(N,N-dimethylamino)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)] (PFN) simultaneously satisfied the high mechanical reliability and PCE of OSCs by achieving high work of adhesion and optimized work function.

  20. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  1. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  2. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods.

    PubMed

    Mohammadi, F; Schmitzer, H; Kunert, G; Hommel, D; Ge, J; Duscher, G; Langbein, W; Wagner, H P

    2017-12-15

    We studied the emission of bare and aluminum quinoline (Alq 3 )/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ∼1.5 μm length and ∼250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq 3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq 3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq 3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  3. Effect of Homo-buffer Layers on the Properties of Sputtering Deposited Ga2O3 Films

    NASA Astrophysics Data System (ADS)

    Huang, Jian; Li, Bing; Ma, Yuncheng; Tang, Ke; Huang, Haofei; Hu, Yan; Zou, Tianyu; Wang, Linjun

    2018-05-01

    β- Ga2O3 films were grown by radio-frequency magnetron sputtering method. The influence of Ga2O3 buffer layers and annealing treatment on the structural, optical, morphological and electrical properties of Ga2O3 films was studied. The results revealed an improvement of crystalline quality and transmittance of annealed β- Ga2O3 films prepared with homo-buffer layers. Ga2O3 film UV photodetectors were fabricated with a new B and Ga co-doped ZnO films (BGZO)/Au interdigitated electrode. A good ohmic contact was formed between the film and the electrode. For the detector based on Ga2O3 films with buffer layers, a higher value of photo response and faster response times was obtained.

  4. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    NASA Astrophysics Data System (ADS)

    Al Balushi, Zakaria Y.

    of N-polar InGaN by MOCVD is challenging. These challenges arise from the lack of available native substrates suitable for N-polar film growth. As a result, InGaN layers are conventionally grown in the III-polar direction (i.e. III-polar InGaN) and typically grow under considerable amounts of stress on III-polar GaN base layers. While the structure-property relations of thin III-polar InGaN layers have been widely studied in quantum well structures, insight into the growth of thick films and N-polar InGaN layers have been limited. Therefore, this dissertation research compared the growth of both thick III-polar and N-polar InGaN films grown on optimized GaN base layers. III-polar InGaN films were rough and exhibited a high density of V-pits, while the growth of thick N-polar InGaN films showed improved structural quality and low surface roughness. The results of this dissertation work thereby provide an alternative route to the fabrication of thick InGaN films for potential use in solar cells as well as strain reducing schemes for deep-green and red light emitters. Moreover, this dissertation investigated stress relaxation in thick N-polar films using in situ reflectivity and curvature measurements. The results showed that stress relaxation in N-polar InGaN significantly differed from III-polar InGaN due to the absence of V-pits and it was hypothesized that plastic relaxation in N-polar InGaN could occur by dislocation glide, which typically is kinetically limited at such low growth temperatures required for InGaN. The second part of this dissertation research work focused on buffer free growth of GaN directly on SiC and on epitaxial graphene produced on SiC for potential vertical devices. The studies presented in this dissertation work on the growth of GaN directly on SiC compared the stress evolution of GaN films grown with and without an AlN buffer layer. Films grown directly on SiC showed reduced threading dislocation densities and improved surface roughness when

  5. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    NASA Astrophysics Data System (ADS)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  6. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  7. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  8. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  9. Tuning the Two-Dimensional Electron Liquid at Oxide Interfaces by Buffer-Layer-Engineered Redox Reactions.

    PubMed

    Chen, Yunzhong; Green, Robert J; Sutarto, Ronny; He, Feizhou; Linderoth, Søren; Sawatzky, George A; Pryds, Nini

    2017-11-08

    Polar discontinuities and redox reactions provide alternative paths to create two-dimensional electron liquids (2DELs) at oxide interfaces. Herein, we report high mobility 2DELs at interfaces involving SrTiO 3 (STO) achieved using polar La 7/8 Sr 1/8 MnO 3 (LSMO) buffer layers to manipulate both polarities and redox reactions from disordered overlayers grown at room temperature. Using resonant X-ray reflectometry experiments, we quantify redox reactions from oxide overlayers on STO as well as polarity induced electronic reconstruction at epitaxial LSMO/STO interfaces. The analysis reveals how these effects can be combined in a STO/LSMO/disordered film trilayer system to yield high mobility modulation doped 2DELs, where the buffer layer undergoes a partial transformation from perovskite to brownmillerite structure. This uncovered interplay between polar discontinuities and redox reactions via buffer layers provides a new approach for the design of functional oxide interfaces.

  10. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  11. The fabrication of white light-emitting diodes using the n-ZnO/NiO/p-GaN heterojunction with enhanced luminescence.

    PubMed

    Abbasi, Mazhar Ali; Ibupoto, Zafar Hussain; Hussain, Mushtaque; Nur, Omer; Willander, Magnus

    2013-07-13

    Cheap and efficient white light-emitting diodes (LEDs) are of great interest due to the energy crisis all over the world. Herein, we have developed heterojunction LEDs based on the well-aligned ZnO nanorods and nanotubes on the p-type GaN with the insertion of the NiO buffer layer that showed enhancement in the light emission. Scanning electron microscopy have well demonstrated the arrays of the ZnO nanorods and the proper etching into the nanotubes. X-ray diffraction study describes the wurtzite crystal structure array of ZnO nanorods with the involvement of GaN at the (002) peak. The cathodoluminescence spectra represent strong and broad visible emission peaks compared to the UV emission and a weak peak at 425 nm which is originated from GaN. Electroluminescence study has shown highly improved luminescence response for the LEDs fabricated with NiO buffer layer compared to that without NiO layer. Introducing a sandwich-thin layer of NiO between the n-type ZnO and the p-type GaN will possibly block the injection of electrons from the ZnO to the GaN. Moreover, the presence of NiO buffer layer might create the confinement effect.

  12. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  13. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  14. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    DOEpatents

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  15. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  16. Sol-gel deposition of buffer layers on biaxially textured metal substances

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  17. ZnO buffer layer for metal films on silicon substrates

    DOEpatents

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  18. Study on photoemission surface of varied doping GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Du, Ruijuan; Ding, Huan; Gao, Youtang; Chang, Benkang

    2014-09-01

    For varied doping GaN photocathode, from bulk to surface the doping concentrations are distributed from high to low. The varied doping GaN photocathode may produce directional inside electric field within the material, so the higher quantum efficiency can be obtained. The photoemission surface of varied doping GaN photocathode is very important to the high quantum efficiency, but the forming process of the surface state after Cs activation or Cs/O activation has been not known completely. Encircling the photoemission mechanism of varied GaN photocathode, considering the experiment phenomena during the activation and the successful activation results, the varied GaN photocathode surface model [GaN(Mg):Cs]:O-Cs after activation with cesium and oxygen was given. According to GaN photocathode activation process and the change of electronic affinity, the comparatively ideal NEA property can be achieved by Cs or Cs/O activation, and higher quantum efficiency can be obtained. The results show: The effective NEA characteristic of GaN can be gotten only by Cs. [GaN(Mg):Cs] dipoles form the first dipole layer, the positive end is toward the vacuum side. In the activation processing with Cs/O, the second dipole layer is formed by O-Cs dipoles, A O-Cs dipole includes one oxygen atom and two Cs atoms, and the positive end is also toward the vacuum side thus the escape of electrons can be promoted.

  19. Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part1 By A. Pavolotsky, and Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part2 By V. Desmaris

    NASA Astrophysics Data System (ADS)

    Desmaris, Vincent

    2018-01-01

    We present the advanced micro/nano technological engineering at the atomic level producing state-of-the-art epitaxial NbN thin-films on GaN buffer layers. Furthermore, we report the outstanding performance of the hot electron bolometers fabricated on epitaxial NbN thin films on GaN buffer layers. Finally we present advanced passive devices such as waveguide hybrids, IF hybrids and combiners for the realization of heterodyne THz receivers.

  20. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  1. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  2. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  3. Size dictated thermal conductivity of GaN

    NASA Astrophysics Data System (ADS)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  4. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  5. Growth and characterization of CdS buffer layers by CBD and MOCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morrone, A.A.; Huang, C.; Li, S.S.

    1999-03-01

    Thin film CdS has been widely used in thin-film photovoltaic devices. The most efficient Cu(In,&hthinsp;Ga)Se{sub 2} (CIGS) solar cells reported to date utilized a thin CdS buffer layer prepared by a reactive solution growth technique known as chemical bath deposition (CBD). Considerable effort has been directed to better understand the role and find a replacement for the CBD CdS process in CIGS-based solar cells. We reported a low temperature ({approximately}150&hthinsp;{degree}C) Metalorganic Chemical Vapor Deposition (MOCVD) CdS thin film buffer layer process for CIGS absorbers. Many prior studies have reported that CBD CdS contains a mixture of crystal structures. Recent investigationsmore » of CBD CdS thin films by ellipsometry suggested a multilayer structure. In this study we compare CdS thin films prepared by CBD and MOCVD and the effects of annealing. TED and XRD are used to characterize the crystal structure, the film microstructure is studied by HRTEM, and the optical properties are studied by Raman and spectrophotometry. All of these characterization techniques reveal superior crystalline film quality for CdS films grown by MOCVD compared to those grown by CBD. Dual Beam Optical Modulation (DBOM) studies showed that the MOCVD and CBD CdS buffer layer processes have nearly the same effect on CIGS absorbers when combined with a cadmium partial electrolyte aqueous dip. {copyright} {ital 1999 American Institute of Physics.}« less

  6. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi

    2015-08-15

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originatedmore » from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices.« less

  7. Bimetallic nanocomposite as hole transport co-buffer layer in organic solar cell

    NASA Astrophysics Data System (ADS)

    Mola, Genene Tessema; Arbab, Elhadi A. A.

    2017-12-01

    Silver-zinc bimetallic nanocomposite (Ag:Zn BiM-NPs) was used as an inter-facial buffer layer in the preparation of thin film organic solar cell (TFOSC). The current investigation focuses on the effect of bimetallic nanoparticles on the performance of TFOSC. A number experiments were conducted by employing Ag:Zn nanocomposite buffer layer of thickness 1 nm at various positions of the device structure. In all cases, we found significant improvement on the power conversion efficiency of the solar cells. It is also noted that the open circuit voltage of the devices are decreasing when Ag:Zn form direct contact with the ITO electrode and without the inclusion of PEDOT:PSS. However, all results show that the introduction of Ag:Zn nanocomposite layer close to PEDOT:PSS could be beneficial to improve the charge transport processes in the preparation of thin film organic solar cell. The Ag:Zn BiM-NPs and the device properties were presented and discussed in terms of optical, electrical and film morphologies of the devices.

  8. Effect of organic buffer layer in the electrical properties of amorphous-indium gallium zinc oxide thin film transistor.

    PubMed

    Wang, Jian-Xun; Hyung, Gun Woo; Li, Zhao-Hui; Son, Sung-Yong; Kwon, Sang Jik; Kim, Young Kwan; Cho, Eou Sik

    2012-07-01

    In this research, we reported on the fabrication of top-contact amorphous-indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) with an organic buffer layer between inorganic gate dielectric and active layer in order to improve the electrical properties of devices. By inserting an organic buffer layer, it was possible to make an affirmation of the improvements in the electrical characteristics of a-IGZO TFTs such as subthreshold slope (SS), on/off current ratio (I(ON/OFF)), off-state current, and saturation field-effect mobility (muFE). The a-IGZO TFTs with the cross-linked polyvinyl alcohol (c-PVA) buffer layer exhibited the pronounced improvements of the muFE (17.4 cm2/Vs), SS (0.9 V/decade), and I(ON/OFF) (8.9 x 10(6)).

  9. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  10. Improved properties of barium strontium titanate thin films grown on copper foils by pulsed laser deposition using a self-buffered layer.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, S.; Ma, B.; Narayanan, M.

    2012-01-01

    Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) films were deposited by pulsed laser deposition on copper foils with low-temperature self-buffered layers. The deposition conditions included a low oxygen partial pressure and a temperature of 700 C to crystallize the films without the formation of secondary phases and substrate oxidation. The results from x-ray diffraction and scanning electron microscopy indicated that the microstructure of the BST films strongly depended on the growth temperature. The use of the self-buffered layer improved the dielectric properties of the deposited BST films. The leakage current density of the BST films on the copper foil was 4.4 xmore » 10{sup -9} A cm{sup -2} and 3.3 x 10{sup -6} A cm{sup -2} with and without the self-buffered layer, respectively. The ferroelectric hysteresis loop for the BST thin film with buffer layer was slim, in contrast to the distorted loop observed for the film without the buffer layer. The permittivity (7 0 0) and dielectric loss tangent (0.013) of the BST film on the copper foil with self-buffered layer at room temperature were comparable to those of the film on metal and single-crystal substrates.« less

  11. Solution-processed MoS(x) as an efficient anode buffer layer in organic solar cells.

    PubMed

    Li, Xiaodong; Zhang, Wenjun; Wu, Yulei; Min, Chao; Fang, Junfeng

    2013-09-25

    We reported a facile solution-processed method to fabricate a MoSx anode buffer layer through thermal decomposition of (NH4)2MoS4. Organic solar cells (OSCs) based on in situ growth MoSx as the anode buffer layer showed impressive improvements, and the power conversion efficiency was higher than that of conventional PEDOT:PSS-based device. The MoSx films obtained at different temperatures and the corresponding device performance were systematically studied. The results indicated that both MoS3 and MoS2 were beneficial to the device performance. MoS3 could result in higher Voc, while MoS2 could lead to higher Jsc. Our results proved that, apart from MoO3, molybdenum sulfides and Mo(4+) were also promising candidates for the anode buffer materials in OSCs.

  12. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    NASA Technical Reports Server (NTRS)

    Srinivas, S.; Pinto, R.; Pai, S. P.; Dsousa, D. P.; Apte, P. R.; Kumar, D.; Purandare, S. C.; Bhatnagar, A. K.

    1995-01-01

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si (100), Sapphire and LaAlO3 (100) substrates. The effect of substrate temperatures up to 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa2Cu3O7-x (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  13. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  14. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  15. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  16. High performance polymer solar cells with as-prepared zirconium acetylacetonate film as cathode buffer layer

    PubMed Central

    Tan, Zhan'ao; Li, Shusheng; Wang, Fuzhi; Qian, Deping; Lin, Jun; Hou, Jianhui; Li, Yongfang

    2014-01-01

    Low-work-function active metals are commonly used as cathode in polymer solar cells (PSCs), but sensitivity of the active metals towards moisture and oxygen results in poor stability of the devices. Therefore, solution-proceessable and stable cathode buffer layer is of great importance for the application of PSCs. Here we demonstrate high performance PSCs by employing as-prepared zirconium acetylacetonate (a-ZrAcac) film spin-cast from its ethanol solution as cathode buffer layer. The PSCs based on a low bandgap polymer PBDTBDD as donor and PC60BM as acceptor with a-ZrAcac/Al cathode demonstrated an average power conversion efficiency (PCE) of 8.75% which is significantly improved than that of the devices with traditional Ca/Al cathode. The improved photovoltaic performance is benefitted from the decreased series resistance and enhanced light harvest of the PSCs with the a-ZrAcac/Al cathode. The results indicate that a-ZrAcac is a promising high performance cathode buffer layer for fabricating large area flexible PSCs. PMID:24732976

  17. Effect of annealing on magnetoresistance and microstructure of multilayered CoFe/Cu systems with different buffer layer

    NASA Astrophysics Data System (ADS)

    Bannikova, N. S.; Milyaev, M. A.; Naumova, L. I.; Proglyado, V. V.; Krinitsina, T. P.; Chernyshova, T. A.; Ustinov, V. V.

    2015-02-01

    The effects of annealing on the structure, magnetic hysteresis, and magnetoresistance of [Co90Fe10(15 Å)/Cu(23 Å)] n superlattices with Cr and Co90Fe10 buffer layers of different thicknesses have been studied. The optimum temperature and time of annealing that increase the magnetoresistance were shown to depend on the buffer layer thickness. The coefficients of effective interlayer diffusion due to the annealing have been determined.

  18. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  19. Size dictated thermal conductivity of GaN

    DOE PAGES

    Thomas Edwin Beechem; McDonald, Anthony E.; Fuller, Elliot James; ...

    2016-04-01

    The thermal conductivity on n- and p-type doped gallium nitride (GaN) epilayers having thickness of 3-4 μm was investigated using time domain thermoreflectance (TDTR). Despite possessing carrier concentrations ranging across 3 decades (10 15 – 10 18 cm –3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends–and their overall reduction relative to bulk–are explained leveraging established scattering models where it is shown that size effects play a primary role in limiting thermal conductivity for layers even tens ofmore » microns thick. GaN device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.« less

  20. Buffer layer dependence of magnetoresistance effects in Co2Fe0.4Mn0.6Si/MgO/Co50Fe50 tunnel junctions

    NASA Astrophysics Data System (ADS)

    Sun, Mingling; Kubota, Takahide; Takahashi, Shigeki; Kawato, Yoshiaki; Sonobe, Yoshiaki; Takanashi, Koki

    2018-05-01

    Buffer layer dependence of tunnel magnetoresistance (TMR) effects was investigated in Co2Fe0.4Mn0.6Si (CFMS)/MgO/Co50Fe50 magnetic tunnel junctions (MTJs). Pd, Ru and Cr were selected for the buffer layer materials, and MTJs with three different CFMS thicknesses (30, 5, and 0.8 nm) were fabricated. A maximum TMR ratio of 136% was observed in the Ru buffer layer sample with a 30-nm-thick CFMS layer. TMR ratios drastically degraded for the CFMS thickness of 0.8 nm, and the values were 26% for Cr buffer layer and less than 1% for Pd and Ru buffer layers. From the annealing temperature dependence of the TMR ratios, amounts of interdiffusion and effects from the lattice mismatch were discussed.

  1. Cu(In,Ga)Se2 solar cells with In2S3 buffer layer deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Kim, SeongYeon; Rana, Tanka R.; Kim, JunHo; Yun, JaeHo

    2017-12-01

    We report on physical vapor deposition of indium sulfide (In2S3) buffer layers and its application to Cu(In,Ga)Se2 (CIGSe) thin film solar cell. The Indium sulfide buffer layers were evaporated onto CIGSe at various substrate temperatures from room temperature (RT) to 350 °C. The effect of deposition temperature of buffer layers on the solar cell device performance were investigated by analyzing temperature dependent current-voltage ( J- V- T), external quantum efficiency (EQE) and Raman spectroscopy. The fabricated device showed the highest power conversion efficiency of 6.56% at substrate temperature of 250 °C, which is due to the decreased interface recombination. However, the roll-over in J- V curves was observed for solar cell device having buffer deposited at substrate temperature larger than 250 °C. From the measurement results, the interface defect and roll-over related degradation were found to have limitation on the performance of solar cell device.

  2. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  3. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  4. Study on high breakdown voltage GaN-based vertical field effect transistor with interfacial charge engineering for power applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Liu, Yong; Bai, Zhiyuan; Jiang, Zhiguang; Liu, Yang; Yu, Qi

    2017-11-01

    A high voltage GaN-based vertical field effect transistor with interfacial charge engineering (GaN ICE-VFET) is proposed and its breakdown mechanism is presented. This vertical FET features oxide trenches which show a fixed negative charge at the oxide/GaN interface. In the off-state, firstly, the trench oxide layer acts as a field plate; secondly, the n-GaN buffer layer is inverted along the oxide/GaN interface and thus a vertical hole layer is formed, which acts as a virtual p-pillar and laterally depletes the n-buffer pillar. Both of them modulate electric field distribution in the device and significantly increase the breakdown voltage (BV). Compared with a conventional GaN vertical FET, the BV of GaN ICE-VFET is increased from 1148 V to 4153 V with the same buffer thickness of 20 μm. Furthermore, the proposed device achieves a great improvement in the tradeoff between BV and on-resistance; and its figure of merit even exceeds the GaN one-dimensional limit.

  5. Optimization of the Energy Level Alignment between the Photoactive Layer and the Cathode Contact Utilizing Solution-Processed Hafnium Acetylacetonate as Buffer Layer for Efficient Polymer Solar Cells.

    PubMed

    Yu, Lu; Li, Qiuxiang; Shi, Zhenzhen; Liu, Hao; Wang, Yaping; Wang, Fuzhi; Zhang, Bing; Dai, Songyuan; Lin, Jun; Tan, Zhan'ao

    2016-01-13

    The insertion of an appropriate interfacial buffer layer between the photoactive layer and the contact electrodes makes a great impact on the performance of polymer solar cells (PSCs). Ideal interfacial buffer layers could minimize the interfacial traps and the interfacial barriers caused by the incompatibility between the photoactive layer and the electrodes. In this work, we utilized solution-processed hafnium(IV) acetylacetonate (Hf(acac)4) as an effective cathode buffer layer (CBL) in PSCs to optimize the energy level alignment between the photoactive layer and the cathode contact, with the short-circuit current density (Jsc), open-circuit voltage (Voc), and fill factor (FF) all simultaneously improved with Hf(acac)4 CBL, leading to enhanced power conversion efficiencies (PCEs). Ultraviolet photoemission spectroscopy (UPS) and scanning Kelvin probe microscopy (SKPM) were performed to confirm that the interfacial dipoles were formed with the same orientation direction as the built-in potential between the photoactive layer and Hf(acac)4 CBL, benefiting the exciton separation and electron transport/extraction. In addition, the optical characteristics and surface morphology of the Hf(acac)4 CBL were also investigated.

  6. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  7. Versatile buffer layer architectures based on Ge1-xSnx alloys

    NASA Astrophysics Data System (ADS)

    Roucka, R.; Tolle, J.; Cook, C.; Chizmeshya, A. V. G.; Kouvetakis, J.; D'Costa, V.; Menendez, J.; Chen, Zhihao D.; Zollner, S.

    2005-05-01

    We describe methodologies for integration of compound semiconductors with Si via buffer layers and templates based on the GeSn system. These layers exhibit atomically flat surface morphologies, low defect densities, tunable thermal expansion coefficients, and unique ductile properties, which enable them to readily absorb differential stresses produced by mismatched overlayers. They also provide a continuous selection of lattice parameters higher than that of Ge, which allows lattice matching with technologically useful III-V compounds. Using this approach we have demonstrated growth of GaAs, GeSiSn, and pure Ge layers at low temperatures on Si(100). These materials display extremely high-quality structural, morphological, and optical properties opening the possibility of versatile integration schemes directly on silicon.

  8. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    NASA Astrophysics Data System (ADS)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  9. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  10. Vertical GaN power diodes with a bilayer edge termination

    DOE PAGES

    Dickerson, Jeramy R.; Allerman, Andrew A.; Bryant, Benjamin N.; ...

    2015-12-07

    Vertical GaN power diodes with a bilayer edge termination (ET) are demonstrated. The GaN p-n junction is formed on a low threading dislocation defect density (10 4 - 10 5 cm -2) GaN substrate, and has a 15-μm-thick n-type drift layer with a free carrier concentration of 5 × 10 15 cm -3. The ET structure is formed by N implantation into the p+-GaN epilayer just outside the p-type contact to create compensating defects. The implant defect profile may be approximated by a bilayer structure consisting of a fully compensated layer near the surface, followed by a 90% compensated (p)more » layer near the n-type drift region. These devices exhibit avalanche breakdown as high as 2.6 kV at room temperature. In addition simulations show that the ET created by implantation is an effective way to laterally distribute the electric field over a large area. This increases the voltage at which impact ionization occurs and leads to the observed higher breakdown voltages.« less

  11. Design of optimal buffer layers for CuInGaSe2 thin-film solar cells(Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lordi, Vincenzo; Varley, Joel B.; He, Xiaoqing; Rockett, Angus A.; Bailey, Jeff; Zapalac, Geordie H.; Mackie, Neil; Poplavskyy, Dmitry; Bayman, Atiye

    2016-09-01

    Optimizing the buffer layer in manufactured thin-film PV is essential to maximize device efficiency. Here, we describe a combined synthesis, characterization, and theory effort to design optimal buffers based on the (Cd,Zn)(O,S) alloy system for CIGS devices. Optimization of buffer composition and absorber/buffer interface properties in light of several competing requirements for maximum device efficiency were performed, along with process variations to control the film and interface quality. The most relevant buffer properties controlling performance include band gap, conduction band offset with absorber, dopability, interface quality, and film crystallinity. Control of an all-PVD deposition process enabled variation of buffer composition, crystallinity, doping, and quality of the absorber/buffer interface. Analytical electron microscopy was used to characterize the film composition and morphology, while hybrid density functional theory was used to predict optimal compositions and growth parameters based on computed material properties. Process variations were developed to produce layers with controlled crystallinity, varying from amorphous to fully epitaxial, depending primarily on oxygen content. Elemental intermixing between buffer and absorber, particularly involving Cd and Cu, also is controlled and significantly affects device performance. Secondary phase formation at the interface is observed for some conditions and may be detrimental depending on the morphology. Theoretical calculations suggest optimal composition ranges for the buffer based on a suite of computed properties and drive process optimizations connected with observed film properties. Prepared by LLNL under Contract DE-AC52-07NA27344.

  12. Buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /CeO.sub.2 /Ni, RE.sub.2 O.sub.3 /Ni (RE=Rare Earth), and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /CeO.sub.2 /Cu, RE.sub.2 O.sub.3 /Cu, and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approach, which includes chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  13. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    NASA Astrophysics Data System (ADS)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  14. Growth mechanisms of GaSb heteroepitaxial films on Si with an AlSb buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vajargah, S. Hosseini; Botton, G. A.; Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario L8S 4M1

    2013-09-21

    The initial growth stages of GaSb epilayers on Si substrates and the role of the AlSb buffer layer were studied by high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM). Heteroepitaxy of GaSb and AlSb on Si both occur by Volmer-Weber (i.e., island mode) growth. However, the AlSb and GaSb islands have distinctly different characteristics as revealed through an atomic-resolution structural study using Z-contrast of HAADF-STEM imaging. While GaSb islands are sparse and three dimensional, AlSb islands are numerous and flattened. The introduction of 3D island-forming AlSb buffer layer facilitates the nucleation of GaSb islands. The AlSb islands-assisted nucleation of GaSbmore » islands results in the formation of drastically higher quality planar film at a significantly smaller thickness of films. The interface of the AlSb and GaSb epilayers with the Si substrate was further investigated with energy dispersive X-ray spectrometry to elucidate the key role of the AlSb buffer layer in the growth of GaSb epilayers on Si substrates.« less

  15. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  16. Ultrathin Polyaniline-based Buffer Layer for Highly Efficient Polymer Solar Cells with Wide Applicability

    PubMed Central

    Zhao, Wenchao; Ye, Long; Zhang, Shaoqing; Fan, Bin; Sun, Mingliang; Hou, Jianhui

    2014-01-01

    Interfacial buffer layers often attribute the improved device performance in organic optoelectronic device. Herein, a water-soluble hydrochloric acid doped polyanilines (HAPAN) were utilized as p-type electrode buffer layer in highly efficient polymer solar cells (PSC) based on PBDTTT-EFT and several representative polymers. The PBDTTT-EFT-based conventional PSC featuring ultrathin HAPAN (1.3 nm) delivered high PCE approximately 9%, which is one of the highest values among conventional PSC devices. Moreover, ultrathin HAPAN also exhibited wide applicability in a variety of efficient photovoltaic polymers including PBDTTT-C-T, PTB7, PBDTBDD, PBTTDPP-T, PDPP3T and P3HT. The excellent performances were originated from the high transparency, small film roughness and suitable work function. PMID:25300365

  17. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  18. The role of hydrogenated amorphous silicon oxide buffer layer on improving the performance of hydrogenated amorphous silicon germanium single-junction solar cells

    NASA Astrophysics Data System (ADS)

    Sritharathikhun, Jaran; Inthisang, Sorapong; Krajangsang, Taweewat; Krudtad, Patipan; Jaroensathainchok, Suttinan; Hongsingtong, Aswin; Limmanee, Amornrat; Sriprapha, Kobsak

    2016-12-01

    Hydrogenated amorphous silicon oxide (a-Si1-xOx:H) film was used as a buffer layer at the p-layer (μc-Si1-xOx:H)/i-layer (a-Si1-xGex:H) interface for a narrow band gap hydrogenated amorphous silicon germanium (a-Si1-xGex:H) single-junction solar cell. The a-Si1-xOx:H film was deposited by plasma enhanced chemical vapor deposition (PECVD) at 40 MHz in a same processing chamber as depositing the p-type layer. An optimization of the thickness of the a-Si1-xOx:H buffer layer and the CO2/SiH4 ratio was performed in the fabrication of the a-Si1-xGex:H single junction solar cells. By using the wide band gap a-Si1-xOx:H buffer layer with optimum thickness and CO2/SiH4 ratio, the solar cells showed an improvement in the open-circuit voltage (Voc), fill factor (FF), and short circuit current density (Jsc), compared with the solar cells fabricated using the conventional a-Si:H buffer layer. The experimental results indicated the excellent potential of the wide-gap a-Si1-xOx:H buffer layers for narrow band gap a-Si1-xGex:H single junction solar cells.

  19. Yi-gan san restores behavioral alterations and a decrease of brain glutathione level in a mouse model of schizophrenia.

    PubMed

    Makinodan, Manabu; Yamauchi, Takahira; Tatsumi, Kouko; Okuda, Hiroaki; Noriyama, Yoshinobu; Sadamatsu, Miyuki; Kishimoto, Toshifumi; Wanaka, Akio

    2009-01-01

    The traditional Chinese herbal medicine yi-gan san has been used to cure neuropsychological disorders. Schizophrenia can be one of the target diseases of yi-gan san. We aimed at evaluating the possible use of yi-gan san in improving the schizophrenic symptoms of an animal model. Yi-gan san or distilled water was administered to mice born from pregnant mice injected with polyinosinic-polycytidilic acid or phosphate buffered saline. The former is a model of schizophrenia based on the epidemiological data that maternal infection leads to psychotic disorders including schizophrenia in the offspring. Prepulse inhibition and sensitivity to methamphetamine in open field tests were analyzed and the total glutathione content of whole brains was measured. Yi-gan san reversed the decrease in prepulse inhibition, hypersensitivity to methamphetamine and cognitive deficits found in the model mice to the level of control mice. Total glutathione content in whole brains was reduced in the model mice but was restored to normal levels by yi-gan san treatment. These results suggest that yi-gan san may have ameliorating effects on the pathological symptoms of schizophrenia.

  20. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  1. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  2. Enhanced characteristics of blue InGaN /GaN light-emitting diodes by using selective activation to modulate the lateral current spreading length

    NASA Astrophysics Data System (ADS)

    Lin, Ray-Ming; Lu, Yuan-Chieh; Chou, Yi-Lun; Chen, Guo-Hsing; Lin, Yung-Hsiang; Wu, Meng-Chyi

    2008-06-01

    We have studied the characteristics of blue InGaN /GaN multiquantum-well light-emitting diodes (LEDs) after reducing the length of the lateral current path through the transparent layer through formation of a peripheral high-resistance current-blocking region in the Mg-doped GaN layer. To study the mechanism of selective activation in the Mg-doped GaN layer, we deposited titanium (Ti), gold (Au), Ti /Au, silver, and copper individually onto the Mg-doped GaN layer and investigated their effects on the hole concentration in the p-GaN layer. The Mg-doped GaN layer capped with Ti effectively depressed the hole concentration in the p-GaN layer by over one order of magnitude relative to that of the as-grown layer. This may suggest that high resistive regions are formed by diffusion of Ti and depth of high resistive region from the p-GaN surface depends on the capped Ti film thickness. Selective activation of the Mg-doped GaN layer could be used to modulate the length of the lateral current path. Furthermore, the external quantum efficiency of the LEDs was improved significantly after reducing the lateral current spreading length. In our best result, the external quantum efficiency was 52.3% higher (at 100mA) than that of the as-grown blue LEDs.

  3. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  4. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  5. Buffer layers on metal surfaces having biaxial texture as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /Ni, (RE=Rare Earth), RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /CeO.sub.2 /Ni, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /CeO.sub.2 /Cu, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approaches, which include chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  6. Efficiency enhancement of polymer solar cells by applying poly(vinylpyrrolidone) as a cathode buffer layer via spin coating or self-assembly.

    PubMed

    Wang, Haitao; Zhang, Wenfeng; Xu, Chenhui; Bi, Xianghong; Chen, Boxue; Yang, Shangfeng

    2013-01-01

    A non-conjugated polymer poly(vinylpyrrolidone) (PVP) was applied as a new cathode buffer layer in P3HT:PCBM bulk heterojunction polymer solar cells (BHJ-PSCs), by means of either spin coating or self-assembly, resulting in significant efficiency enhancement. For the case of incorporation of PVP by spin coating, power conversion efficiency (PCE) of the ITO/PEDOT:PSS/P3HT:PCBM/PVP/Al BHJ-PSC device (3.90%) is enhanced by 29% under the optimum PVP spin-coating speed of 3000 rpm, which leads to the optimum thickness of PVP layer of ~3 nm. Such an efficiency enhancement is found to be primarily due to the increase of the short-circuit current (J(sc)) (31% enhancement), suggesting that the charge collection increases upon the incorporation of a PVP cathode buffer layer, which originates from the conjunct effects of the formation of a dipole layer between P3HT:PCBM active layer and Al electrodes, the chemical reactions of PVP molecules with Al atoms, and the increase of the roughness of the top Al film. Incorporation of PVP layer by doping PVP directly into the P3HT:PCBM active layer leads to an enhancement of PCE by 13% under the optimum PVP doping ratio of 3%, and this is interpreted by the migration of PVP molecules to the surface of the active layer via self-assembly, resulting in the formation of the PVP cathode buffer layer. While the formation of the PVP cathode buffer layer is fulfilled by both fabrication methods (spin coating and self-assembly), the dependence of the enhancement of the device performance on the thickness of the PVP cathode buffer layer formed by self-assembly or spin coating is different, because of the different aggregation microstructures of the PVP interlayer.

  7. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  8. 19.5%-Efficient CuIn1-xGaxSe2 Photovoltaic Cells Using A Cd-Zn-S Buffer Layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya. R. N.

    2008-01-01

    CuIn1-xGaxSe2 (CIGS) solar cell junctions prepared by chemical-bath-deposited (CBD) Zn1-xCdxS (CdZnS), ZnS, and CdS buffer layers are discussed. A 19.52%-efficient, CIGS-based, thin-film photovoltaic device has been fabricated using a single-layer CBD CdZnS buffer layer. The mechanism that creates extensive hydroxide and oxide impurities in CBD-ZnS and CBD-CdZnS thin films (compared to CBD-CdS thin film) is presented.

  9. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  10. Effect of Alloy 625 Buffer Layer on Hardfacing of Modified 9Cr-1Mo Steel Using Nickel Base Hardfacing Alloy

    NASA Astrophysics Data System (ADS)

    Chakraborty, Gopa; Das, C. R.; Albert, S. K.; Bhaduri, A. K.; Murugesan, S.; Dasgupta, Arup

    2016-04-01

    Dashpot piston, made up of modified 9Cr-1Mo steel, is a part of diverse safety rod used for safe shutdown of a nuclear reactor. This component was hardfaced using nickel base AWS ER NiCr-B alloy and extensive cracking was experienced during direct deposition of this alloy on dashpot piston. Cracking reduced considerably and the component was successfully hardfaced by application of Inconel 625 as buffer layer prior to hardface deposition. Hence, a separate study was undertaken to investigate the role of buffer layer in reducing the cracking and on the microstructure of the hardfaced deposit. Results indicate that in the direct deposition of hardfacing alloy on modified 9Cr-1Mo steel, both heat-affected zone (HAZ) formed and the deposit layer are hard making the thickness of the hard layer formed equal to combined thickness of both HAZ and deposit. This hard layer is unable to absorb thermal stresses resulting in the cracking of the deposit. By providing a buffer layer of Alloy 625 followed by a post-weld heat treatment, HAZ formed in the modified 9Cr-1Mo steel is effectively tempered, and HAZ formed during the subsequent deposition of the hardfacing alloy over the Alloy 625 buffer layer is almost completely confined to Alloy 625, which does not harden. This reduces the cracking susceptibility of the deposit. Further, unlike in the case of direct deposition on modified 9Cr-1Mo steel, dilution of the deposit by Ni-base buffer layer does not alter the hardness of the deposit and desired hardness on the deposit surface could be achieved even with lower thickness of the deposit. This gives an option for reducing the recommended thickness of the deposit, which can also reduce the risk of cracking.

  11. Simultaneous enhancement of photovoltage and charge transfer in Cu{sub 2}O-based photocathode using buffer and protective layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Changli; Delaunay, Jean-Jacques, E-mail: jean@mech.t.u-tokyo.ac.jp; Hisatomi, Takashi

    2016-07-18

    Coating n-type buffer and protective layers on Cu{sub 2}O may be an effective means to improve the photoelectrochemical (PEC) water-splitting performance of Cu{sub 2}O-based photocathodes. In this letter, the functions of the buffer layer and protective layer on Cu{sub 2}O are examined. It is found that a Ga{sub 2}O{sub 3} buffer layer can form a buried junction with Cu{sub 2}O, which inhibits Cu{sub 2}O self-reduction as well as increases the photovoltage through a small conduction band offset between the two semiconductors. The introduction of a TiO{sub 2} thin protective layer not only improves the stability of the photocathode but alsomore » enhances the electron transfer from the photocathode surface into the electrolyte, thus resulting in an increase in photocurrent at positive potentials. These results show that the selection of overlayers with appropriate conduction band positions provides an effective strategy for obtaining a high photovoltage and high photocurrent in PEC systems.« less

  12. Optimization design on breakdown voltage of AlGaN/GaN high-electron mobility transistor

    NASA Astrophysics Data System (ADS)

    Yang, Liu; Changchun, Chai; Chunlei, Shi; Qingyang, Fan; Yuqian, Liu

    2016-12-01

    Simulations are carried out to explore the possibility of achieving high breakdown voltage of GaN HEMT (high-electron mobility transistor). GaN cap layers with gradual increase in the doping concentration from 2 × 1016 to 5 × 1019 cm-3 of N-type and P-type cap are investigated, respectively. Simulation results show that HEMT with P-doped GaN cap layer shows more potential to achieve higher breakdown voltage than N-doped GaN cap layer under the same doping concentration. This is because the ionized net negative space charges in P-GaN cap layer could modulate the surface electric field which makes more contribution to RESURF effect. Furthermore, a novel GaN/AlGaN/GaN HEMT with P-doped GaN buried layer in GaN buffer between gate and drain electrode is proposed. It shows enhanced performance. The breakdown voltage of the proposed structure is 640 V which is increased by 12% in comparison to UID (un-intentionally doped) GaN/AlGaN/GaN HEMT. We calculated and analyzed the distribution of electrons' density. It is found that the depleted region is wider and electric field maximum value is induced at the left edge of buried layer. So the novel structure with P-doped GaN buried layer embedded in GaN buffer has the better improving characteristics of the power devices. Project supported by the National Basic Research Program of China (No. 2014CB339900) and the Open Fund of Key Laboratory of Complex Electromagnetic Environment Science and Technology, China Academy of Engineering Physics (No. 2015-0214.XY.K).

  13. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  14. Tellurium n-type doping of highly mismatched amorphous GaN 1-xAs x alloys in plasma-assisted molecular beam epitaxy

    DOE PAGES

    Novikov, S. V.; Ting, M.; Yu, K. M.; ...

    2014-10-01

    In this paper we report our study on n-type Te doping of amorphous GaN 1-xAs x layers grown by plasma-assisted molecular beam epitaxy. We have used a low temperature PbTe source as a source of tellurium. Reproducible and uniform tellurium incorporation in amorphous GaN 1-xAs x layers has been successfully achieved with a maximum Te concentration of 9×10²⁰ cm⁻³. Tellurium incorporation resulted in n-doping of GaN 1-xAs x layers with Hall carrier concentrations up to 3×10¹⁹ cm⁻³ and mobilities of ~1 cm²/V s. The optimal growth temperature window for efficient Te doping of the amorphous GaN 1-xAs x layers hasmore » been determined.« less

  15. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  16. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  17. Finding the lost open-circuit voltage in polymer solar cells by UV-ozone treatment of the nickel acetate anode buffer layer.

    PubMed

    Wang, Fuzhi; Sun, Gang; Li, Cong; Liu, Jiyan; Hu, Siqian; Zheng, Hua; Tan, Zhan'ao; Li, Yongfang

    2014-06-25

    Efficient polymer solar cells (PSCs) with enhanced open-circuit voltage (Voc) are fabricated by introducing solution-processed and UV-ozone (UVO)-treated nickel acetate (O-NiAc) as an anode buffer layer. According to X-ray photoelectron spectroscopy data, NiAc partially decomposed to NiOOH during the UVO treatment. NiOOH is a dipole species, which leads to an increase in the work function (as confirmed by ultraviolet photoemission spectroscopy), thus benefitting the formation of ohmic contact between the anode and photoactive layer and leading to increased Voc. In addition, the UVO treatment improves the wettability between the substrate and solvent of the active layer, which facilitates the formation of an upper photoactive layer with better morphology. Further, the O-NiAc layer can decrease the series resistance (Rs) and increase the parallel resistance (Rp) of the devices, inducing enhanced Voc in comparison with the as-prepared NiAc-buffered control devices without UVO treatment. For PSCs based on the P3HT:PCBM system, Voc increases from 0.50 to 0.60 V after the NiAc buffer layer undergoes UVO treatment. Similarly, in the P3HT:ICBA system, the Voc value of the device with a UVO-treated NiAc buffer layer increases from 0.78 to 0.88 V, showing an enhanced power conversion efficiency of 6.64%.

  18. High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.

    PubMed

    Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing

    2013-06-17

    High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.

  19. Effect of CoSi2 buffer layer on structure and magnetic properties of Co films grown on Si (001) substrate

    NASA Astrophysics Data System (ADS)

    Hu, Bo; He, Wei; Ye, Jun; Tang, Jin; Syed Sheraz, Ahmad; Zhang, Xiang-Qun; Cheng, Zhao-Hua

    2015-01-01

    Buffer layer provides an opportunity to enhance the quality of ultrathin magnetic films. In this paper, Co films with different thickness of CoSi2 buffer layers were grown on Si (001) substrates. In order to investigate morphology, structure, and magnetic properties of films, scanning tunneling microscope (STM), low energy electron diffraction (LEED), high resolution transmission electron microscopy (HRTEM), and surface magneto-optical Kerr effect (SMOKE) were used. The results show that the crystal quality and magnetic anisotropies of the Co films are strongly affected by the thickness of CoSi2 buffer layers. Few CoSi2 monolayers can prevent the interdiffusion of Si substrate and Co film and enhance the Co film quality. Furthermore, the in-plane magnetic anisotropy of Co film with optimal buffer layer shows four-fold symmetry and exhibits the two-jumps of magnetization reversal process, which is the typical phenomenon in cubic (001) films. Project supported by the National Basic Research Program of China (Grant Nos. 2011CB921801 and 2012CB933102), the National Natural Science Foundation of China (Grant Nos. 11374350, 11034004, 11274361, and 11274033), and the Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20131102130005).

  20. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  1. SnS2 films deposited from molecular ink as Cd-free alternative buffer layer for solar cells

    NASA Astrophysics Data System (ADS)

    Jariwala, Akshay; Chaudhuri, Tapas K.; Toshniwal, Aditi; Patel, Sanjay; Kheraj, Vipul; Ray, Abhijit

    2018-05-01

    This work investigates the potential of SnS2 as a Cd-free alternative buffer layer for CIGS solar cells. The suitability of SnS2 film as a buffer layer has been evaluated by numerical analysis using SCAPS software. A new simple method for preparation of SnS2 films by dip-coating from molecular ink is reported. The formation of SnS2 is confirmed by Raman spectroscopy. The films are smooth and shiny with roughness of 2-3 nm. The films are n-type with band gap of 2.6 eV and electrical conductivity of 10-3 S/cm.

  2. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  3. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE PAGES

    Varley, J. B.; Lordi, V.; He, X.; ...

    2017-07-17

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  4. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  5. Coincident site lattice-matched growth of semiconductors on substrates using compliant buffer layers

    DOEpatents

    Norman, Andrew

    2016-08-23

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a silicon substrate using a compliant buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The compliant buffer material and semiconductor materials may be deposited using coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The coincident site lattice matching epitaxial process, as well as the use of a ductile buffer material, reduce the internal stresses and associated crystal defects within the deposited semiconductor materials fabricated using the disclosed method. As a result, the semiconductor devices provided herein possess enhanced performance characteristics due to a relatively low density of crystal defects.

  6. Y1Ba2Cu3O(6+delta) growth on thin Y-enhanced SiO2 buffer layers on silicon

    NASA Technical Reports Server (NTRS)

    Robin, T.; Mesarwi, A.; Wu, N. J.; Fan, W. C.; Espoir, L.; Ignatiev, A.; Sega, R.

    1991-01-01

    SiO2 buffer layers as thin as 2 nm have been developed for use in the growth of Y1Ba2Cu3O(6+delta) thin films on silicon substrates. The SiO2 layers are formed through Y enhancement of silicon oxidation, and are highly stoichiometric. Y1Ba2Cu3O(6+delta) film growth on silicon with thin buffer layers has shown c orientation and Tc0 = 78 K.

  7. Modified secondary lithium metal batteries with the polyaniline-carbon nanotube composite buffer layer.

    PubMed

    Zhang, Ding; Yin, Yanli; Liu, Changhong; Fan, Shoushan

    2015-01-07

    A modified secondary lithium metal battery inserted with a polyaniline-carbon nanotube nanoporous composite buffer layer was fabricated. This unique and simple design of battery has the great potential to decrease the safety risk of the secondary Li metal battery in cycles of recharging processes and improve its cycle life in the future.

  8. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  9. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  10. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  11. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  12. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    NASA Astrophysics Data System (ADS)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  13. Energetics of cubic and hexagonal phases in Mn-doped GaN : First-principles pseudopotential calculations

    NASA Astrophysics Data System (ADS)

    Choi, Eun-Ae; Kang, Joongoo; Chang, K. J.

    2006-12-01

    We perform first-principles pseudopotential calculations to study the influence of Mn doping on the stability of two polytypes, wurtzite and zinc-blende, in GaN . In Mn δ -doped GaN and GaMnN alloys, we find similar critical concentrations of the Mn ions for stabilizing the zinc-blende phase against the wurtzite phase. Using a slab geometry of hexagonal lattices, we find that it is energetically unfavorable to form inversion domains with Mn exposure, in contrast to Mg doping. At the initial stage of epitaxial growth, a stacking fault that leads to the cubic bonds can be generated with the Mn exposure to the Ga-polar surface. However, the influence of the Mn δ -doped layer on the formation of the cubic phase is only effective for GaN layers deposited up to two monolayers. We find that the Mn ions are energetically more stable on the growth front than in the bulk, indicating that these ions act as a surfactant. Thus it is possible to grow cubic GaN if the Mn ions are periodically supplied or diffuse out from the Mn δ -doped layer to the growth front during the growth process.

  14. Thermal functionalization of GaN surfaces with 1-alkenes.

    PubMed

    Schwarz, Stefan U; Cimalla, Volker; Eichapfel, Georg; Himmerlich, Marcel; Krischok, Stefan; Ambacher, Oliver

    2013-05-28

    A thermally induced functionalization process for gallium nitride surfaces with 1-alkenes is introduced. The resulting functionalization layers are characterized with atomic force microscopy and X-ray photoelectron spectroscopy and compared to reference samples without and with a photochemically generated functionalization layer. The resulting layers show very promising characteristics as functionalization for GaN based biosensors. On the basis of the experimental results, important characteristics of the functionalization layers are estimated and a possible chemical reaction scheme is proposed.

  15. FIBER AND INTEGRATED OPTICS: Investigation of a fiber-optic polarizer with a metal film and a dielectric buffer layer

    NASA Astrophysics Data System (ADS)

    Gelikonov, V. M.; Gusovskiĭ, D. D.; Konoplev, Yu N.; Leonov, V. I.; Mamaev, Yu A.; Turkin, A. A.

    1990-01-01

    A model of a plane-layer waveguide is used in a theoretical analysis of the attenuation coefficients of the TM0 and TE0 waves in a fiber-optic polarizer with a metal film and two dielectric buffer layers, one of which is the residual part of the fiber cladding. A report is given of the construction and experimental investigation of polarizers with a buffer layer of magnesium fluoride and an aluminum film operating at wavelengths of 0.63 and 0.81 μm and characterized by extinction coefficients of at least 53 and 46 dB, respectively, and by losses not exceeding 0.5 dB.

  16. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  17. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  18. Uniformity of dc and rf performance of MBE-grown AlGaN/GaN HEMTS on HVPE-grown buffers

    NASA Astrophysics Data System (ADS)

    Gillespie, J. K.; Fitch, R. C.; Moser, N.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Dabiran, A. M.; Chow, P. P.; Osinsky, A.; Mastro, M. A.; Tsvetkov, D.; Soukhoveev, V.; Usikov, A.; Dmitriev, V.; Luo, B.; Pearton, S. J.; Ren, F.

    2003-10-01

    AlGaN/GaN high electron mobility transistors (HEMTs) were grown by molecular beam epitaxy (MBE) on 2 in. diameter GaN buffer layers grown by hydride vapor epitaxy (HVPE) on sapphire substrates. HEMTs with 1 μm gate length displayed excellent dc and rf performance uniformity with up to 258 separate devices measured for each parameter. The drain-source saturation current was 561 mA with a standard deviation of 1.9% over the 2 in. diameter, with a corresponding transconductance of 118 ± 3.9 mS/mm. The threshold voltage was -5.3 ± 0.07 V. The rf performance uniformity was equally good, with an fT of 8.6 ± 0.8 GHz and fmax of 12.8 ± 2.5 GHz. The results show the excellent uniformity of the MBE technique for producing AlGaN/GaN HEMTs and also the ability of HVPE to provide high quality buffers at low cost.

  19. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  20. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    NASA Astrophysics Data System (ADS)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  1. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  2. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  3. Nitride Semiconductors for Ultraviolet Detection

    DTIC Science & Technology

    1992-12-01

    intrinsic n- and p-type doped GaN, (4) deposition of monocrystalline GaN via atomic layer epitaxy, (5) the initial conduct of studies regarding the ion...crystalline quality of the films; it indicated that all the films for x ranging from I to 0 to be monocrystalline . The Al/Ga composition ratios in the...shown in Figure 1. An analysis of these RHEED patterns indicated that both the AIN buffer layer and the GaN film are monocrystalline films. The RHEED

  4. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  5. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  6. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  7. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    PubMed

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  8. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  9. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    NASA Astrophysics Data System (ADS)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  10. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  11. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  12. Colloidal quantum dot active layers for light emitting diodes

    NASA Astrophysics Data System (ADS)

    Pagan, Jennifer G.; Stokes, Edward B.; Patel, Kinnari; Burkhart, Casey C.; Ahrens, Michael T.; Barletta, Philip T.; O'Steen, Mark

    2006-07-01

    In this paper the preliminary results of incorporating a novel active layer into a GaN light emitting diode (LED) are discussed. Integration of colloidal CdSe quantum dots into a GaN LED active layer is demonstrated. Properties of p-type Mg doped overgrowth GaN are examined via circular transmission line method (CTLM). Effects on surface roughness due to the active layer incorporation are examined using atomic force microscopy (AFM). Electroluminescence of LED test structures is reported, and an ideality factor of n = 1.6 is demonstrated.

  13. Separation of effects of InGaN/GaN superlattice on performance of light-emitting diodes using mid-temperature-grown GaN layer

    NASA Astrophysics Data System (ADS)

    Sugimoto, Kohei; Okada, Narihito; Kurai, Satoshi; Yamada, Yoichi; Tadatomo, Kazuyuki

    2018-06-01

    We evaluated the electrical properties of InGaN-based light-emitting diodes (LEDs) with a superlattice (SL) layer or a mid-temperature-grown GaN (MT-GaN) layer just beneath the multiple quantum wells (MQWs). Both the SL layer and the MT-GaN layer were effective in improving the electroluminescence (EL) intensity. However, the SL layer had a more pronounced effect on the EL intensity than did the MT-GaN layer. Based on a comparison with devices with an MT-GaN layer, the overall effects of the SL could be separated into the effect of the V-pits and the structural or compositional effect of the SL. It was observed that the V-pits formed account for 30% of the improvement in the LED performance while the remaining 70% can be attributed to the structural or compositional effect of the SL.

  14. Directed Vertical Diffusion of Photovoltaic Active Layer Components into Porous ZnO-Based Cathode Buffer Layers.

    PubMed

    Kang, Jia-Jhen; Yang, Tsung-Yu; Lan, Yi-Kang; Wu, Wei-Ru; Su, Chun-Jen; Weng, Shih-Chang; Yamada, Norifumi L; Su, An-Chung; Jeng, U-Ser

    2018-04-01

    Cathode buffer layers (CBLs) can effectively further the efficiency of polymer solar cells (PSCs), after optimization of the active layer. Hidden between the active layer and cathode of the inverted PSC device configuration is the critical yet often unattended vertical diffusion of the active layer components across CBL. Here, a novel methodology of contrast variation with neutron and anomalous X-ray reflectivity to map the multicomponent depth compositions of inverted PSCs, covering from the active layer surface down to the bottom of the ZnO-based CBL, is developed. Uniquely revealed for a high-performance model PSC are the often overlooked porosity distributions of the ZnO-based CBL and the differential diffusions of the polymer PTB7-Th and fullerene derivative PC 71 BM of the active layer into the CBL. Interface modification of the ZnO-based CBL with fullerene derivative PCBEOH for size-selective nanochannels can selectively improve the diffusion of PC 71 BM more than that of the polymer. The deeper penetration of PC 71 BM establishes a gradient distribution of fullerene derivatives over the ZnO/PCBE-OH CBL, resulting in markedly improved electron mobility and device efficiency of the inverted PSC. The result suggests a new CBL design concept of progressive matching of the conduction bands. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Flexible GaN for High Performance, Strainable Radio Frequency Devices (Postprint)

    DTIC Science & Technology

    2017-11-02

    devices on van der Waals (vdW) layers has been facilitated by the recent avail - ability of high -quality atomically smooth BN and graphene epi- taxial...AFRL-RX-WP-JA-2017-0333 FLEXIBLE GaN FOR HIGH PERFORMANCE, STRAINABLE RADIO FREQUENCY DEVICES (POSTPRINT) Elizabeth A. Moore and Timothy...2. REPORT TYPE 3. DATES COVERED (From - To) 5 April 2017 Interim 8 September 2014 – 5 March 2017 4. TITLE AND SUBTITLE FLEXIBLE GaN FOR HIGH

  16. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  17. Electrical properties of n-type GaSb substrates and p-type GaSb buffer layers for InAs/InGaSb superlattice infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchel, W. C., E-mail: William.Mitchel.1@us.af.mil; Haugan, H. J.; Mou, Shin

    2015-09-15

    Lightly doped n-type GaSb substrates with p-type GaSb buffer layers are the preferred templates for growth of InAs/InGaSb superlattices used in infrared detector applications because of relatively high infrared transmission and a close lattice match to the superlattices. We report here temperature dependent resistivity and Hall effect measurements of bare substrates and substrate-p-type buffer layer structures grown by molecular beam epitaxy. Multicarrier analysis of the resistivity and Hall coefficient data demonstrate that high temperature transport in the substrates is due to conduction in both the high mobility zone center Γ band and the low mobility off-center L band. High overallmore » mobility values indicate the absence of close compensation and that improved infrared and transport properties were achieved by a reduction in intrinsic acceptor concentration. Standard transport measurements of the undoped buffer layers show p-type conduction up to 300 K indicating electrical isolation of the buffer layer from the lightly n-type GaSb substrate. However, the highest temperature data indicate the early stages of the expected p to n type conversion which leads to apparent anomalously high carrier concentrations and lower than expected mobilities. Data at 77 K indicate very high quality buffer layers.« less

  18. Photochemical Modification of Single Crystalline GaN Film Using n-Alkene with Different Carbon Chain Lengths as Biolinker.

    PubMed

    Wang, Chun; Zhuang, Hao; Huang, Nan; Heuser, Steffen; Schlemper, Christoph; Zhai, Zhaofeng; Liu, Baodan; Staedler, Thorsten; Jiang, Xin

    2016-06-14

    As a potential material for biosensing applications, gallium nitride (GaN) films have attracted remarkable attention. In order to construct GaN biosensors, a corresponding immobilization of biolinkers is of great importance in order to render a surface bioactive. In this work, two kinds of n-alkenes with different carbon chain lengths, namely allylamine protected with trifluoroacetamide (TFAAA) and 10-aminodec-1-ene protected with trifluoroacetamide (TFAAD), were used to photochemically functionalize single crystalline GaN films. The successful linkage of both TFAAA and TFAAD to the GaN films is confirmed by time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurement. With increased UV illumination time, the intensity of the secondary ions corresponding to the linker molecules initially increases and subsequently decreases in both cases. Based on the SIMS measurements, the maximum coverage of TFAAA is achieved after 14 h of UV illumination, while only 2 h is required in the case of TFAAD to reach the situation of a fully covered GaN surface. This finding leads to the conclusion that the reaction rate of TFAAD is significantly higher compared to TFAAA. Measurements by atomic force microscopy (AFM) indicate that the coverage of GaN films by a TFAAA layer leads to an increased surface roughness. The atomic terraces, which are clearly observable for the pristine GaN films, disappear once the surface is fully covered by a TFAAA layer. Such TFAAA layers will feature a homogeneous surface topography even for reaction times of 24 h. In contrast to this, TFAAD shows strong cross-polymerization on the surface, this is confirmed by optical microscopy. These results demonstrate that TFAAA is a more suitable candidate as biolinker in context of the GaN surfaces due to its improved controllability.

  19. Synthesis and characterizations of nanoscale single crystal GaN grown by ion assisted gas source MBE

    NASA Astrophysics Data System (ADS)

    Cui, Bentao; Cohen, P. I.

    2004-03-01

    Nanoscale patterns could be induced by ion bombardment [1, 2]. In this study, an in-situ real time light scattering technique, combined with Reflection High Energy Electron Diffraction (RHEED), were used to study the surface morphology evolution during the ion beam assisted growth of GaN in a gas source MBE system. Ga was provided by a thermal effusion cell. Ammonia was used as the nitrogen source. A hot-filament Kaufman ion source was used to supply sub-KeV ion beams. Sapphire and MOCVD GaN templates were used as the substrates. A custom-designed Desorption Mass Spectrometer (DMS) was used to calibrate the growth temperature and determine the growth rate. Before growing GaN, the sapphire substrates were pretreated in an ion flux and then annealed for cleaning. The sapphire surface was then nitrided in ammonia at 1100K for about 10 min. After nitridation, a thin GaN buffer layer was prepared by a sequence of adsorption and annealing steps. During the growth, the short-range surface morphology and film quality were monitored in situ by RHEED. In a real-time way, the long-range surface morphology was monitored in-situ by light scattering technique. Photodiode array detector and CCD camera were used to record the reflected light scattering intensity and spectra profile respectively. Periodical patterns, such as ripple, have been observed during ion bombardment on GaN with or without growth. A linear theory (from Bradley and Harper 1988 [3]) has been modified to explain the dependence of ripple wavelength on ion species and ion energy. Partially supported by the National Science Foundation and the Air Force Office of Scientific Research. [1]. J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro, Phys. Rev. Lett. 82, 2330 (1998); J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro, Phys. Rev. Lett. 84, 5800 (2000). [2]. S. Facsko, T. Dekorsy, C. Koerdt, C. Trappe, H. Kurz, A. Vogt et al.. Science 285, 1551 (1999). [3]. R. M. Bradley

  20. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  1. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  2. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  3. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  6. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  7. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  8. Evaluation of methods for application of epitaxial layers of superconductor and buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1997-06-01

    The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, basedmore » on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process

  9. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  10. Fabrication of current confinement aperture structure by transforming a conductive GaN:Si epitaxial layer into an insulating GaOx layer.

    PubMed

    Lin, Chia-Feng; Lee, Wen-Che; Shieh, Bing-Cheng; Chen, Danti; Wang, Dili; Han, Jung

    2014-12-24

    We report here a simple and robust process to convert embedded conductive GaN epilayers into insulating GaOx and demonstrate its efficacy in vertical current blocking and lateral current steering in a working LED device. The fabrication processes consist of laser scribing, electrochemical (EC) wet-etching, photoelectrochemical (PEC) oxidation, and thermal oxidization of a sacrificial n(+)-GaN:Si layer. The conversion of GaN is made possible through an intermediate stage of porosification where the standard n-type GaN epilayers can be laterally and selectively anodized into a nanoporous (NP) texture while keeping the rest of the layers intact. The fibrous texture of NP GaN with an average wall thickness of less than 100 nm dramatically increases the surface-to-volume ratio and facilitates a rapid oxidation process of GaN into GaOX. The GaOX aperture was formed on the n-side of the LED between the active region and the n-type GaN layer. The wavelength blueshift phenomena of electroluminescence spectra is observed in the treated aperture-emission LED structure (441.5 nm) when compared to nontreated LED structure (443.7 nm) at 0.1 mA. The observation of aperture-confined electroluminescence from an InGaN LED structure suggests that the NP GaN based oxidation will play an enabling role in the design and fabrication of III-nitride photonic devices.

  11. MOCVD growth and study of thin films of indium nitride

    NASA Astrophysics Data System (ADS)

    Jain, Abhishek

    This thesis is focused on a study of MOCVD growth of InN with the goal of providing new information on the effects of growth conditions and buffer/substrate materials on InN film properties. Initial studies, using both (111) Si and (0001) sapphire substrates, identified an optimum growth temperature window of 540--560°C for the formation of stable InN films. When attempting to grow InN films on sapphire with thicknesses greater than approximately 150 nanometers using an AlN buffer layer, the InN films were observed to delaminate from the buffer/substrate at growth temperature. The combined effect of compressive stress due to high lattice mismatch between InN and AlN (˜14%) and tensile stress due to grain coalescence along with the relatively weak bond strength of InN compared to GaN and AlN, is believed to cause the InN film to crack along the interface and delaminate. To further investigate the effect of the buffer layer on InN growth, studies were carried out using GaN films grown on sapphire as the growth template. Recent MBE results had indicated a significant difference in the thermal stability and growth mode of In-polar and N-polar InN, with improved properties reported for N-polar material grown on N-polar GaN. MOCVD growth of N-polar GaN is very difficult; consequently, all of the results reported in the literature for InN growth on GaN were likely carried out on Ga-polar material resulting in films with a high surface roughness. By utilizing N-polar and Ga-polar GaN films, it was possible to produce N-polar and In-polar InN films by MOCVD, as determined by convergent beam electron diffraction (CBED) analysis. Furthermore, the polarity was found to dramatically alter the surface roughness and growth mode of the InN films with enhanced lateral growth and reduced surface roughness obtained for N-polar InN. A qualitative model was proposed to explain the different growth mechanisms observed for In-polar and N-polar InN. In spite of the improvements in

  12. Magneto-optical properties of CoFeB ultrathin films: Effect of Ta buffer and capping layer

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Gupta, Nanhe Kumar; Barwal, Vineet; Chaudhary, Sujeet

    2018-05-01

    The effect of adding Ta as a capping and buffer layer on ultrathin CFB(Co60Fe20B20) thin films has been investigated by magneto-optical Kerr effect. A large difference in the coercivity and saturation field is observed between the single layer CFB(2nm) and Ta(5nm)/CFB(2nm)/Ta(2nm) trilayer structure. In particular, the in-plane anisotropy energy is found to be 90kJ/m3 on CFB(2nm) and 2.22kJ/m3 for Ta(5nm)/CFB(2nm)/Ta(2nm) thin films. Anisotropy energy further reduced to 0.93kJ/m3 on increasing the CFB thinness in trilayer structure i.e., Ta(5nm)/CFB(4nm)/Ta(2nm). Using VSM measurement, the saturation magnetization is found to be 1230±50 kA/m. Low coercivity and anisotropy energy in capped and buffer layer thin films envisage the potential of employing CFB for low field switching applications of the spintronic devices.

  13. Investigation of noble metal substrates and buffer layers for BiSrCaCuO thin films

    NASA Astrophysics Data System (ADS)

    Matthiesen, M. M.; Rubin, L. M.; Williams, K. E.; Rudman, D. A.

    Noble metal buffer layers and substrates for Bi2Sr2CaCu2O8 (BSCCO) films were investigated using bulk ceramic processing and thin-film techniques. Highly oriented, superconducting BSCCO films were fabricated on polycrystalline Ag substrates and on Ag/MgO and Ag/YSZ structures. Such films could not be produced on Au or Pt substrates under any annealing conditions. In addition, superconducting BSCCO films could not be produced on Ag/Al2O3, Ag/SiO2/Si, or Ag/(Haynes 230 alloy) structures using high annealing temperatures (870 C). However, oriented although poorly connected, superconducting BSCCO films were fabricated on Ag/Al2O3 structures by using lower annealing temperatures (820 C). Once lower processing temperatures are optimized, Ag may be usable as a buffer layer for BSCCO films.

  14. Atomic-scale and pit-free flattening of GaN by combination of plasma pretreatment and time-controlled chemical mechanical polishing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deng, Hui; Endo, Katsuyoshi; Yamamura, Kazuya, E-mail: yamamura@upst.eng.osaka-u.ac.jp

    2015-08-03

    Chemical mechanical polishing (CMP) combined with atmospheric-pressure plasma pretreatment was applied to a GaN (0001) substrate. The irradiation of a CF{sub 4}-containing plasma was proven to be very useful for modifying the surface of GaN. When CMP was conducted on a plasma-irradiated surface, a modified layer of GaF{sub 3} acted as a protective layer on GaN by preventing the formation of etch pits. Within a short duration (8 min) of CMP using a commercially available CeO{sub 2} slurry, an atomically flat surface with a root mean square (rms) roughness of 0.11 nm was obtained. Moreover, etch pits, which are inevitably introduced inmore » conventional CMP, could not be observed at the dislocation sites on the polished GaN surface. It was revealed that CMP combined with the plasma pretreatment was very effective for obtaining a pit-free and atomically flat GaN surface.« less

  15. Effect of screw threading dislocations and inverse domain boundaries in GaN on the shape of reciprocal-space maps.

    PubMed

    Barchuk, Mykhailo; Motylenko, Mykhaylo; Lukin, Gleb; Pätzold, Olf; Rafaja, David

    2017-04-01

    The microstructure of polar GaN layers, grown by upgraded high-temperature vapour phase epitaxy on [001]-oriented sapphire substrates, was studied by means of high-resolution X-ray diffraction and transmission electron microscopy. Systematic differences between reciprocal-space maps measured by X-ray diffraction and those which were simulated for different densities of threading dislocations revealed that threading dislocations are not the only microstructure defect in these GaN layers. Conventional dark-field transmission electron microscopy and convergent-beam electron diffraction detected vertical inversion domains as an additional microstructure feature. On a series of polar GaN layers with different proportions of threading dislocations and inversion domain boundaries, this contribution illustrates the capability and limitations of coplanar reciprocal-space mapping by X-ray diffraction to distinguish between these microstructure features.

  16. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  17. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  18. Improvement of transmission properties of visible pilot beam for polymer-coated silver hollow fibers with acrylic silicone resin as buffer layer for sturdy structure

    NASA Astrophysics Data System (ADS)

    Iwai, Katsumasa; Takaku, Hiroyuki; Miyagi, Mitsunobu; Shi, Yi-Wei; Zhu, Xiao-Song; Matsuura, Yuji

    2017-02-01

    Flexible hollow fibers with 530-μm-bore size were developed for infrared laser delivery. Sturdy hollow fibers were fabricated by liquid-phase coating techniques. A silica glass capillary is used as the substrate. Acrylic silicone resin is used as a buffer layer and the buffer layer is firstly coated on the inner surface of the capillary to protect the glass tube from chemical damages due to the following silver plating process. A silver layer was inner-plated by using the conventional silver mirror-plating technique. To improve adhesion of catalyst to the buffer layer, a surface conditioner has been introduced in the method of silver mirror-plating technique. We discuss improvement of transmission properties of sturdy polymer-coated silver hollow fibers for the Er:YAG laser and red pilot beam delivery.

  19. Structure guided GANs

    NASA Astrophysics Data System (ADS)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  20. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  1. Chemical Bath Deposited Zinc Sulfide Buffer Layers for Copper Indium Gallium Sulfur-selenide Solar Cells and Device Analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundu, Sambhu N.; Olsen, Larry C.

    2005-01-03

    Cd free CIGSS thin film solar cell structures with a MgF2/TCO/CGD-ZnS/CIGSS/Mo/SLG structure have been fabricated using chemical bath deposited (CBD)-ZnS buffer layers and high quality CIGSS absorber layers supplied from Shell Solar Industries. The use of CBD-ZnS, which is a higher band gap materials than CdS, improved the quantum efficiency of fabricated cells at lower wavelengths, leading to an increase in short circuit current. The best cell to date yielded an active area (0.43 cm2) efficiency of 13.3%. This paper also presents a discussion of the issues relating to the use of the CBD-ZnS buffer materials for improving device performance.

  2. Effect of dopent on the structural and optical properties of ZnS thin film as a buffer layer in solar cell application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vashistha, Indu B., E-mail: indu-139@yahoo.com; Sharma, S. K.; Sharma, Mahesh C.

    2015-08-28

    In order to find the suitable alternative of toxic CdS buffer layer, deposition of pure ZnS and doped with Al by chemical bath deposition method have been reported. Further as grown pure and doped thin films have been annealed at 150°C. The structural and surface morphological properties have been characterized by X-Ray diffraction (XRD) and Atomic Force Microscope (AFM).The XRD analysis shows that annealed thin film has been polycrystalline in nature with sphalerite cubic crystal structure and AFM images indicate increment in grain size as well as growth of crystals after annealing. Optical measurement data give band gap of 3.5more » eV which is ideal band gap for buffer layer for solar cell suggesting that the obtained ZnS buffer layer is suitable in a low-cost solar cell.« less

  3. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  4. Study of gain and photoresponse characteristics for back-illuminated separate absorption and multiplication GaN avalanche photodiodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaodong; Pan, Ming; Hou, Liwei

    2014-01-07

    The gain and photoresponse characteristics have been numerically studied for back-illuminated separate absorption and multiplication (SAM) GaN avalanche photodiodes (APDs). The parameters of fundamental models are calibrated by simultaneously comparing the simulated dark and light current characteristics with the experimental results. Effects of environmental temperatures and device dimensions on gain characteristics have been investigated, and a method to achieve the optimum thickness of charge layer is obtained. The dependence of gain characteristics and breakdown voltage on the doping concentration of the charge layer is also studied in detail to get the optimal charge layer. The bias-dependent spectral responsivity and quantummore » efficiency are then presented to study the photoresponse mechanisms inside SAM GaN APDs. It is found the responsivity peak red-shifts at first due to the Franz-Keldysh effect and then blue-shifts due to the reach-through effect of the absorption layer. Finally, a new SAM GaN/AlGaN heterojunction APD structure is proposed for optimizing SAM GaN APDs.« less

  5. Nanometer-Scale Epitaxial Strain Release in Perovskite Heterostructures Using 'SrAlOx' Sliding Buffer Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Christopher

    2011-08-11

    We demonstrate the strain release of LaAlO{sub 3} epitaxial film on SrTiO{sub 3} (001) by inserting ultra-thin 'SrAlO{sub x}' buffer layers. Although SrAlO{sub x} is not a perovskite, nor stable as a single phase in bulk, epitaxy stabilizes the perovskite structure up to a thickness of 2 unit cells (uc). At a critical thickness of 3 uc of SrAlO{sub x}, the interlayer acts as a sliding buffer layer, and abruptly relieves the lattice mismatch between the LaAlO{sub 3} filmand the SrTiO{sub 3} substrate, while maintaining crystallinity. This technique may provide a general approach for strain relaxation of perovskite film farmore » below the thermodynamic critical thickness. A central issue in heteroepitaxial filmgrowth is the inevitable difference in lattice constants between the filmand substrate. Due to this lattice mismatch, thin film are subjected to microstructural strain, which can have a significan effect on the filmproperties. This challenge is especially prominent in the rapidly developing fiel of oxide electronics, where much interest is focused on incorporating the emergent physical properties of oxides in devices. Although strain can be used to great effect to engineer unusual ground states, it is often deleterious for bulk first-orde phase transitions, which are suppressed by the strain and symmetry constraints of the substrate. While there are some reports discussing the control of the lattice mismatch in oxides using thick buffer layers, the materials choice, lattice-tunable range, and control of misfit dislocations are still limited. In this Letter, we report the fabrication of strain-relaxed LaAlO{sub 3} (LAO) thin film on SrTiO{sub 3} (STO) (001) using very thin 'SrAlO{sub x}' (SAO) buffer layers. Whereas for 1 or 2 pseudo-perovskite unit cells (uc) of SAO, the subsequent LAO filmis strained to the substrate, at a critical thickness of 3 uc the SAO interlayer abruptly relieves the lattice mismatch between the LAO and the STO, although maintaining

  6. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  7. Tandem organic light-emitting diodes with buffer-modified C60/pentacene as charge generation layer

    NASA Astrophysics Data System (ADS)

    Wang, Zhen; Zheng, Xin; Liu, Fei; Wang, Pei; Gan, Lin; Wang, Jing-jing

    2017-09-01

    Buffer-modified C60/pentacene as charge generation layer (CGL) is investigated to achieve effective performance of charge generation. Undoped green electroluminescent tandem organic light-emitting diodes (OLEDs) with multiple identical emissive units and using buffer-modified C60/pentacene organic semiconductor heterojunction (OHJ) as CGL are demonstrated to exhibit better current density and brightness, compared with conventional single-unit devices. The current density and brightness both can be significantly improved with increasing the thickness of Al. However, excessive thickness of Al seriously decreases the transmittance of films and damages the interface. As a result, the maximum current efficiency of 1.43 cd·A-1 at 30 mA·cm-2 can be achieved for tandem OLEDs with optimal thickness of Al. These results clearly demonstrate that Cs2CO3/Al is an effective buffer for C60/pentacene-based tandem OLEDs.

  8. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  9. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  10. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  11. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  12. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  13. GaN light-emitting device based on ionic liquid electrolyte

    NASA Astrophysics Data System (ADS)

    Hirai, Tomoaki; Sakanoue, Tomo; Takenobu, Taishi

    2018-06-01

    Ionic liquids (ILs) are attractive materials for fabricating unique hybrid devices based on electronics and electrochemistry; thus, IL-gated transistors and organic light-emitting devices of light-emitting electrochemical cells (LECs) are investigated for future low-voltage and high-performance devices. In LECs, voltage application induces the formation of electrochemically doped p–n homojunctions owing to ion rearrangements in composites of semiconductors and electrolytes, and achieves electron–hole recombination for light emission at the homojunctions. In this work, we applied this concept of IL-induced electrochemical doping to the fabrication of GaN-based light-emitting devices. We found that voltage application to the layered IL/GaN structure accumulated electrons on the GaN surface owing to ion rearrangements and improved the conductivity of GaN. The ion rearrangement also enabled holes to be injected by the strong electric field of electric double layers on hole injection contacts. This simultaneous injection of holes and electrons into GaN mediated by ions achieves light emission at a low voltage of around 3.4 V. The light emission from the simple IL/GaN structure indicates the usefulness of an electrochemical technique in generating light emission with great ease of fabrication.

  14. Enhancement of indium incorporation to InGaN MQWs on AlN/GaN periodic multilayers

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Hafiz, Shopan; Das, Saikat; Izyumskaya, Natalia; Özgür, Ümit; Morkoç, Hadis; Avrutin, Vitaliy

    2016-02-01

    The effect of compressive strain in buffer layer on strain relaxation and indium incorporation in InGaN multi-quantum wells (MQWs) is studied for two sets of samples grown side by side on both relaxed GaN layers and strained 10-pairs of AlN/GaN periodic multilayers. The 14-nm AlN layers were utilized in both multilayers, while GaN thickness was 4.5 and 2.5 nm in the first and the second set, respectively. The obtained results for the InGaN active layers on relaxed GaN and AlN/GaN periodic multilayers indicate enhanced indium incorporation for more relaxed InGaN active layers providing a variety of emission colors from purple to green.

  15. Co-solvent enhanced zinc oxysulfide buffer layers in Kesterite copper zinc tin selenide solar cells.

    PubMed

    Steirer, K Xerxes; Garris, Rebekah L; Li, Jian V; Dzara, Michael J; Ndione, Paul F; Ramanathan, Kannan; Repins, Ingrid; Teeter, Glenn; Perkins, Craig L

    2015-06-21

    A co-solvent, dimethylsulfoxide (DMSO), is added to the aqueous chemical "bath" deposition (CBD) process used to grow ZnOS buffer layers for thin film Cu2ZnSnSe4 (CZTSe) solar cells. Device performance improves markedly as fill factors increase from 0.17 to 0.51 upon the co-solvent addition. X-ray photoelectron spectroscopy (XPS) analyses are presented for quasi-in situ CZTSe/CBD-ZnOS interfaces prepared under an inert atmosphere and yield valence band offsets equal to -1.0 eV for both ZnOS preparations. When combined with optical band gap data, conduction band offsets exceed 1 eV for the water and the water/DMSO solutions. XPS measurements show increased downward band bending in the CZTSe absorber layer when the ZnOS buffer layer is deposited from water only. Admittance spectroscopy data shows that the ZnOS deposited from water increases the built-in potential (Vbi) yet these solar cells perform poorly compared to those made with DMSO added. The band energy offsets imply an alternate form of transport through this junction. Possible mechanisms are discussed, which circumvent the otherwise large conduction band spike between CZTSe and ZnOS, and improve functionality with the low-band gap absorber, CZTSe (Eg = 0.96 eV).

  16. Performance and Metastability of CdTe Solar Cells with a Te Back-Contact Buffer Layer

    NASA Astrophysics Data System (ADS)

    Moore, Andrew

    Thin-film CdTe photovoltaics are quickly maturing into a viable clean-energy solution through demonstration of competitive costs and performance stability with existing energy sources. Over the last half decade, CdTe solar technology has achieved major gains in performance; however, there are still aspects that can be improved to progress toward their theoretical maximum efficiency. Perhaps equally valuable as high photovoltaic efficiency and a low levelized cost of energy, is device reliability. Understanding the root causes for changes in performance is essential for accomplishing long-term stability. One area for potential performance enhancement is the back contact of the CdTe device. This research incorporated a thin-film Te-buffer layer into the contact structure, between the CdTe and contact metal. The device performance and characteristics of many different back contact configurations were rigorously studied. CdTe solar cells fabricated with the Te-buffer contact showed short-circuit current densities and open-circuit voltages that were on par with the traditional back-contacts used at CSU. However, the Te-buffer contact typically produced 2% larger fill-factors on average, leading to greater conversation efficiency. Furthermore, using the Te buffer allowed for incorporation of 50% less Cu, which is used for p-type doping but is also known to decrease lifetime and stability. This resulted in an additional 3% fill-factor gain with no change in other parameters compared to the standard-Cu treated device. In order to better understand the physical mechanisms of the Te-buffer contact, electrical and material properties of the Te layer were extracted and used to construct a simple energy band diagram. The Te layer was found to be highly p-type (>1018 cm-3) and possess a positive valence-band offset of 0.35-0.40 eV with CdTe. An existing simulation model incorporating the Te-layer properties was implemented and validated by comparing simulated results of Cd

  17. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  18. ZnS/Zn(O,OH)S-based buffer layer deposition for solar cells

    DOEpatents

    Bhattacharya, Raghu N [Littleton, CO

    2009-11-03

    The invention provides CBD ZnS/Zn(O,OH)S and spray deposited ZnS/Zn(O,OH)S buffer layers prepared from a solution of zinc salt, thiourea and ammonium hydroxide dissolved in a non-aqueous/aqueous solvent mixture or in 100% non-aqueous solvent. Non-aqueous solvents useful in the invention include methanol, isopropanol and triethyl-amine. One-step deposition procedures are described for CIS, CIGS and other solar cell devices.

  19. Effect of V/III ratio on the surface morphology and electrical properties of m-plane (10 1 bar 0) GaN homoepitaxial layers

    NASA Astrophysics Data System (ADS)

    Barry, Ousmane I.; Tanaka, Atsushi; Nagamatsu, Kentaro; Bae, Si-Young; Lekhal, Kaddour; Matsushita, Junya; Deki, Manato; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi

    2017-06-01

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m-plane (10 1 bar 0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m-plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m-GaN samples were characterized. Low leakage current densities of the order of 10-10 A/cm2 at -5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

  20. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  1. Specific peptide for functionalization of GaN

    NASA Astrophysics Data System (ADS)

    Estephan, E.; Larroque, C.; Cloitre, T.; Cuisinier, F. J. G.; Gergely, C.

    2008-04-01

    Nanobiotechnology aims to exploit biomolecular recognition and self-assembly capabilities for integrating advanced materials into medicine and biology. However frequent problems are encountered at the interface of substrate-biological molecule, as the direct physical adsorption of biological molecules is dependent of unpredictable non-specific interactions with the surface, often causing their denaturation. Therefore, a proper functionalization of the substrate should avoid a loss of biological activity. In this work we address the functionalization of the semiconductor GaN (0001) for biosensing applications. The basic interest of using III-V class semiconductors is their good light emitting properties and a fair chemical stability that allows various applications of these materials. The technology chosen to elaborate GaN-specific peptides is the combinatorial phage-display method, a biological screening procedure based on affinity selection. An M13 bacteriophage library has been used to screen 10 10 different peptides against the GaN (0001) surface to finally isolate one specific peptide. The preferential attachment of the biotinylated selected peptide onto the GaN (0001), in close proximity to a surface of different chemical and structural composition has been demonstrated by fluorescence microscopy. Further physicochemical studies have been initiated to evaluate the semiconductor-peptide interface and understand the details in the specific recognition of peptides for semiconductor substrates. Fourier Transform Infrared spectroscopy in Attenuated Total Reflection mode (FTIR-ATR) has been employed to prove the presence of peptides on the surface. Our Atomic Force Microscopy (AFM) studies on the morphology of the GaN surface after functionalization revealed a total surface coverage by a very thin, homogeneous peptide layer. Due to its good biocompatibility, functionalized GaN devices might evolve in a new class of implantable biosensors for medical applications.

  2. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  3. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  4. Buffer architecture for biaxially textured structures and method of fabricating same

    DOEpatents

    Norton, David P.; Park, Chan; Goyal, Amit

    2004-04-06

    The invention relates to an article with an improved buffer layer architecture comprising a substrate having a metal surface, and an epitaxial buffer layer on the surface of the substrate. The epitaxial buffer layer comprises at least one of the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of ZrO.sub.2 and/or HfO.sub.2. The article can also include a superconducting layer deposited on the epitaxial buffer layer. The article can also include an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article comprises providing a substrate with a metal surface, depositing on the metal surface an epitaxial buffer layer comprising at least one material selected from the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of at least one of ZrO.sub.2 and HfO.sub.2. The epitaxial layer depositing step occurs in a vacuum with a background pressure of no more than 1.times.10.sup.-5 Torr. The method can further comprise depositing a superconducting layer on the epitaxial layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  5. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  6. Graphene as a Buffer Layer for Silicon Carbide-on-Insulator Structures

    PubMed Central

    Astuti, Budi; Tanikawa, Masahiro; Rahman, Shaharin Fadzli Abd; Yasui, Kanji; Hashim, Abdul Manaf

    2012-01-01

    We report an innovative technique for growing the silicon carbide-on-insulator (SiCOI) structure by utilizing polycrystalline single layer graphene (SLG) as a buffer layer. The epitaxial growth was carried out using a hot-mesh chemical vapor deposition (HM-CVD) technique. Cubic SiC (3C-SiC) thin film in (111) domain was realized at relatively low substrate temperature of 750 °C. 3C-SiC energy bandgap of 2.2 eV was confirmed. The Si-O absorption band observed in the grown film can be caused by the out-diffusion of the oxygen atom from SiO2 substrate or oxygen doping during the cleaning process. Further experimental works by optimizing the cleaning process, growth parameters of the present growth method, or by using other growth methods, as well, are expected to realize a high quality SiCOI structure, thereby opening up the way for a breakthrough in the development of advanced ULSIs with multifunctionalities.

  7. Wafer-scale Fabrication of Non-Polar Mesoporous GaN Distributed Bragg Reflectors via Electrochemical Porosification.

    PubMed

    Zhu, Tongtong; Liu, Yingjun; Ding, Tao; Fu, Wai Yuen; Jarman, John; Ren, Christopher Xiang; Kumar, R Vasant; Oliver, Rachel A

    2017-03-27

    Distributed Bragg reflectors (DBRs) are essential components for the development of optoelectronic devices. For many device applications, it is highly desirable to achieve not only high reflectivity and low absorption, but also good conductivity to allow effective electrical injection of charges. Here, we demonstrate the wafer-scale fabrication of highly reflective and conductive non-polar gallium nitride (GaN) DBRs, consisting of perfectly lattice-matched non-polar (11-20) GaN and mesoporous GaN layers that are obtained by a facile one-step electrochemical etching method without any extra processing steps. The GaN/mesoporous GaN DBRs exhibit high peak reflectivities (>96%) across the entire visible spectrum and wide spectral stop-band widths (full-width at half-maximum >80 nm), while preserving the material quality and showing good electrical conductivity. Such mesoporous GaN DBRs thus provide a promising and scalable platform for high performance GaN-based optoelectronic, photonic, and quantum photonic devices.

  8. Annealing induced structural changes in amorphous Co{sub 23}Fe{sub 60}B{sub 17} film on Mo buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dwivedi, Jagrati, E-mail: jdwivedi.phy@gmail.com; Mishra, Ashutosh; Gupta, Ranjeeta

    2016-05-23

    Structural changes occurring in a thin amorphous Co{sub 23}Fe{sub 60}B{sub 17} film sandwiched between two Mo layers, as a function of thermal annealing has been studied. Thermal stability of the Co{sub 23}Fe{sub 60}B{sub 17} film is found to be significantly lower than the bulk ribbons. SIMS measurements show that during crystallization, boron which is expelled out of the crystallites, has a tendency to move towards the surface. No significant diffusion of boron in Mo buffer layer is observed. This result is in contrast with some earlier studies where it was proposed that the role of buffer layer of refractory metalmore » is to absorb boron which is expelled out of the bcc FeCo phase during crystallization.« less

  9. Improvement in temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films using Ba(Mg1/3Ta2/3)O3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wu, Zhi; Zhou, Jing; Chen, Wen; Shen, Jie; Yang, Huimin; Zhang, Shisai; Liu, Yueli

    2016-12-01

    In this paper, Pb(Zr0.52Ti0.48)O3 (PZT) thin films were prepared via sol-gel method. The effects of Ba(Mg1/3Ta2/3)O3 (BMT) buffer layer on the temperature dependence and dielectric tunability properties of PZT thin films were studied. As the thickness of BMT buffer layer increases, the tan δ and tunability of PZT thin films decrease while tunability still maintains above 10%. This result shows that BMT buffer layer can improve the dielectric tunability properties of PZT thin films. Furthermore, the temperature coefficient of the dielectric constant decreases from 2333.4 to 906.9 ppm/°C with the thickness of BMT buffer layer increasing in the range from 25 to 205 °C, indicating that BMT buffer layer can improve the temperature stability of PZT thin films. Therefore, BMT buffer layer plays a critical role in improving temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films.

  10. Conductive buffer layers and overlayers for the thermal stability of coated conductors

    NASA Astrophysics Data System (ADS)

    Cantoni, C.; Aytug, T.; Verebelyi, D. T.; Paranthaman, M.; Specht, E. D.; Norton, D. P.; Christen, D. K.

    2001-03-01

    We analyze fundamental issues related to the thermal and electrical stability of a coated conductor during its operation. We address the role of conductive buffer layers in the stability of Ni-based coated conductors, and the effect of a metallic cap layer on the electrical properties of Ni alloy-based superconducting tapes. For the first case we report on the fabrication of a fully conductive RABiTS architecture formed of bilayers of conductive oxides SrRuO3 and LaNiO3 on textured Ni tapes. For the second case we discuss measurements of current-voltage relations on Ag/YBa2Cu3O7-d and Cu/Ag/ YBa2Cu3O7-d prototype multilayers on insulating substrates. Limitations on the overall tape structure and properties that are posed by the stability requirement are presented.

  11. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    PubMed

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  12. Direct electron injection into an oxide insulator using a cathode buffer layer

    PubMed Central

    Lee, Eungkyu; Lee, Jinwon; Kim, Ji-Hoon; Lim, Keon-Hee; Seok Byun, Jun; Ko, Jieun; Dong Kim, Young; Park, Yongsup; Kim, Youn Sang

    2015-01-01

    Injecting charge carriers into the mobile bands of an inorganic oxide insulator (for example, SiO2, HfO2) is a highly complicated task, or even impossible without external energy sources such as photons. This is because oxide insulators exhibit very low electron affinity and high ionization energy levels. Here we show that a ZnO layer acting as a cathode buffer layer permits direct electron injection into the conduction bands of various oxide insulators (for example, SiO2, Ta2O5, HfO2, Al2O3) from a metal cathode. Studies of current–voltage characteristics reveal that the current ohmically passes through the ZnO/oxide-insulator interface. Our findings suggests that the oxide insulators could be used for simply fabricated, transparent and highly stable electronic valves. With this strategy, we demonstrate an electrostatic discharging diode that uses 100-nm SiO2 as an active layer exhibiting an on/off ratio of ∼107, and protects the ZnO thin-film transistors from high electrical stresses. PMID:25864642

  13. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  14. Comparison of different photoresist buffer layers in SPR sensors based on D-shaped POF and gold film

    NASA Astrophysics Data System (ADS)

    Cennamo, Nunzio; Pesavento, Maria; De Maria, Letizia; Galatus, Ramona; Mattiello, Francesco; Zeni, Luigi

    2017-04-01

    A comparative analysis of two optical fiber sensing platforms is presented. The sensors are based on surface plasmon resonance (SPR) in a D-shaped plastic optical fiber (POF) with a photoresist buffer layer between the exposed POF core and the thin gold film. We show how the sensor's performances change when the photoresist layer changes. The photoresist layers proposed in this analysis are SU-8 3005 and S1813. The experimental results are congruent with the numerical studies and it is instrumental for chemical and bio-chemical applications. Usually, the photoresist layer is required in order to increase the performance of the SPR-POF sensor.

  15. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  16. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    NASA Astrophysics Data System (ADS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-11-01

    A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  17. Nucleation mechanisms of epitaxial GaN nanowires: Origin of their self-induced formation and initial radius

    NASA Astrophysics Data System (ADS)

    Consonni, V.; Knelangen, M.; Geelhaar, L.; Trampert, A.; Riechert, H.

    2010-02-01

    The formation mechanisms of epitaxial GaN nanowires grown within a self-induced approach by molecular-beam epitaxy have been investigated at the onset of the nucleation process by combining in situ reflection high-energy electron-diffraction measurements and ex situ high-resolution transmission electron microscopy imaging. It is shown that the self-induced growth of GaN nanowires on the AlN buffer layer is initially governed by the nucleation of dislocation-free coherent islands. These coherent islands develop through a series of shape transitions from spherical caps through truncated to full pyramids in order to elastically relieve the lattice-mismatch-induced strain. A strong correlation between the subsequent process of plastic relaxation and the final shape transition from full pyramids toward the very first nanowires is found. The experimental critical radius at which the misfit dislocation nucleates is in very good agreement with the theoretical critical radius for the formation of the misfit dislocation in full pyramids, showing that the plastic relaxation process does take place within full pyramids: this critical size corresponds to the initial radius of the very first nanowires. We associate the plastic relaxation of the lattice-mismatch-induced strain occurring within full pyramids with a drastic change in their total free energy: this gives rise to a driving force for the shape transition toward the very first nanowires, which is mainly due to the anisotropy of surface energy.

  18. LPE growth of crack-free PbSe layers on Si(100) using MBE-Grown PbSe/BaF2CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Strecker, B. N.; McCann, P. J.; Fang, X. M.; Hauenstein, R. J.; O'Steen, M.; Johnson, M. B.

    1997-05-01

    Crack-free PbSe on (100)-oriented Si has been obtained by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. MBE is employed first to grow a PbSe/BaF2/CaF2 buffer structure on the (100)-oriented Si. A 2.5 μm thick PbSe layer is then grown by LPE. The LPE-grown PbSe displays excellent surface morphology and is continuous over the entire 8×8 mm2 area of growth. This result is surprising because of the large mismatch in thermal expansion coefficients between PbSe and Si. Previous attempts to grow crack-free PbSe by MBE alone using similar buffer structures on (100)-oriented Si have been unsuccessful. It is speculated that the large concentration of Se vacancies in the LPE-grown PbSe layer may allow dislocation climb along higher order slip planes, providing strain relaxation.

  19. Strain engineering of atomic and electronic structures of few-monolayer-thick GaN

    NASA Astrophysics Data System (ADS)

    Kolobov, A. V.; Fons, P.; Saito, Y.; Tominaga, J.; Hyot, B.; André, B.

    2017-07-01

    Two-dimensional (2D) semiconductors possess the potential to ultimately minimize the size of devices and concomitantly drastically reduce the corresponding energy consumption. In addition, materials in their atomic-scale limit often possess properties different from their bulk counterparts paving the way to conceptually novel devices. While graphene and 2D transition-metal dichalcogenides remain the most studied materials, significant interest also exists in the fabrication of atomically thin structures from traditionally 3D semiconductors such as GaN. While in the monolayer limit GaN possesses a graphenelike structure and an indirect band gap, it was recently demonstrated that few-layer GaN acquires a Haeckelite structure in the direction of growth with an effectively direct gap. In this work, we demonstrate the possibility of strain engineering of the atomic and electronic structure of few-monolayer-thick GaN structures, which opens new avenues for their practical application in flexible nanoelectronics and nano-optoelectronics. Our simulations further suggest that due to the weak van der Waals-like interaction between a substrate and an overlayer, the use of a MoS2 substrate may be a promising route to fabricate few-monolayer Haeckelite GaN experimentally.

  20. Superconducting composite with multilayer patterns and multiple buffer layers

    DOEpatents

    Wu, X.D.; Muenchausen, R.E.

    1993-10-12

    An article of manufacture is described including a substrate, a patterned interlayer of a material selected from the group consisting of magnesium oxide, barium-titanium oxide or barium-zirconium oxide, the patterned interlayer material overcoated with a secondary interlayer material of yttria-stabilized zirconia or magnesium-aluminum oxide, upon the surface of the substrate whereby an intermediate article with an exposed surface of both the overcoated patterned interlayer and the substrate is formed, a coating of a buffer layer selected from the group consisting of cerium oxide, yttrium oxide, curium oxide, dysprosium oxide, erbium oxide, europium oxide, iron oxide, gadolinium oxide, holmium oxide, indium oxide, lanthanum oxide, manganese oxide, lutetium oxide, neodymium oxide, praseodymium oxide, plutonium oxide, samarium oxide, terbium oxide, thallium oxide, thulium oxide, yttrium oxide and ytterbium oxide over the entire exposed surface of the intermediate article, and, a ceramic superconductor. 5 figures.

  1. MARTA GANs: Unsupervised Representation Learning for Remote Sensing Image Classification

    NASA Astrophysics Data System (ADS)

    Lin, Daoyu; Fu, Kun; Wang, Yang; Xu, Guangluan; Sun, Xian

    2017-11-01

    With the development of deep learning, supervised learning has frequently been adopted to classify remotely sensed images using convolutional networks (CNNs). However, due to the limited amount of labeled data available, supervised learning is often difficult to carry out. Therefore, we proposed an unsupervised model called multiple-layer feature-matching generative adversarial networks (MARTA GANs) to learn a representation using only unlabeled data. MARTA GANs consists of both a generative model $G$ and a discriminative model $D$. We treat $D$ as a feature extractor. To fit the complex properties of remote sensing data, we use a fusion layer to merge the mid-level and global features. $G$ can produce numerous images that are similar to the training data; therefore, $D$ can learn better representations of remotely sensed images using the training data provided by $G$. The classification results on two widely used remote sensing image databases show that the proposed method significantly improves the classification performance compared with other state-of-the-art methods.

  2. Photovoltaic Properties in Interpenetrating Heterojunction Organic Solar Cells Utilizing MoO3 and ZnO Charge Transport Buffer Layers

    PubMed Central

    Hori, Tetsuro; Moritou, Hiroki; Fukuoka, Naoki; Sakamoto, Junki; Fujii, Akihiko; Ozaki, Masanori

    2010-01-01

    Organic thin-film solar cells with a conducting polymer (CP)/fullerene (C60) interpenetrating heterojunction structure, fabricated by spin-coating a CP onto a C60 deposit thin film, have been investigated and demonstrated to have high efficiency. The photovoltaic properties of solar cells with a structure of indium-tin-oxide/C60/poly(3-hexylthiophene) (PAT6)/Au have been improved by the insertion of molybdenum trioxide (VI) (MoO3) and zinc oxide charge transport buffer layers. The enhanced photovoltaic properties have been discussed, taking into consideration the ground-state charge transfer between PAT6 and MoO3 by measurement of the differential absorption spectra and the suppressed contact resistance at the interface between the organic and buffer layers. PMID:28883360

  3. Effect of InSb/In0.9Al0.1Sb superlattice buffer layer on the structural and electronic properties of InSb films

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaomeng; Zhang, Yang; Guan, Min; Cui, Lijie; Wang, Baoqiang; Zhu, Zhanping; Zeng, Yiping

    2017-07-01

    The effect of InSb/In0.9Al0.1Sb buffer layers on InSb thin films grown on GaAs (0 0 1) substrate by molecular beam epitaxy (MBE) is investigated. The crystal quality and the surface morphology of InSb are characterized by XRD and AFM. The carrier transport property is researched through variable temperature hall test. The sharp interface between InSb/In0.9Al0.1Sb is demonstrated important for the high quality InSb thin film. We try different superlattice buffer layers by changing ratios, 2-0.5, thickness, 300-450 nm, and periods, 20-50. According to the function of the dislocation density to the absolute temperature below 150 K with different periods of SL buffers, we can find that the number of periods of superlattice is a major factor to decrease the density of threading dislocations. With the 50 periods SL buffer layer, the electron mobility of InSb at the room temperature and liquid nitrogen cooling temperature is ∼63,000 and ∼4600 cm2/V s, respectively. We deduce that the interface in the SL structure works as a filter layer to prevent the dislocation propagating to the upper InSb thin films.

  4. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  5. The effects of the porous buffer layer and doping with dysprosium on internal stresses in the GaInP:Dy/por-GaAs/GaAs(100) heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V.; Gordienko, N. N.; Glotov, A. V.

    2009-08-15

    In structures with a porous buffer layer, residual internal stresses caused by a mismatch between the crystal-lattice parameters of the epitaxial GaInP alloy and the GaAs substrate are redistributed to the porous layer that acts as a buffer and is conducive to disappearance of internal stresses. Doping of the epitaxial layer with dysprosium exerts a similar effect on the internal stresses in the film-substrate structure.

  6. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  7. Calcium manganate: A promising candidate as buffer layer for hybrid halide perovskite photovoltaic-thermoelectric systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, Pengjun; Wang, Hongguang; Kong, Wenwen

    2014-11-21

    We have systematically studied the feasibility of CaMnO{sub 3} thin film, an n-type perovskite, to be utilized as the buffer layer for hybrid halide perovskite photovoltaic-thermoelectric device. Locations of the conduction band and the valence band, spontaneous polarization performance, and optical properties were investigated. Results indicate the energy band of CaMnO{sub 3} can match up well with that of CH{sub 3}NH{sub 3}PbI{sub 3} on separating electron-hole pairs. In addition, the consistent polarization angle helps enlarge the open circuit voltage of the composite system. Besides, CaMnO{sub 3} film shows large absorption coefficient and low extinction coefficient under visible irradiation, demonstrating highmore » carrier concentration, which is beneficial to the current density. More importantly, benign thermoelectric properties enable CaMnO{sub 3} film to assimilate phonon vibration from CH{sub 3}NH3PbI{sub 3}. All the above features lead to a bright future of CaMnO{sub 3} film, which can be a promising candidate as a buffer layer for hybrid halide perovskite photovoltaic-thermoelectric systems.« less

  8. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Erofeev, E. V., E-mail: erofeev@micran.ru; Fedin, I. V.; Kutkov, I. V.

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping levelmore » makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.« less

  9. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    PubMed

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  10. Power Conversion Efficiency and Device Stability Improvement of Inverted Perovskite Solar Cells by Using a ZnO:PFN Composite Cathode Buffer Layer.

    PubMed

    Jia, Xiaorui; Zhang, Lianping; Luo, Qun; Lu, Hui; Li, Xueyuan; Xie, Zhongzhi; Yang, Yongzhen; Li, Yan-Qing; Liu, Xuguang; Ma, Chang-Qi

    2016-07-20

    We have demonstrated in this article that both power conversion efficiency (PCE) and performance stability of inverted planar heterojunction perovskite solar cells can be improved by using a ZnO:PFN nanocomposite (PFN: poly[(9,9-bis(3'-(N,N-dimethylamion)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctyl)-fluorene]) as the cathode buffer layer (CBL). This nanocomposite could form a compact and defect-less CBL film on the perovskite/PC61BM surface (PC61BM: phenyl-C61-butyric acid methyl ester). In addition, the high conductivity of the nanocomposite layer makes it works well at a layer thickness of 150 nm. Both advantages of the composite layer are helpful in reducing interface charge recombination and improving device performance. The power conversion efficiency (PCE) of the best ZnO:PFN CBL based device was measured to be 12.76%, which is higher than that of device without CBL (9.00%), or device with ZnO (7.93%) or PFN (11.30%) as the cathode buffer layer. In addition, the long-term stability is improved by using ZnO:PFN composite cathode buffer layer when compare to that of the reference cells. Almost no degradation of open circuit voltage (VOC) and fill factor (FF) was found for the device having ZnO:PFN, suggesting that ZnO:PFN is able to stabilize the interface property and consequently improve the solar cell performance stability.

  11. High power blue laser diodes on semipolar (202¯1¯) GaN substrates

    NASA Astrophysics Data System (ADS)

    Pourhashemi, Seyed Arash

    High power blue laser didoes (LDs), among other applications, show the promise of realizing efficient and reliable solid state lighting systems. Since first GaN optoelectronic devices were demonstrated in early 1990s, GaN LDs were traditionally fabricated on polar c-plane. However in recent years there has been a growing interest in nonpolar and semipolar planes. Nonpolar and semipolar devices offer the prospect of achieving higher efficiencies though elimination or reduction of polarization-related electric fields. In this project I investigated semipolar (202 ¯1 ¯) plane of GaN for blue LDs fabrication. Results include blue LD (Lambda=450 nm) with highest output power, differential quantum efficiency (?d) and external quantum efficiency (EQE) reported for a GaN LD on a semipolar plane to date. Output power of 2.52 W, etad=50% and EQE=39% were achieved in pulsed mode and output power of 1.71 W was achieved in true CW mode. Moreover, use of indium tin oxide (ITO) as cladding layer in order to reduce the thickness of Mg-doped p-GaN layer was investigated. Blue LDs with ITO cladding were demonstrated in this work with highest output power, etad and EQE reported for a GaN LD with transparent conducting oxide (TCO) cladding layer to date. The lack of any natural cleavage plane orthogonal to the in-plane projection of the c-axis on semipolar planes has made Cl2-based dry etch processes the most common way to form mirror facets for semipolar LDs. However, mirror facets fabricated by dry etching can be inclined or rough. For this work, mechanical polishing was used to form LD mirror facets. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high power CW semipolar LDs.

  12. Improvement in performance and reliability with CF4 plasma pretreatment on the buffer oxide layer for low-temperature polysilicon thin-film transistor

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Lin, Yi-Yan; Yang, Chun-Chieh

    2012-03-01

    This study applies CF4 plasma pretreatment to a buffer oxide layer to improve the performance of low-temperature polysilicon thin-film transistors (LTPS TFTs). Results show that the fluorine atoms piled up at the interface between the bulk channel and buffer oxide layer and accumulated in the bulk channel. The reduction of the trap states density by fluorine passivation can improve the electrical characteristics of the LTPS TFTs. It is found that the threshold voltage reduced from 4.32 to 3.03 V and the field-effect mobility increased from 29.71 to 45.65 cm2 V-1 S-1. In addition, the on current degradation and threshold voltage shift after stressing were significantly improved about 31% and 70%, respectively. We believe that the proposed CF4 plasma pretreatment on the buffer oxide layer can passivate the trap states and avoid the plasma induced damage on the polysilicon channel surface, resulting in the improvement in performance and reliability for LTPS-TFT mass production application on AMOLED displays with critical reliability requirement.

  13. Charge movement in a GaN-based hetero-structure field effect transistor structure with carbon doped buffer under applied substrate bias

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pooth, Alexander, E-mail: a.pooth@bristol.ac.uk; IQE; Uren, Michael J.

    2015-12-07

    Charge trapping and transport in the carbon doped GaN buffer of a GaN-based hetero-structure field effect transistor (HFET) has been investigated under both positive and negative substrate bias. Clear evidence of redistribution of charges in the carbon doped region by thermally generated holes is seen, with electron injection and capture observed during positive bias. Excellent agreement is found with simulations. It is shown that these effects are intrinsic to the carbon doped GaN and need to be controlled to provide reliable and efficient GaN-based power HFETs.

  14. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  15. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  16. Metal-organic chemical vapor deposition of N-polar InN quantum dots and thin films on vicinal GaN

    NASA Astrophysics Data System (ADS)

    Lund, Cory; Catalano, Massimo; Wang, Luhua; Wurm, Christian; Mates, Thomas; Kim, Moon; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2018-02-01

    N-polar InN layers were deposited using MOCVD on GaN-on-sapphire templates which were miscut 4° towards the GaN m-direction. For thin layers, quantum dot-like features were spontaneously formed to relieve the strain between the InN and GaN layers. As the thickness was increased, the dots elongated along the step direction before growing outward perpendicular to the step direction and coalescing to form a complete InN layer. XRD reciprocal space maps indicated that the InN films relaxed upon quantum dot formation after nominally 1 nm thick growth, resulting in 5-7 nm tall dots with diameters around 20-50 nm. For thicker layers above 10 nm, high electron mobilities of up to 706 cm2/V s were measured using Hall effect measurements indicating high quality layers.

  17. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  18. CHEMICAL SOLUTION DEPOSITION BASED OXIDE BUFFERS AND YBCO COATED CONDUCTORS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paranthaman, Mariappan Parans

    We have reviewed briefly the growth of buffer and high temperature superconducting oxide thin films using a chemical solution deposition (CSD) method. In the Rolling-Assisted Biaxially Textured Substrates (RABiTS) process, developed at Oak Ridge National Laboratory, utilizes the thermo mechanical processing to obtain the flexible, biaxially oriented copper, nickel or nickel-alloy substrates. Buffers and Rare Earth Barium Copper Oxide (REBCO) superconductors have been deposited epitaxially on the textured nickel alloy substrates. The starting substrate serves as a template for the REBCO layer, which has substantially fewer weak links. Buffer layers play a major role in fabricating the second generation REBCOmore » wire technology. The main purpose of the buffer layers is to provide a smooth, continuous and chemically inert surface for the growth of the REBCO film, while transferring the texture from the substrate to the superconductor layer. To achieve this, the buffer layers need to be epitaxial to the substrate, i.e. they have to nucleate and grow in the same bi-axial texture provided by the textured metal foil. The most commonly used RABiTS multi-layer architectures consist of a starting template of biaxially textured Ni-5 at.% W (Ni-W) substrate with a seed (first) layer of Yttrium Oxide (Y2O3), a barrier (second) layer of Yttria Stabilized Zirconia (YSZ), and a Cerium Oxide (CeO2) cap (third) layer. These three buffer layers are generally deposited using physical vapor deposition (PVD) techniques such as reactive sputtering. On top of the PVD template, REBCO film is then grown by a chemical solution deposition. This article reviews in detail about the list of oxide buffers and superconductor REBCO films grown epitaxially on single crystal and/or biaxially textured Ni-W substrates using a CSD method.« less

  19. GdN nanoisland-based GaN tunnel junctions.

    PubMed

    Krishnamoorthy, Sriram; Kent, Thomas F; Yang, Jing; Park, Pil Sung; Myers, Roberto C; Rajan, Siddharth

    2013-06-12

    Tunnel junctions could have a great impact on gallium nitride and aluminum nitride-based devices such as light-emitting diodes and lasers by overcoming critical challenges related to hole injection and p-contacts. This paper demonstrates the use of GdN nanoislands to enhance interband tunneling and hole injection into GaN p-n junctions by several orders of magnitude, resulting in low tunnel junction specific resistivity (1.3 × 10(-3) Ω-cm(2)) compared to the previous results in wide band gap semiconductors. Tunnel injection of holes was confirmed by low-temperature operation of GaN p-n junction with a tunneling contact layer, and strong electroluminescence down to 20 K. The low tunnel junction resistance combined with low optical absorption loss in GdN is very promising for incorporation in GaN-based light emitters.

  20. Structural defects in bulk GaN

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Z.; dos Reis, R.; Mancuso, M.; Song, C. Y.; Grzegory, I.; Porowski, S.; Bockowski, M.

    2014-10-01

    Transmission Electron Microscopy (TEM) studies of undoped and Mg doped GaN layers grown on the HVPE substrates by High Nitrogen Pressure Solution (HNPS) with the multi-feed-seed (MFS) configuration are shown. The propagation of dislocations from the HVPE substrate to the layer is observed. Due to the interaction between these dislocations in the thick layers much lower density of these defects is observed in the upper part of the HNPS layers. Amorphous Ga precipitates with attached voids pointing toward the growth direction are observed in the undoped layer. This is similar to the presence of Ga precipitates in high-pressure platelets, however the shape of these precipitates is different. The Mg doped layers do not show Ga precipitates, but MgO rectangular precipitates are formed, decorating the dislocations. Results of TEM studies of HVPE layers grown on Ammonothermal substrates are also presented. These layers have superior crystal quality in comparison to the HNPS layers, as far as density of dislocation is concern. Occasionally some small inclusions can be found, but their chemical composition was not yet determined. It is expected that growth of the HNPS layers on these substrate will lead to large layer thickness obtained in a short time and with high crystal perfection needed in devices.

  1. Buffer-regulated biocorrosion of pure magnesium.

    PubMed

    Kirkland, Nicholas T; Waterman, Jay; Birbilis, Nick; Dias, George; Woodfield, Tim B F; Hartshorn, Richard M; Staiger, Mark P

    2012-02-01

    Magnesium (Mg) alloys are being actively investigated as potential load-bearing orthopaedic implant materials due to their biodegradability in vivo. With Mg biomaterials at an early stage in their development, the screening of alloy compositions for their biodegradation rate, and hence biocompatibility, is reliant on cost-effective in vitro methods. The use of a buffer to control pH during in vitro biodegradation is recognised as critically important as this seeks to mimic pH control as it occurs naturally in vivo. The two different types of in vitro buffer system available are based on either (i) zwitterionic organic compounds or (ii) carbonate buffers within a partial-CO(2) atmosphere. This study investigated the influence of the buffering system itself on the in vitro corrosion of Mg. It was found that the less realistic zwitterion-based buffer did not form the same corrosion layers as the carbonate buffer, and was potentially affecting the behaviour of the hydrated oxide layer that forms on Mg in all aqueous environments. Consequently it was recommended that Mg in vitro experiments use the more biorealistic carbonate buffering system when possible.

  2. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  3. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    PubMed

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  4. New MBE buffer for micron- and quarter-micron-gateGaAs MESFETs

    NASA Technical Reports Server (NTRS)

    1988-01-01

    A new buffer layer has been developed that eliminates backgating in GaAs MESFETs and substantially reduces short-channel effects in GaAs MESFETs with 0.27-micron-long gates. The new buffer is grown by molecular beam epitaxy (MBE) at a substrate temperature of 200 C using Ga and As sub 4 beam fluxes. The buffer is crystalline, highly resistive, optically inactive, and can be overgrown with high quality GaAs. GaAs MESFETs with a gate length of 0.27 microns that incorporate the new buffer show improved dc and RF properties in comparison with a similar MESFET with a thin undoped GaAs buffer. To demonstrate the backgating performance improvement afforded by the new buffer, MESFETs were fabricated using a number of different buffer layers and structures. A schematic cross section of the MESFET structure used in this study is shown. The measured gate length, gate width, and source-drain spacing of this device are 2,98, and 5.5 microns, respectively. An ohmic contact, isolated from the MESFET by mesa etching, served as the sidegate. The MESFETs were fabricated in MBE n-GaAs layers grown on the new buffer and also in MBE n-GaAs layers grown on buffer layers of undoped GaAs, AlGaAs, and GaAs/AlGaAs superlattices. All the buffer layers were grown by MBE and are 2 microns thick. The active layer is doped to approximately 2 x 10 to the 17th/cu cm with silicon and is 0.3 microns thick.

  5. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  6. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    NASA Astrophysics Data System (ADS)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  7. Compact hematite buffer layer as a promoter of nanorod photoanode performances

    NASA Astrophysics Data System (ADS)

    Milan, R.; Cattarin, S.; Comisso, N.; Baratto, C.; Kaunisto, K.; Tkachenko, N. V.; Concina, I.

    2016-10-01

    The effect of a thin α-Fe2O3 compact buffer layer (BL) on the photoelectrochemical performances of a bare α-Fe2O3 nanorods photoanode is investigated. The BL is prepared through a simple spray deposition onto a fluorine-doped tin oxide (FTO) conducting glass substrate before the growth of a α-Fe2O3 nanorods via a hydrothermal process. Insertion of the hematite BL between the FTO and the nanorods markedly enhances the generated photocurrent, by limiting undesired losses of photogenerated charges at the FTO||electrolyte interface. The proposed approach warrants a marked improvement of material performances, with no additional thermal treatment and no use/dispersion of rare or toxic species, in agreement with the principles of green chemistry.

  8. Direct charge carrier injection into Ga2O3 thin films using an In2O3 cathode buffer layer: their optical, electrical and surface state properties

    NASA Astrophysics Data System (ADS)

    Cui, W.; Zhao, X. L.; An, Y. H.; Guo, D. Y.; Qing, X. Y.; Wu, Z. P.; Li, P. G.; Li, L. H.; Cui, C.; Tang, W. H.

    2017-04-01

    Conductive Ga2O3 thin films with an In2O3 buffer layer have been prepared on c-plane sapphire substrates using a laser molecular beam epitaxy technique. The effects of the In2O3 buffer layer on the structure and optical, electrical and surface state properties of the Ga2O3 films have been studied. The change in conductivity of the thin films is attributed to different thicknesses of the In2O3 buffer layer, which determine the concentration of charge carriers injected into the upper Ga2O3 layer from the interface of the bilayer thin films. In addition, the increase in flat band voltage shift and capacitance values as the In2O3 buffer layer thickens are attributed to the increase in surface state density, which also contributes to the rapid shrinkage of the optical band gap of the Ga2O3. With transparency to visible light, high n-type conduction and the ability to tune the optical band gap and surface state density, we propose that Ga2O3/In2O3 bilayer thin film is an ideal n-type semiconductor for fabrication of transparent power devices, solar cell electrodes and gas sensors.

  9. Spectroscopic Ellipsometry Measurements of Wurtzite Gallium Nitride Surfaces as a Function of Buffered Oxide Etch Substrate Submersion

    NASA Astrophysics Data System (ADS)

    Szwejkowski, Chester; Constantin, Costel; Duda, John; Hopkins, Patrick; Optical Studies of GaN interfaces Collaboration

    2013-03-01

    Gallium nitride (GaN) is considered the most important semiconductor after the discovery of silicon. Understanding the optical properties of GaN surfaces is imperative in determining the utility and applicability of this class of materials to devices. In this work, we present preliminary results of spectroscopic ellipsometry measurements as a function of surface root mean square (RMS). We used commercially available 5mm x 5mm, one side polished GaN (3-7 μm)/Sapphire (430 μm) substrates that have a wurtzite crystal structure and they are slightly n-type doped. The GaN substrates were cleaned with Acetone (20 min)/Isopropanol(20 min)/DI water (20 min) before they were submerged into Buffered Oxide Etch (BOE) for 10s - 60s steps. This BOE treatment produced RMS values of 1-30 nm as measured with an atomic force microscope. Preliminary qualitative ellipsometric measurements show that the complex refractive index and the complex dielectric function decrease with an increase of RMS. More measurements need to be done in order to provide explicit quantitative results. This work was supported by the 4-VA Collaborative effort between James Madison University and University of Virginia.

  10. Wideband Reconfigurable Harmonically Tuned GaN SSPA for Cognitive Radios

    NASA Technical Reports Server (NTRS)

    Waldstein, Seth W.; Barbosa Kortright, Miguel A.; Simons, Rainee N.

    2017-01-01

    The paper presents the architecture of a wideband reconfigurable harmonically-tuned Gallium Nitrate (GaN) Solid State Power Amplifier (SSPA) for cognitive radios. When interfaced with the physical layer of a cognitive communication system, this amplifier topology offers broadband high efficiency through the use of multiple tuned input/output matching networks. This feature enables the cognitive radio to reconfigure the operating frequency without sacrificing efficiency. This paper additionally presents as a proof-of-concept the design, fabrication, and test results for a GaN inverse class-F type amplifier operating at X-band (8.4 GHz) that achieves a maximum output power of 5.14-W, Power Added Efficiency (PAE) of 38.6, and Drain Efficiency (DE) of 48.9 under continuous wave (CW) operation.

  11. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  12. Effect of different thickness crystalline SiC buffer layers on the ordering of MgB{sub 2} films probed by extended x-ray absorption fine structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Putri, W. B. K.; Tran, D. H.; Kang, B., E-mail: bwkang@chungbuk.ac.kr

    2014-03-07

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is a powerful method to investigate the local structure of thin films. Here, we have studied EXAFS of MgB{sub 2} films grown on SiC buffer layers. Crystalline SiC buffer layers with different thickness of 70, 100, and 130 nm were deposited on the Al{sub 2}O{sub 3} (0001) substrates by using a pulsed laser deposition method, and then MgB{sub 2} films were grown on the SiC buffer layer by using a hybrid physical-chemical vapor deposition technique. Transition temperature of MgB{sub 2} film decreased with increasing thickness of SiC buffer layer. However, the T{sub c} droppingmore » went no farther than 100 nm-thick-SiC. This uncommon behavior of transition temperature is likely to be created from electron-phonon interaction in MgB{sub 2} films, which is believed to be related to the ordering of MgB{sub 2} atomic bonds, especially in the ordering of Mg–Mg bonds. Analysis from Mg K-edge EXAFS measurements showed interesting ordering behavior of MgB{sub 2} films. It is noticeable that the ordering of Mg–B bonds is found to decrease monotonically with the increase in SiC thickness of the MgB{sub 2} films, while the opposite happens with the ordering in Mg–Mg bonds. Based on these results, crystalline SiC buffer layers in MgB{sub 2} films seemingly have evident effects on the alteration of the local structure of the MgB{sub 2} film.« less

  13. Buffers more than buffering agent: introducing a new class of stabilizers for the protein BSA.

    PubMed

    Gupta, Bhupender S; Taha, Mohamed; Lee, Ming-Jer

    2015-01-14

    In this study, we have analyzed the influence of four biological buffers on the thermal stability of bovine serum albumin (BSA) using dynamic light scattering (DLS). The investigated buffers include 4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid (HEPES), 4-(2-hydroxyethyl)-1-piperazine-propanesulfonic acid (EPPS), 4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid sodium salt (HEPES-Na), and 4-morpholinepropanesulfonic acid sodium salt (MOPS-Na). These buffers behave as a potential stabilizer for the native structure of BSA against thermal denaturation. The stabilization tendency follows the order of MOPS-Na > HEPES-Na > HEPES ≫ EPPS. To obtain an insight into the role of hydration layers and peptide backbone in the stabilization of BSA by these buffers, we have also explored the phase transition of a thermoresponsive polymer, poly(N-isopropylacrylamide (PNIPAM)), a model compound for protein, in aqueous solutions of HEPES, EPPS, HEPES-Na, and MOPS-Na buffers at different concentrations. It was found that the lower critical solution temperatures (LCST) of PNIPAM in the aqueous buffer solutions substantially decrease with increase in buffer concentration. The mechanism of interactions between these buffers and protein BSA was probed by various techniques, including UV-visible, fluorescence, and FTIR. The results of this series of studies reveal that the interactions are mainly governed by the influence of the buffers on the hydration layers surrounding the protein. We have also explored the possible binding sites of BSA with these buffers using a molecular docking technique. Moreover, the activities of an industrially important enzyme α-chymotrypsin (α-CT) in 0.05 M, 0.5 M, and 1.0 M of HEPES, EPPS, HEPES-Na, and MOPS-Na buffer solutions were analyzed at pH = 8.0 and T = 25 °C. Interestingly, the activities of α-CT were found to be enhanced in the aqueous solutions of these investigated buffers. Based upon the Jones-Dole viscosity parameters, the

  14. Defects in N/Ge coimplanted GaN studied by positron annihilation

    NASA Astrophysics Data System (ADS)

    Nakano, Yoshitaka; Kachi, Tetsu

    2002-01-01

    We have applied positron annihilation spectroscopy to study the depth distributions and species of defects in N-, Ge-, and N/Ge-implanted GaN at dosages of 1×1015 cm-2. For all the implanted samples, Ga vacancies introduced by ion-implantation are found to diffuse into much deeper regions of the GaN layers during the implantation and to change into some other vacancy-type defects by the annealing at 1300 °C. In particular, markedly different defects turn out to be newly created in the electrically activated regions for both the Ge- and N/Ge-implanted samples after annealing, indicating that these new defects are probably associated with the presence of the implanted Ge dopant atoms.

  15. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  16. Investigation of buffer traps in AlGaN/GaN-on-Si devices by thermally stimulated current spectroscopy and back-gating measurement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shu; Zhou, Chunhua; Jiang, Qimeng

    2014-01-06

    Thermally stimulated current (TSC) spectroscopy and high-voltage back-gating measurement are utilized to study GaN buffer traps specific to AlGaN/GaN lateral heterojunction structures grown on a low-resistivity Si substrate. Three dominating deep-level traps in GaN buffer with activation energies of ΔE{sub T1} ∼ 0.54 eV, ΔE{sub T2} ∼ 0.65 eV, and ΔE{sub T3} ∼ 0.75 eV are extracted from TSC spectroscopy in a vertical GaN-on-Si structure. High back-gate bias applied to the Si substrate could influence the drain current in an AlGaN/GaN-on-Si high-electron-mobility transistor in a way that cannot be explained with a simple field-effect model. By correlating the trap states identified in TSC with the back-gating measurement results, itmore » is proposed that the ionization/deionization of both donor and acceptor traps are responsible for the generation of buffer space charges, which impose additional modulation to the 2DEG channel.« less

  17. Novel approach for III-N on Si (111) templates fabrication by low-temperature PA MBE using porous Si layer

    NASA Astrophysics Data System (ADS)

    Zolotukhin, D.; Seredin, P.; Lenshin, A.; Goloshchapov, D.; Mizerov, A.

    2017-11-01

    We report on successful growth of GaN nanorods by low-temperature plasma-assisted molecular beam epitaxy on a Si(111) substrate with and without preformed thin porous Si layer (por-Si). The deposited GaN initially forms islands which act as a seed for the wires. Porous structure of the por-Si layer helps to control nucleation islands sizes and achieve homogeneous distribution of the nanorods diameters. In addition 850 nm-thick crack-free GaN layer was formed on Si(111) substrate with preformed por-Si layer.

  18. Design of high breakdown voltage vertical GaN p-n diodes with high-K/low-K compound dielectric structure for power electronics applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Li, Zhenchao; Liu, Dong; Bai, Zhiyuan; Liu, Yang; Yu, Qi

    2017-11-01

    In this work, a vertical GaN p-n diode with a high-K/low-K compound dielectric structure (GaN CD-VGD) is proposed and designed to achieve a record high breakdown voltage (BV) with a low specific on-resistance (Ron,sp). By introducing compound dielectric structure, the electric field near the p-n junction interface is suppressed due to the effects of high-K passivation layer, and a new electric field peak is induced into the n-type drift region, because of a discontinuity of electrical field at the interface of high-K and low-K layer. Therefore the distribution of electric field in GaN p-n diode becomes more uniform and an enhancement of breakdown voltage can be achieved. Numerical simulations demonstrate that GaN CD-VGD with a BV of 10650 V and a Ron,sp of 14.3 mΩ cm2, resulting in a record high figure-of-merit of 8 GW/cm2.

  19. Efficiency and droop improvement in a blue InGaN-based light emitting diode with a p-InGaN layer inserted in the GaN barriers

    NASA Astrophysics Data System (ADS)

    Wang, Xing-Fu; Tong, Jin-Hui; Zhao, Bi-Jun; Chen, Xin; Ren, Zhi-Wei; Li, Dan-Wei; Zhuo, Xiang-Jing; Zhang, Jun; Yi, Han-Xiang; Li, Shu-Ti

    2013-09-01

    The advantages of a blue InGaN-based light-emitting diode with a p-InGaN layer inserted in the GaN barriers is studied. The carrier concentration in the quantum well, radiative recombination rate in the active region, output power, and internal quantum efficiency are investigated. The simulation results show that the InGaN-based light-emitting diode with a p-InGaN layer inserted in the barriers has better performance over its conventional counterpart and the light emitting diode with p-GaN inserted in the barriers. The improvement is due to enhanced Mg acceptor activation and enhanced hole injection into the quantum wells.

  20. Roma Gans: Still Writing at 95.

    ERIC Educational Resources Information Center

    Sullivan, Joanna

    1991-01-01

    Recounts discussions with reading educator Roma Gans over a 25-year period. Presents Gans' views about reading, teachers, her family, and her years at Teachers College, Columbia. Notes that Gans has seen the teaching of reading come full circle since her first teaching assignment in 1919. (RS)

  1. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kindsmore » of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.« less

  2. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  3. Spatial identification of traps in AlGaN/GaN heterostructures by the combination of lateral and vertical electrical stress measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Anqi; Yang, Xuelin, E-mail: xlyang@pku.edu.cn; Cheng, Jianpeng

    2016-01-25

    We present a methodology and the corresponding experimental results to identify the exact location of the traps that induce hot electron trapping in AlGaN/GaN heterostructures grown on Si substrates. The methodology is based on a combination of lateral and vertical electrical stress measurements employing three ohmic terminals on the test sample structure with different GaN buffer designs. By monitoring the evolution of the lateral current during lateral as well as vertical stress application, we investigate the trapping/detrapping behaviors of the hot electrons and identify that the traps correlated with current degradation are in fact located in the GaN buffer layers.more » The trap activation energies (0.38–0.39 eV and 0.57–0.59 eV) extracted from either lateral or vertical stress measurements are in good agreement with each other, also confirming the identification. By further comparing the trapping behaviors in two samples with different growth conditions of an unintentionally doped GaN layer, we conclude that the traps are most likely in the unintentionally doped GaN layer but of different origins. It is suggested that the 0.38–0.39 eV trap is related to residual carbon incorporation while the 0.57–0.59 eV trap is correlated with native defects or complexes.« less

  4. Analysis of light extraction efficiency enhancement for thin-film-flip-chip InGaN quantum wells light-emitting diodes with GaN micro-domes.

    PubMed

    Zhao, Peng; Zhao, Hongping

    2012-09-10

    The enhancement of light extraction efficiency for thin-film flip-chip (TFFC) InGaN quantum wells (QWs) light-emitting diodes (LEDs) with GaN micro-domes on n-GaN layer was studied. The light extraction efficiency of TFFC InGaN QWs LEDs with GaN micro-domes were calculated and compared to that of the conventional TFFC InGaN QWs LEDs with flat surface. The three dimensional finite difference time domain (3D-FDTD) method was used to calculate the light extraction efficiency for the InGaN QWs LEDs emitting at 460nm and 550 nm, respectively. The effects of the GaN micro-dome feature size and the p-GaN layer thickness on the light extraction efficiency were studied systematically. Studies indicate that the p-GaN layer thickness is critical for optimizing the TFFC LED light extraction efficiency. Significant enhancement of the light extraction efficiency (2.5-2.7 times for λ(peak) = 460nm and 2.7-2.8 times for λ(peak) = 550nm) is achievable from TFFC InGaN QWs LEDs with optimized GaN micro-dome diameter and height.

  5. Polarization characteristics of semipolar (112̄2) InGaN/GaN quantum well structures grown on relaxed InGaN buffer layers and comparison with experiment.

    PubMed

    Park, Seoung-Hwan; Mishra, Dhaneshwar; Eugene Pak, Y; Kang, K; Park, Chang Yong; Yoo, Seung-Hyun; Cho, Yong-Hee; Shim, Mun-Bo; Kim, Sungjin

    2014-06-16

    Partial strain relaxation effects on polarization ratio of semipolar (112̄2) InxGa1−xN/GaN quantum well (QW) structures grown on relaxed InGaN buffers were investigated using the multiband effective-mass theory. The absolute value of the polarization ratio gradually decreases with increasing In composition in InGaN buffer layer when the strain relaxation ratio (ε0y′y′−εy′y′)/ε0y′y′ along y′-axis is assumed to be linearly proportional to the difference of lattice constants between the well and the buffer layer. Also, it changes its sign for the QW structure grown on InGaN buffer layer with a relatively larger In composition (x > 0.07). These results are in good agreement with the experiment. This can be explained by the fact that, with increasing In composition in the InGaN subsrate, the spontaneous emission rate for the y′-polarization gradually increases while that for x′-polarization decreases due to the decrease in a matrix element at the band-edge (k‖ = 0).

  6. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    PubMed

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  7. Evaluation of metal/indium-tin-oxide for transparent low-resistance contacts to p-type GaN.

    PubMed

    Hou, Wenting; Stark, Christoph; You, Shi; Zhao, Liang; Detchprohm, Theeradetch; Wetzel, Christian

    2012-08-10

    In search of a better transparent contact to p-GaN, we analyze various metal/indium-tin-oxide (ITO) (Ag/ITO, AgCu/ITO, Ni/ITO, and NiZn/ITO) contact schemes and compare to Ni/Au, NiZn/Ag, and ITO. The metal layer boosts conductivity while the ITO thickness can be adjusted to constructive transmission interference on GaN that exceeds extraction from bare GaN. We find a best compromise for an Ag/ITO (3 nm/67 nm) ohmic contact with a relative transmittance of 97% of the bare GaN near 530 nm and a specific contact resistance of 0.03 Ω·cm2. The contact proves suitable for green light-emitting diodes in epi-up geometry.

  8. Emission rate and internal quantum efficiency enhancement in different geometrical shapes of GaN LED

    NASA Astrophysics Data System (ADS)

    Rashid, S.; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Halim, N. S. A. Abdul; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    This work is based on the development of light emitting diode (LED) using different geometry of top surface on GaN p-n junction structure. Three types of LED chips are designed with different top surface to differ whether p-type layer or p contact plays an important role in improving its efficiency. The voltage applied ranges from 0V to 4V. Current-voltage characteristic for all three samples are obtained and analyzed. The results show that dome shaped of p-type layer operating at 4V increases the emission rate and internal quantum efficiency up to 70%, which is two times higher than basic cylindrically LED chip. Moreover, this new design effectively solved the higher forward voltage problem of the usual curve surface of p-contact GaN LED.

  9. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  10. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  11. La0.7Sr0.3MnO3: A single, conductive-oxide buffer layer for the development of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Aytug, T.; Paranthaman, M.; Kang, B. W.; Sathyamurthy, S.; Goyal, A.; Christen, D. K.

    2001-10-01

    Coated conductor applications in power technologies require stabilization of the high-temperature superconducting (HTS) layers against thermal runaway. Conductive La0.7Sr0.3MnO3 (LSMO) has been epitaxially grown on biaxially textured Ni substrates as a single buffer layer. The subsequent epitaxial growth of YBa2Cu3O7-δ (YBCO) coatings by pulsed laser deposition yielded self-field critical current densities (Jc) of 0.5×106A/cm2 at 77 K, and provided good electrical connectivity over the entire structure (HTS+conductive-buffer+metal substrate). Property characterizations of YBCO/LSMO/Ni architecture revealed excellent crystallographic and morphological properties. These results have demonstrated that LSMO, used as a single, conductive buffer layer, may offer potential for use in fully stabilized YBCO coated conductors.

  12. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  13. Organic Solar Cells Based on WO2.72 Nanowire Anode Buffer Layer with Enhanced Power Conversion Efficiency and Ambient Stability.

    PubMed

    You, Longzhen; Liu, Bin; Liu, Tao; Fan, Bingbing; Cai, Yunhao; Guo, Lin; Sun, Yanming

    2017-04-12

    Tungsten oxide as an alternative to conventional acidic PEDOT:PSS has attracted much attention in organic solar cells (OSCs). However, the vacuum-processed WO 3 layer and high-temperature sol-gel hydrolyzed WO X are incompatible with large-scale manufacturing of OSCs. Here, we report for the first time that a specific tungsten oxide WO 2.72 (W 18 O 49 ) nanowire can function well as the anode buffer layer. The nw-WO 2.72 film exhibits a high optical transparency. The power conversion efficiency (PCE) of OSCs based on three typical polymer active layers PTB7:PC 71 BM, PTB7-Th:PC 71 BM, and PDBT-T1:PC 71 BM with nw-WO 2.72 layer were improved significantly from 7.27 to 8.23%, from 8.44 to 9.30%, and from 8.45 to 9.09%, respectively compared to devices with PEDOT:PSS. Moreover, the photovoltaic performance of OSCs based on small molecule p-DTS(FBTTh 2 ) 2 :PC 71 BM active layer was also enhanced with the incorporation of nw-WO 2.72 . The enhanced performance is mainly attributed to the improved short-circuit current density (J sc ), which benefits from the oxygen vacancies and the surface apophyses for better charge extraction. Furthermore, OSCs based on nw-WO 2.72 show obviously improved ambient stability compared to devices with PEDOT:PSS layer. The results suggest that nw-WO 2.72 is a promising candidate for the anode buffer layer materials in organic solar cells.

  14. ZnO nanorods/graphene/Ni/Au hybrid structures as transparent conductive layer in GaN LED for low work voltage and high light extraction

    NASA Astrophysics Data System (ADS)

    Xu, Kun; Xie, Yiyang; Ma, Huali; Du, Yinxiao; Zeng, Fanguang; Ding, Pei; Gao, Zhiyuan; Xu, Chen; Sun, Jie

    2016-12-01

    In this paper, by virtue of one-dimensional ZnO nanorods and two-dimensional graphene film hybrid structures, both the enhanced current spreading and enhanced light extraction were realized at the same time. A 1 nm/1 nm Ni/Au layer was used as an interlayer between graphene and pGaN to form ohmic contact, which makes the device have a good forward conduction properties. Through the comparison of the two groups of making ZnO nanorods or not, it was found that the 30% light extraction efficiency of the device was improved by using the ZnO nanorods. By analysis key parameters of two groups such as the turn-on voltage, work voltage and reverse leakage current, it was proved that the method for preparing surface nano structure by hydrothermal method self-organization growth ZnO nanorods applied in GaN LEDs has no influence to device's electrical properties. The hybrid structure application in GaN LED, make an achievement of a good ohmic contact, no use of ITO and enhancement of light extraction at the same time, meanwhile it does not change the device structure, introduce additional process, worsen the electrical properties.

  15. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  16. Effects of V2O3 buffer layers on sputtered VO2 smart windows: Improved thermochromic properties, tunable width of hysteresis loops and enhanced durability

    NASA Astrophysics Data System (ADS)

    Long, Shiwei; Cao, Xun; Sun, Guangyao; Li, Ning; Chang, Tianci; Shao, Zewei; Jin, Ping

    2018-05-01

    Vanadium dioxide (VO2) is one of the most well-known thermochromic materials, which exhibits a notable optical change from transparent to reflecting in the infrared region upon a metal-insulator phase transition. For practical applications, VO2 thin films should be in high crystalline quality to obtain a strong solar modulation ability (ΔTsol). Meanwhile, narrow hysteresis loops and robust ambient durability are also indispensable for sensitivity and long-lived utilization, respectively. In this work, a series of high-quality V2O3/VO2 bilayer structures were grown on quartz glass substrates by reactive magnetron sputtering. Basically, the bottom V2O3 acts as the buffer layer to improve the crystallinity of the top VO2, while the VO2 serves as the thermochromic layer to guarantee the solar modulation ability for energy-saving. We observed an obvious increase in ΔTsol of 76% (from 7.5% to 13.2%) for VO2 films after introducing V2O3 buffer layers. Simultaneously, a remarkable reduction by 79% (from 21.9 °C to 4.7 °C) in width of hysteresis loop was obtained when embedding 60 nm V2O3 buffer for 60 nm VO2. In addition, VO2 with non-stoichiometry of V2O3±x buffer demonstrates a broadening hysteresis loops width, which is derived from the lattice distortion caused by lattice imperfection. Finally, durability of VO2 has been significantly improved due to positive effects of V2O3 buffer layer. Our results lead to a comprehensive enhancement in crystallinity of VO2 and shed new light on the promotion of thermochromic property by homologous oxides for VO2.

  17. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  18. Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell

    NASA Astrophysics Data System (ADS)

    Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan

    2018-02-01

    The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.

  19. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  20. Simultaneous measurement of temperature, stress, and electric field in GaN HEMTs with micro-Raman spectroscopy.

    PubMed

    Bagnall, Kevin R; Moore, Elizabeth A; Badescu, Stefan C; Zhang, Lenan; Wang, Evelyn N

    2017-11-01

    As semiconductor devices based on silicon reach their intrinsic material limits, compound semiconductors, such as gallium nitride (GaN), are gaining increasing interest for high performance, solid-state transistor applications. Unfortunately, higher voltage, current, and/or power levels in GaN high electron mobility transistors (HEMTs) often result in elevated device temperatures, degraded performance, and shorter lifetimes. Although micro-Raman spectroscopy has become one of the most popular techniques for measuring localized temperature rise in GaN HEMTs for reliability assessment, decoupling the effects of temperature, mechanical stress, and electric field on the optical phonon frequencies measured by micro-Raman spectroscopy is challenging. In this work, we demonstrate the simultaneous measurement of temperature rise, inverse piezoelectric stress, thermoelastic stress, and vertical electric field via micro-Raman spectroscopy from the shifts of the E 2 (high), A 1 longitudinal optical (LO), and E 2 (low) optical phonon frequencies in wurtzite GaN. We also validate experimentally that the pinched OFF state as the unpowered reference accurately measures the temperature rise by removing the effect of the vertical electric field on the Raman spectrum and that the vertical electric field is approximately the same whether the channel is open or closed. Our experimental results are in good quantitative agreement with a 3D electro-thermo-mechanical model of the HEMT we tested and indicate that the GaN buffer acts as a semi-insulating, p-type material due to the presence of deep acceptors in the lower half of the bandgap. This implementation of micro-Raman spectroscopy offers an exciting opportunity to simultaneously probe thermal, mechanical, and electrical phenomena in semiconductor devices under bias, providing unique insight into the complex physics that describes device behavior and reliability. Although GaN HEMTs have been specifically used in this study to

  1. Simultaneous measurement of temperature, stress, and electric field in GaN HEMTs with micro-Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Bagnall, Kevin R.; Moore, Elizabeth A.; Badescu, Stefan C.; Zhang, Lenan; Wang, Evelyn N.

    2017-11-01

    As semiconductor devices based on silicon reach their intrinsic material limits, compound semiconductors, such as gallium nitride (GaN), are gaining increasing interest for high performance, solid-state transistor applications. Unfortunately, higher voltage, current, and/or power levels in GaN high electron mobility transistors (HEMTs) often result in elevated device temperatures, degraded performance, and shorter lifetimes. Although micro-Raman spectroscopy has become one of the most popular techniques for measuring localized temperature rise in GaN HEMTs for reliability assessment, decoupling the effects of temperature, mechanical stress, and electric field on the optical phonon frequencies measured by micro-Raman spectroscopy is challenging. In this work, we demonstrate the simultaneous measurement of temperature rise, inverse piezoelectric stress, thermoelastic stress, and vertical electric field via micro-Raman spectroscopy from the shifts of the E2 (high), A1 longitudinal optical (LO), and E2 (low) optical phonon frequencies in wurtzite GaN. We also validate experimentally that the pinched OFF state as the unpowered reference accurately measures the temperature rise by removing the effect of the vertical electric field on the Raman spectrum and that the vertical electric field is approximately the same whether the channel is open or closed. Our experimental results are in good quantitative agreement with a 3D electro-thermo-mechanical model of the HEMT we tested and indicate that the GaN buffer acts as a semi-insulating, p-type material due to the presence of deep acceptors in the lower half of the bandgap. This implementation of micro-Raman spectroscopy offers an exciting opportunity to simultaneously probe thermal, mechanical, and electrical phenomena in semiconductor devices under bias, providing unique insight into the complex physics that describes device behavior and reliability. Although GaN HEMTs have been specifically used in this study to

  2. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  3. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  4. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  5. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers

    PubMed Central

    2012-01-01

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm. PMID:23134721

  6. Pyramidal defects in highly Mg-doped GaN: atomic structure and influence on optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Leroux, M.; Vennéguès, P.; Dalmasso, S.; de Mierry, P.; Lorenzini, P.; Damilano, B.; Beaumont, B.; Gibart, P.; Massies, J.

    2004-07-01

    A detailed transmission electron microscopy study is performed on the pyramidal inversion domains that appear in highly Mg-doped GaN grown by metalorganics vapor phase epitaxy or by the high-pressure, high-temperature method. From a comparison between high resolution images of the inversion domain boundaries and simulations using different atomic models, we conclude that both basal and inclined domain boundaries are likely formed of a monomolecular layer of the definite compound Mg{3}N{2}. We show that, due to their high concentration, the formation of these defects may account for auto-compensation in Mg-doped GaN. We also show that the local band bending induced by the polarity inversion due to these defects can be at the origin of the blue luminescence of highly Mg-doped GaN, always observed when nanometric pyramidal inversion domains are also present.

  7. Impact of varying buffer thickness generated strain and threading dislocations on the formation of plasma assisted MBE grown ultra-thin AlGaN/GaN heterostructure on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Subhra, E-mail: subhra1109@gmail.com; Biswas, Dhrubes; Department of E and E C E, Indian Institute of Technology Kharagpur, Kharagpur 721302

    2015-05-15

    Plasma-assisted molecular beam epitaxy (PAMBE) growth of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructures on Si(111) substrate with three buffer thickness (600 nm/400 nm/200 nm) have been reported. An unique growth process has been developed that supports lower temperature epitaxy of GaN buffer which minimizes thermally generated tensile strain through appropriate nitridation and AlN initiated epitaxy for achieving high quality GaN buffer which supports such ultra-thin heterostructures in the range of 10-15Å. It is followed by investigations of role of buffer thickness on formation of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructure, in terms of stress-strain and threading dislocation (TD). Structural characterization were performedmore » by High-Resolution X-Ray Diffraction (HRXRD), room-temperature Photoluminescence (RT-PL), High Resolution Transmission Electron Microscopy (HRTEM) and Atomic Force Microscopy (AFM). Analysis revealed increasing biaxial tensile stress of 0.6918 ± 0.04, 1.1084, 1.1814 GPa in heterostructures with decreasing buffer thickness of 600, 400, 200 nm respectively which are summed up with residual tensile strain causing red-shift in RT-PL peak. Also, increasing buffer thickness drastically reduced TD density from the order 10{sup 10} cm{sup −2} to 10{sup 8} cm{sup −2}. Surface morphology through AFM leads to decrease of pits and root mean square value with increasing buffer thickness which are resulted due to reduction of combined effect of strain and TDs.« less

  8. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  9. Self-Aligned ALD AlOx T-gate Insulator for Gate Leakage Current Suppression in SiNx-Passivated AlGaN/GaN HEMTs

    DTIC Science & Technology

    2010-01-01

    Heterostructure epitaxial material growth was performed by RF plasma-assisted molecular - beam epitaxy (MBE) on a 2-in. semi- insulating 4H SiC wafer. From... beam epitaxy of beryllium-doped GaN buffer layers for AlGaN/GaN HEMTs . J Cryst Growth 2003;251:481–6. [25] Storm DF, Katzer DS, Binari SC, Glaser ER...Shanabrook BV, Roussos JA. Reduction of buffer layer conduction near plasma-assisted molecular - beam epitaxy grown GaN/AlN interfaces by beryllium

  10. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    PubMed

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  11. Transparent-conductive-oxide (TCO) buffer layer effect on the resistive switching process in metal/TiO2/TCO/metal assemblies

    NASA Astrophysics Data System (ADS)

    Filatova, E. O.; Baraban, A. P.; Konashuk, A. S.; Konyushenko, M. A.; Selivanov, A. A.; Sokolov, A. A.; Schaefers, F.; Drozd, V. E.

    2014-11-01

    The effect of a transparent conductive oxide (TCO) buffer layer on the insulator matrix and on the resistive switching process in the metal/TiO2/TCO/metal assembly was studied depending on the material of the TCO (ITO-(In2O3)0.9(SnO2)0.1 or SnO2 or ZnO). For the first time electro-physical studies and near edge x-ray absorption fine structure (NEXAFS) studies were carried out jointly and at the same point of the sample, providing direct experimental evidence that the switching process strongly influences the lowest unoccupied bands and the local atomic structure of the TiO2 layers. It was established that a TCO layer in a metal/TiO2/TCO/metal assembly is an additional source of oxygen vacancies for the TiO2 film. The RL (RH) states are achieved presumably with the formation (rupture) of the electrically conductive path of oxygen vacancies. Inserting an Al2O3 thin layer between the TiO2 and TCO layers to some extent restricts the processes of migration of the oxygen ions and vacancies, and does not allow the anti-clockwise bipolar resistive switching in a Au/TiO2/Al2O3/ITO/Au assembly. The greatest value of the ratio RH/RL is observed for the assembly with a SnO2 buffer layer that will provide the maximum set of intermediate states (recording analog data) and increase the density of information recording in this case.

  12. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  13. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    NASA Astrophysics Data System (ADS)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  14. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  15. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  16. The Formation and Characterization of GaN Hexagonal Pyramids

    NASA Astrophysics Data System (ADS)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  17. Enzymatic glucose detection using ZnO nanorods on the gate region of AlGaN /GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Kang, B. S.; Wang, H. T.; Ren, F.; Pearton, S. J.; Morey, T. E.; Dennis, D. M.; Johnson, J. W.; Rajagopal, P.; Roberts, J. C.; Piner, E. L.; Linthicum, K. J.

    2007-12-01

    ZnO nanorod-gated AlGaN /GaN high electron mobility transistors (HEMTs) are demonstrated for the detection of glucose. A ZnO nanorod array was selectively grown on the gate area using low temperature hydrothermal decomposition to immobilize glucose oxidase (GOx). The one-dimensional ZnO nanorods provide a large effective surface area with high surface-to-volume ratio and provide a favorable environment for the immobilization of GOx. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when target glucose in a buffer with a pH value of 7.4 was added to the GOx immobilized on the ZnO nanorod surface. We could detect a wide range of concentrations from 0.5nMto125μM. The sensor exhibited a linear range from 0.5nMto14.5μM and an experiment limit of detection of 0.5nM. This demonstrates the possibility of using AlGaN /GaN HEMTs for noninvasive exhaled breath condensate based glucose detection of diabetic application.

  18. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  19. Enhanced Efficiency of Polymer Light-Emitting Diodes by Dispersing Dehydrated Nanotube Titanic Acid in the Hole-buffer Layer

    NASA Astrophysics Data System (ADS)

    Qian, L.; Xu, Z.; Teng, F.; Duan, X.-X.; Jin, Z.-S.; Du, Z.-L.; Li, F.-S.; Zheng, M.-J.; Wang, Y.-S.

    2007-06-01

    Efficiency of polymer light-emitting diodes (PLEDs) with poly(2-methoxy-5-(2-ethyl hexyloxy)- p-phenylene vinylene) (MEH-PPV) as an emitting layer was improved if a dehydrated nanotubed titanic acid (DNTA) doped hole-buffer layer polyethylene dioxythiophene (PEDOT) was used. Photoluminescence (PL) and Raman spectra indicated a stronger interaction between DNTA and sulfur atom in thiophene of PEDOT, which suppresses the chemical interaction between vinylene of MEH-PPV and thiophene of PEDOT. The interaction decreases the defect states in an interface region to result in enhancement in device efficiency, even though the hole transporting ability of PEDOT was decreased.

  20. Investigations of YBa2Cu3O y films sputtered onto a substrate of amorphous quartz with a platinum buffer layer

    NASA Astrophysics Data System (ADS)

    Blinova, Yu. V.; Snigirev, O. V.; Porokhov, N. V.; Evlashin, S. A.

    2017-10-01

    Results of investigations using X-ray diffraction and scanning electron microscopy of composite materials made from YBa2Cu3O y films sputtered (using various regimes) onto a substrate of amorphous quartz with a platinum buffer layer, have been given.

  1. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  2. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  3. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    NASA Astrophysics Data System (ADS)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  4. Properties of Mg and Zn acceptors in MOVPE GaN as studied by optically detected magnetic resonance

    NASA Astrophysics Data System (ADS)

    Kunzer, M.; Baur, J.; Kaufmann, U.; Schneider, J.; Amano, H.; Akasaki, I.

    1997-02-01

    We have studied the photoluminescence (PL) and optically detected magnetic resonance (ODMR) of undoped, n-doped and p-doped thin wurtzite GaN layers grown by metal-organic chemical vapor deposition on sapphire substrates. The ODMR data obtained for undoped. Mg-doped and Zn-doped GaN layers provide an insight into the recombination mechanisms responsible for the broad yellow (2.25 eV), the violet (3.15 eV) and the blue (2.8 eV) PL bands, respectively. The ODMR results for Mg and Zn also show that these acceptors do not behave effective mass like and indicate that the acceptor hole is mainly localized in the nearest neighbor shell surrounding the acceptor core. In addition concentration effects in heavily doped GaN:Mg have been studied.

  5. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    NASA Astrophysics Data System (ADS)

    Ohta, Akio; Truyen, Nguyen Xuan; Fujimura, Nobuyuki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The energy distribution of the electronic state density of wet-cleaned epitaxial GaN surfaces and SiO2/GaN structures has been studied by total photoelectron yield spectroscopy (PYS). By X-ray photoelectron spectroscopy (XPS) analysis, the energy band diagram for a wet-cleaned epitaxial GaN surface such as the energy level of the valence band top and electron affinity has been determined to obtain a better understanding of the measured PYS signals. The electronic state density of GaN surface with different carrier concentrations in the energy region corresponding to the GaN bandgap has been evaluated. Also, the interface defect state density of SiO2/GaN structures was also estimated by not only PYS analysis but also capacitance–voltage (C–V) characteristics. We have demonstrated that PYS analysis enables the evaluation of defect state density filled with electrons at the SiO2/GaN interface in the energy region corresponding to the GaN midgap, which is difficult to estimate by C–V measurement of MOS capacitors.

  6. Dual role of TiO2 buffer layer in Pt catalyzed BiFeO3 photocathodes: Efficiency enhancement and surface protection

    NASA Astrophysics Data System (ADS)

    Shen, Huanyu; Zhou, Xiaoxue; Dong, Wen; Su, Xiaodong; Fang, Liang; Wu, Xi; Shen, Mingrong

    2017-09-01

    Polycrystalline ferroelectric BiFeO3 (BFO) films deposited on transparent indium tin oxide (ITO) electrodes have shown to be an interesting photocathode for photoelectrochemical (PEC) water splitting; however, its PEC performance and stability are far from perfection. Herein, we reported an amorphous TiO2 buffer layer, inserted between BFO and Pt catalyst, improves significantly both its PEC activity and stability. A photocathodic current density of -460 μA/cm2 at 0 V vs. reversible hydrogen electrode (RHE) and an onset potential of 1.25 V vs. RHE were obtained in ITO/BFO/TiO2/Pt photocathode under 100 mW/cm2 Xe-lamp illumination. TiO2 functions as a buffer layer to remove the upward barrier between BFO and Pt, and makes the photogenerated carriers separate efficiently. The photocathode also shows high stability in acid solution after a 10-h PEC continuous testing.

  7. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE PAGES

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke; ...

    2016-04-27

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  8. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  9. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  10. Imaging TiO2 nanoparticles on GaN nanowires with electrostatic force microscopy

    NASA Astrophysics Data System (ADS)

    Xie, Ting; Wen, Baomei; Liu, Guannan; Guo, Shiqi; Motayed, Abhishek; Murphy, Thomas; Gomez, R. D.

    Gallium nitride (GaN) nanowires that are functionalized with metal-oxides nanoparticles have been explored extensively for gas sensing applications in the past few years. These sensors have several advantages over conventional schemes, including miniature size, low-power consumption and fast response and recovery times. The morphology of the oxide functionalization layer is critical to achieve faster response and recovery times, with the optimal size distribution of nanoparticles being in the range of 10 to 30 nm. However, it is challenging to characterize these nanoparticles on GaN nanowires using common techniques such as scanning electron microscopy, transmission electron microscopy, and x-ray diffraction. Here, we demonstrate electrostatic force microscopy in combination with atomic force microscopy as a non-destructive technique for morphological characterization of the dispersed TiO2 nanoparticles on GaN nanowires. We also discuss the applicability of this method to other material systems with a proposed tip-surface capacitor model. This project was sponsored through N5 Sensors and the Maryland Industrial Partnerships (MIPS, #5418).

  11. III-nitride core–shell nanorod array on quartz substrates

    PubMed Central

    Bae, Si-Young; Min, Jung-Wook; Hwang, Hyeong-Yong; Lekhal, Kaddour; Lee, Ho-Jun; Jho, Young-Dahl; Lee, Dong-Seon; Lee, Yong-Tak; Ikarashi, Nobuyuki; Honda, Yoshio; Amano, Hiroshi

    2017-01-01

    We report the fabrication of near-vertically elongated GaN nanorods on quartz substrates. To control the preferred orientation and length of individual GaN nanorods, we combined molecular beam epitaxy (MBE) with pulsed-mode metal–organic chemical vapor deposition (MOCVD). The MBE-grown buffer layer was composed of GaN nanograins exhibiting an ordered surface and preferred orientation along the surface normal direction. Position-controlled growth of the GaN nanorods was achieved by selective-area growth using MOCVD. Simultaneously, the GaN nanorods were elongated by the pulsed-mode growth. The microstructural and optical properties of both GaN nanorods and InGaN/GaN core–shell nanorods were then investigated. The nanorods were highly crystalline and the core–shell structures exhibited optical emission properties, indicating the feasibility of fabricating III-nitride nano-optoelectronic devices on amorphous substrates. PMID:28345641

  12. Electric field dynamics in nitride structures containing quaternary alloy (Al, In, Ga)N

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Borysiuk, J., E-mail: jolanta.borysiuk@ifpan.edu.pl; Faculty of Physics, University of Warsaw, Pasteura 5, 02-093 Warsaw; Sakowski, K.

    2016-07-07

    Molecular beam epitaxy growth and basic physical properties of quaternary AlInGaN layers, sufficiently thick for construction of electron blocking layers (EBL), embedded in ternary InGaN layers are presented. Transmission electron microscopy (TEM) measurement revealed good crystallographic structure and compositional uniformity of the quaternary layers contained in other nitride layers, which are typical for construction of nitride based devices. The AlInGaN layer was epitaxially compatible to InGaN matrix, strained, and no strain related dislocation creation was observed. The strain penetrated for limited depth, below 3 nm, even for relatively high content of indium (7%). For lower indium content (0.6%), the strain wasmore » below the detection limit by TEM strain analysis. The structures containing quaternary AlInGaN layers were studied by time dependent photoluminescence (PL) at different temperatures and excitation powers. It was shown that PL spectra contain three peaks: high energy donor bound exciton peak from the bulk GaN (DX GaN) and the two peaks (A and B) from InGaN layers. No emission from quaternary AlInGaN layers was observed. An accumulation of electrons on the EBL interface in high-In sample and formation of 2D electron gas (2DEG) was detected. The dynamics of 2DEG was studied by time resolved luminescence revealing strong dependence of emission energy on the 2DEG concentration. Theoretical calculations as well as power-dependence and temperature-dependence analysis showed the importance of electric field inside the structure. At the interface, the field was screened by carriers and could be changed by illumination. From these measurements, the dynamics of electric field was described as the discharge of carriers accumulated on the EBL.« less

  13. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  14. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  15. Superconducting composite with multilayer patterns and multiple buffer layers

    DOEpatents

    Wu, Xin D.; Muenchausen, Ross E.

    1993-01-01

    An article of manufacture including a substrate, a patterned interlayer of a material selected from the group consisting of magnesium oxide, barium-titanium oxide or barium-zirconium oxide, the patterned interlayer material overcoated with a secondary interlayer material of yttria-stabilized zirconia or magnesium-aluminum oxide, upon the surface of the substrate whereby an intermediate article with an exposed surface of both the overcoated patterned interlayer and the substrate is formed, a coating of a buffer layer selected from the group consisting of cerium oxide, yttrium oxide, curium oxide, dysprosium oxide, erbium oxide, europium oxide, iron oxide, gadolinium oxide, holmium oxide, indium oxide, lanthanum oxide, manganese oxide, lutetium oxide, neodymium oxide, praseodymium oxide, plutonium oxide, samarium oxide, terbium oxide, thallium oxide, thulium oxide, yttrium oxide and ytterbium oxide over the entire exposed surface of the intermediate article, and, a ceramic superco n FIELD OF THE INVENTION The present invention relates to the field of superconducting articles having two distinct regions of superconductive material with differing in-plane orientations whereby the conductivity across the boundary between the two regions can be tailored. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  16. Effects of GaN interlayer on the transport properties of lattice-matched AlInN/AlN/GaN heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, F.; Gao, K. H., E-mail: khgao@tju.edu.cn; Li, Z. Q.

    2015-04-21

    We study the effects of GaN interlayer on the transport properties of two-dimensional electron gases confined in lattice-matched AlInN/AlN/GaN heterostructures. It is found that the Hall mobility is evidently enhanced when an additional ultrathin GaN interlayer is introduced between AlInN and AlN layers. The enhancement of the Hall mobility is especially remarkable at low temperature. The high Hall mobility results in a low sheet resistance of 23 Ω/◻ at 2 K. Meanwhile, Shubnikov-de Haas oscillations (SdH) are also remarkably enhanced due to the existence of GaN interlayer. The enhancement of the SdH oscillations is related to the larger quantum mobility μ{sub q}more » owing to the suppression of the interface roughness, alloy disorder, and ionized impurity scatterings by the GaN interlayer.« less

  17. Fabrication and electrochemical properties of insoluble fullerene-diamine adduct thin-films as buffer layer by alternate immersion process

    NASA Astrophysics Data System (ADS)

    Saito, Jo; Akiyama, Tsuyoshi; Suzuki, Atsushi; Oku, Takeo

    2017-01-01

    Insoluble fullerene-diamine adduct thin-films consisting of C60 and 1,2-diaminoethane were easily fabricated on an electrode by an alternate immersion process. Formation of the C60-diamine adduct films were confirmed using transmission absorption spectroscopy and atomic force microscopy. An inverted-type organic solar cells were fabricated by using the C60-diamine adduct film as the electron transport layer. The resultant photoelectric conversation performance of the solar cells suggested that photocurrent is generated via the photoexcitation of polythiophene. The result suggests that the present insoluble fullerene-diamine adduct films worked as buffer layer for organic thin-film solar cells.

  18. Laser-induced local activation of Mg-doped GaN with a high lateral resolution for high power vertical devices

    NASA Astrophysics Data System (ADS)

    Kurose, Noriko; Matsumoto, Kota; Yamada, Fumihiko; Roffi, Teuku Muhammad; Kamiya, Itaru; Iwata, Naotaka; Aoyagi, Yoshinobu

    2018-01-01

    A method for laser-induced local p-type activation of an as-grown Mg-doped GaN sample with a high lateral resolution is developed for realizing high power vertical devices for the first time. As-grown Mg-doped GaN is converted to p-type GaN in a confined local area. The transition from an insulating to a p-type area is realized to take place within about 1-2 μm fine resolution. The results show that the technique can be applied in fabricating the devices such as vertical field effect transistors, vertical bipolar transistors and vertical Schottkey diode so on with a current confinement region using a p-type carrier-blocking layer formed by this technique.

  19. Effect of 60Co γ-irradiation on the nature of electronic transport in heavily doped n-type GaN based Schottky photodetectors

    NASA Astrophysics Data System (ADS)

    Chatterjee, Abhishek; Khamari, Shailesh K.; Porwal, S.; Kher, S.; Sharma, T. K.

    2018-04-01

    GaN Schottky photodetectors are fabricated on heavily doped n-type GaN epitaxial layers grown by the hydride vapour phase epitaxy technique. The effect of 60Co γ-radiation on the electronic transport in GaN epilayers and Schottky detectors is studied. In contrast to earlier observations, a steady rise in the carrier concentration with increasing irradiation dose is clearly seen. By considering a two layer model, the contribution of interfacial dislocations in carrier transport is isolated from that of the bulk layer for both the pristine and irradiated samples. The bulk carrier concentration is fitted by using the charge balance equation which indicates that no new electrically active defects are generated by γ-radiation even at 500 kGy dose. The irradiation induced rise in the bulk carrier concentration is attributed to the activation of native Si impurities that are already present in an electrically inert form in the pristine sample. Further, the rise in interfacial contribution in the carrier concentration is governed by the enhanced rate of formation of nitrogen vacancies by irradiation, which leads to a larger diffusion of oxygen impurities. A large value of the characteristic tunnelling energy for both the pristine and irradiated Au/Ni/GaN Schottky devices confirms that the dislocation-assisted tunnelling dominates the low temperature current transport even after irradiation. The advantage of higher displacement energy and larger bandgap of GaN as compared to GaAs is evident from the change in leakage current after irradiation. Further, a fast recovery of the photoresponse of GaN photodetectors after irradiation signifies their compatibility to operate in high radiation zones. The results presented here are found to be crucial in understanding the interaction of 60Co γ-irradiation with n+-GaN epilayers.

  20. Optimization by simulation of the nature of the buffer, the gap profile of the absorber and the thickness of the various layers in CZTSSe solar cells

    NASA Astrophysics Data System (ADS)

    Chadel, Meriem; Chadel, Asma; Moustafa Bouzaki, Mohammed; Aillerie, Michel; Benyoucef, Boumediene; Charles, Jean-Pierre

    2017-11-01

    Performances of ZnO/ZnS/CZTSSe polycrystalline thin film solar cells (Copper Zinc Tin Sulphur Selenium-solar cell) were simulated for different thicknesses of the absorber and ZnS buffer layers. Simulations were performed with SCAPS (Solar Cell Capacitance Simulator) software, starting with actual parameters available from industrial data for commercial cells processing. The influences of the thickness of the various layers in the structure of the solar cell and the gap profile of the CZTSSe absorber layer on the performance of the solar cell were studied in detail. Through considerations of recent works, we discuss possible routes to enhance the performance of CZTSSe solar cells towards a higher efficiency level. Thus, we found that for one specific thickness of the absorber layer, the efficiency of the CZTSSe solar cell can be increased when a ZnS layer replaces the usual CdS buffer layer. On the other hand, the efficiency of the solar cell can be also improved when the absorber layer presents a grad-gap. In this case, the maximum efficiency for the CZTSSe cell was found equal to 13.73%.