Sample records for gan epitaxial film

  1. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  2. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  3. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  4. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  5. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  6. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  7. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  8. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  9. Epitaxy of Zn{sub 2}TiO{sub 4} (1 1 1) thin films on GaN (0 0 1)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiao, Chu-Yun; Wu, Jhih-Cheng; Shih, Chuan-Feng, E-mail: cfshih@mail.ncku.edu.tw

    2013-03-15

    Highlights: ► High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by sputtering. ► Oxygen atmosphere and post heat-treatment annealing effectively enhanced epitaxy. ► The epitaxial Zn{sub 2}TiO{sub 4} modifies the dielectric properties of ceramic oxide. - Abstract: High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by rf-sputtering. Grazing-angle, powder, and pole-figure X-ray diffractometries (XRD) were performed to identify the crystallinity and the preferred orientation of the Zn{sub 2}TiO{sub 4} films. Lattice image at the Zn{sub 2}TiO{sub 4} (1 1 1)/GaN (0 0 1) interface was obtained by high-resolutionmore » transmission-electron microscopy (HR-TEM). An oxygen atmosphere in sputtering and post heat-treatment using rapid thermal annealing effectively enhanced the epitaxy. The epitaxial relationship was determined from the XRD and HR-TEM results: (111){sub Zn{sub 2TiO{sub 4}}}||(001){sub GaN}, (202{sup ¯}){sub Zn{sub 2TiO{sub 4}}}||(110){sub GaN},and[21{sup ¯}1{sup ¯}]{sub Zn{sub 2TiO{sub 4}}}||[01{sup ¯}10]{sub GaN}. Finally, the relative permittivity, interfacial trap density and the flat-band voltage of the Zn{sub 2}TiO{sub 4} based capacitor were ∼18.9, 8.38 × 10{sup 11} eV{sup −1} cm{sup −2}, and 1.1 V, respectively, indicating the potential applications of the Zn{sub 2}TiO{sub 4} thin film to the GaN-based metal-oxide-semiconductor capacitor.« less

  10. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  11. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  12. Selected Growth of Cubic and Hexagonal GaN Epitaxial Films on Polar MgO(111)

    NASA Astrophysics Data System (ADS)

    Lazarov, V. K.; Zimmerman, J.; Cheung, S. H.; Li, L.; Weinert, M.; Gajdardziska-Josifovska, M.

    2005-06-01

    Selected molecular beam epitaxy of zinc blende (111) or wurtzite (0001) GaN films on polar MgO(111) is achieved depending on whether N or Ga is deposited first. The cubic stacking is enabled by nitrogen-induced polar surface stabilization, which yields a metallic MgO(111)-(1×1)-ON surface. High-resolution transmission electron microscopy and density functional theory studies indicate that the atomically abrupt semiconducting GaN(111)/MgO(111) interface has a Mg-O-N-Ga stacking, where the N atom is bonded to O at a top site. This specific atomic arrangement at the interface allows the cubic stacking to more effectively screen the substrate and film electric dipole moment than the hexagonal stacking, thus stabilizing the zinc blende phase even though the wurtzite phase is the ground state in the bulk.

  13. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  14. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  15. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  16. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  19. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  20. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  1. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  2. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  3. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  4. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  5. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    NASA Astrophysics Data System (ADS)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  6. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  7. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  8. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  9. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  10. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  11. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  12. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  13. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  14. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  15. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  16. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN.

    PubMed

    Soh, C B; Liu, W; Yong, A M; Chua, S J; Chow, S Y; Tripathy, S; Tan, R J N

    2010-08-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO(2) film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, η(extraction,) was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  17. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN

    NASA Astrophysics Data System (ADS)

    Soh, C. B.; Liu, W.; Yong, A. M.; Chua, S. J.; Chow, S. Y.; Tripathy, S.; Tan, R. J. N.

    2010-11-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO2 film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, ηextraction, was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  18. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  19. In-Plane Anisotropic Photoconduction in Nonpolar Epitaxial a-Plane GaN.

    PubMed

    Pant, Rohit; Shetty, Arjun; Chandan, Greeshma; Roul, Basanta; Nanda, K K; Krupanidhi, S B

    2018-05-16

    Nonpolar a-plane GaN epitaxial films were grown on an r-plane sapphire using the plasma-assisted molecular beam epitaxy system, with various nitrogen plasma power conditions. The crystallinity of the films was characterized by high-resolution X-ray diffraction and reciprocal space mapping. Using the X-ray "rocking curve-phi scan", [0002], [1-100], and [1-102] azimuth angles were identified, and interdigitated electrodes along these directions were fabricated to evaluate the direction-dependent UV photoresponses. UV responsivity ( R) and internal gain ( G) were found to be dependent on the azimuth angle and in the order of [0002] > [1-102] > [1-100], which has been attributed to the enhanced crystallinity and lowest defect density along [0002] azimuth. The temporal response was very stable irrespective of growth conditions and azimuth angles. Importantly, response time, responsivity, and internal gain were 210 ms, 1.88 A W -1 , and 648.9%, respectively, even at a bias as low as 1 V. The results were validated using the Silvaco Atlas device simulator, and experimental observations were consistent with simulated results. Overall, the photoresponse is dependent on azimuth angles and requires further optimization, especially for materials with in-plane crystal anisotropy.

  20. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  1. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  2. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  3. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  4. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  5. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q.; Takahashi, T.; Matsuhata, H.

    2013-12-02

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayersmore » grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.« less

  6. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shen, X. Q.; Takahashi, T.; Rong, X.; Chen, G.; Wang, X. Q.; Shen, B.; Matsuhata, H.; Ide, T.; Shimizu, M.

    2013-12-01

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayers grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.

  7. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  8. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  9. X-ray probe of GaN thin films grown on InGaN compliant substrates

    NASA Astrophysics Data System (ADS)

    Xu, Xiaoqing; Li, Yang; Liu, Jianming; Wei, Hongyuan; Liu, Xianglin; Yang, Shaoyan; Wang, Zhanguo; Wang, Huanhua

    2013-04-01

    GaN thin films grown on InGaN compliant substrates were characterized by several X-ray technologies: X-ray reciprocal space mapping (RSM), grazing incidence X-ray diffraction (GIXRD), and X-ray photoemission spectrum (XPS). Narrow Lorentz broadening and stress free state were observed for GaN grown on InGaN compliant substrate, while mosaic structure and large tensile stress were observed at the presence of residual indium atoms. RSM disclosed the mosaicity, and the GIXRD was conducted to investigate the depth dependences of crystal quality and strain states. XPS depth profile of indium contents indicated that residual indium atoms deteriorated the crystal quality of GaN not only by producing lattice mismatch at the interface of InGaN and GaN but also by diffusing into GaN overlayers. Accordingly, two solutions were proposed to improve the efficiency of self-patterned lateral epitaxial overgrowth method. This research goes a further step in resolving the urgent substrate problem in GaN fabrication.

  10. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  11. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  12. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  13. Experimental evidence of Ga-vacancy induced room temperature ferromagnetic behavior in GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roul, Basanta; Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    We have grown Ga deficient GaN epitaxial films on (0001) sapphire substrate by plasma-assisted molecular beam epitaxy and report the experimental evidence of room temperature ferromagnetic behavior. The observed yellow emission peak in room temperature photoluminescence spectra and the peak positioning at 300 cm{sup -1} in Raman spectra confirms the existence of Ga vacancies. The x-ray photoelectron spectroscopic measurements further confirmed the formation of Ga vacancies; since the N/Ga is found to be >1. The ferromagnetism is believed to originate from the polarization of the unpaired 2p electrons of N surrounding the Ga vacancy.

  14. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  15. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  16. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  17. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  18. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  19. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  20. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  1. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  2. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    NASA Astrophysics Data System (ADS)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  3. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  4. Plasma-assisted MBE growth kinetics and characterization studies of wide bandgap III-V epitaxial materials

    NASA Astrophysics Data System (ADS)

    O'Steen, Mark Lee

    2000-10-01

    Scope and method of study. The purpose of this research was to understand the physics of RF plasma-assisted molecular beam epitaxial growth of GaN epitaxial films and InGaN/GaN superlattice structures grown on Al2O3 (0001) substrates. The techniques used to characterize the RF-MBE grown samples include in situ reflection high energy electron diffraction (RHEED) and optical pyrometry, and ex situ spatially-resolved high resolution X-ray diffraction, spatially-resolved reflectance spectroscopy, atomic force microscopy, and low-temperature photoluminescence (PL) spectroscopy. Findings and conclusions. RF plasma-assisted molecular beam epitaxy (RF-MBE) has been used to grow GaN epitaxial films and InGaN/GaN superlattice structures. The most important growth parameters in the growth of GaN epitaxial films were identified as the substrate temperature, incident N*/Ga flux ratio, and GaN growth rate. The effect of these growth parameters on GaN growth and quality of GaN epitaxial films is discussed. Additionally, an interpretation of the effects of growth conditions on the underlying microscopic growth processes occurring is presented. All of the observed GaN growth results may be understood in terms of these microscopic growth processes. InGaN/GaN superlattice samples are grown to identify and quantitatively access the InGaN growth phenomenology. It is inferred that InN requires a higher N*/III flux ratio than does GaN for stoichiometric growth. At substrate temperatures below 590°C, the In composition of the superlattice samples is nominally constant. However, in the narrow temperature range 590--670°C, the In composition decreases by more than an order-or-magnitude at the lowest N*/III flux ratio of this study. Additionally, the incident N*/III flux ratio is found to strongly influence the In composition as well. Nearly an order-of-magnitude increase in In composition is observed despite only a 20% increase in the N*/III flux ratio at the highest temperature of this

  5. Characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Ikai; Pang, Wen-Yuan; Hsu, Yu-Chi

    2013-06-15

    The characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy on LiAlO{sub 2} substrate was studied by cathodoluminescence and photoluminescence measurements. We demonstrated that the cathodoluminescence from oblique semi-polar surfaces of mushroom-shaped GaN was much brighter than that from top polar surface due to the reduction of polarization field on the oblique semi-polar surfaces. It implies that the oblique semi-polar surface is superior for the light-emitting surface of wurtzite nano-devices.

  6. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  7. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  8. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  9. High breakdown single-crystal GaN p-n diodes by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qi, Meng; Zhao, Yuning; Yan, Xiaodong

    2015-12-07

    Molecular beam epitaxy grown GaN p-n vertical diodes are demonstrated on single-crystal GaN substrates. A low leakage current <3 nA/cm{sup 2} is obtained with reverse bias voltage up to −20 V. With a 400 nm thick n-drift region, an on-resistance of 0.23 mΩ cm{sup 2} is achieved, with a breakdown voltage corresponding to a peak electric field of ∼3.1 MV/cm in GaN. Single-crystal GaN substrates with very low dislocation densities enable the low leakage current and the high breakdown field in the diodes, showing significant potential for MBE growth to attain near-intrinsic performance when the density of dislocations is low.

  10. High active nitrogen flux growth of GaN by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McSkimming, Brian M., E-mail: mcskimming@engineering.ucsb.edu; Speck, James S.; Chaix, Catherine

    2015-09-15

    In the present study, the authors report on a modified Riber radio frequency (RF) nitrogen plasma source that provides active nitrogen fluxes more than 30 times higher than those commonly used for plasma assisted molecular beam epitaxy (PAMBE) growth of gallium nitride (GaN) and thus a significantly higher growth rate than has been previously reported. GaN films were grown using N{sub 2} gas flow rates between 5 and 25 sccm while varying the plasma source's RF forward power from 200 to 600 W. The highest growth rate, and therefore the highest active nitrogen flux, achieved was ∼7.6 μm/h. For optimized growth conditions,more » the surfaces displayed a clear step-terrace structure with an average RMS roughness (3 × 3 μm) on the order of 1 nm. Secondary ion mass spectroscopy impurity analysis demonstrates oxygen and hydrogen incorporation of 1 × 10{sup 16} and ∼5 × 10{sup 17}, respectively. In addition, the authors have achieved PAMBE growth of GaN at a substrate temperature more than 150 °C greater than our standard Ga rich GaN growth regime and ∼100 °C greater than any previously reported PAMBE growth of GaN. This growth temperature corresponds to GaN decomposition in vacuum of more than 20 nm/min; a regime previously unattainable with conventional nitrogen plasma sources. Arrhenius analysis of the decomposition rate shows that samples with a flux ratio below stoichiometry have an activation energy greater than decomposition of GaN in vacuum while samples grown at or above stoichiometry have decreased activation energy. The activation energy of decomposition for GaN in vacuum was previously determined to be ∼3.1 eV. For a Ga/N flux ratio of ∼1.5, this activation energy was found to be ∼2.8 eV, while for a Ga/N flux ratio of ∼0.5, it was found to be ∼7.9 eV.« less

  11. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  12. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  13. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  14. Low resistivity and low compensation ratio Ga-doped ZnO films grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Chen, Cheng-Yu; Hsiao, Li-Han; Chyi, Jen-Inn

    2015-09-01

    In this study, Ga-doped ZnO (GZO) thin films were deposited on GaN templates by using plasma-assisted molecular beam epitaxy. To obtain low resistivity GZO films, in-situ post-annealing under Zn overpressure was carried out to avoid the generation of acceptor-liked Zn vacancies. The resultant films showed optical transparency over 95% in the visible spectral range. By reducing the acceptor-like defects, GZO films with compensation ratio near 0.4 and resistivity simultaneously lower than 1×10-4 Ω cm have been successfully demonstrated.

  15. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  16. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  17. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  18. Radical Beam Gettering Epitaxy of Zno and Gan

    NASA Astrophysics Data System (ADS)

    Georgobiani, A. N.; Demin, V. I.; Vorobiev, M. O.; Gruzintsev, A. N.; Hodos, I. I.; Kotljarevsky, M. B.; Kidalov, V. V.; Rogozin, I. V.

    2002-11-01

    P-type ZnO layers with a hole mobility about 23 cm2/(V s), and a hole concentration about 1015 cm-3 were grown by means of radical-beam gettering epitaxy (the annealing of n-ZnO single crystals in atomic oxygen flux). The effect of native defects on the photoluminescence spectra of the layers was studied. The dominant bands in the spectra peaked at 370.2 and 400 nm. These bands were attributed to the annihilation of exciton localised on neutral Vzn and to electron transitions from the conduction band to singly positively charged Vzn correspondingly. The effect of annealing in atomic nitrogen flux of p-CaN:Mg films on their photoluminescence spectra and on the value of their conductivity were studied. Such annealing leads to appearance of a number of emission bands that peaked at 404.9, 390.8 and 378.9 nm and increases hole concentration from 5 × 1015 to 5 × 1016 cm-3, and the hole mobility from 120 to 150 cm2/(V s). The n-ZnO - p-GaN:Mg electroluminescence heterostructures were obtained. Their spectrum contains bands in the excitonic region of GaN at the wavelength 360.2 nm and in the edge region at wavelengths 378.9 and 390.8 nm.

  19. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  20. Ga flux dependence of Er-doped GaN luminescent thin films

    NASA Astrophysics Data System (ADS)

    Lee, D. S.; Steckl, A. J.

    2002-02-01

    Er-doped GaN thin films have been grown on (111) Si substrates with various Ga fluxes in a radio frequency plasma molecular beam epitaxy system. Visible photoluminescence (PL) and electroluminescence (EL) emission at 537/558 nm and infrared (IR) PL emission at 1.5 μm from GaN:Er films exhibited strong dependence on the Ga flux. Both visible and IR PL and visible EL increase with the Ga flux up to the stoichiometric growth condition, as determined by growth rate saturation. Beyond this condition, all luminescence levels abruptly dropped to the detection limit with increasing Ga flux. The Er concentration, measured by secondary ion mass spectroscopy and Rutherford backscattering, decreases with increasing Ga flux under N-rich growth conditions and remains constant above the stoichiometric growth condition. X-ray diffraction indicated that the crystalline quality of the GaN:Er film was improved with increasing Ga flux up to stoichiometric growth condition and then saturated. Er ions in the films grown under N-rich conditions appear much more optically active than those in the films grown under Ga-rich conditions.

  1. Mosaic structure in epitaxial thin films having large lattice mismatch

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Speck, J. S.; Clarke, D. R.

    1997-11-01

    Epitaxial films having a large lattice mismatch with their substrate invariably form a mosaic structure of slightly misoriented sub-grains. The mosaic structure is usually characterized by its x-ray rocking curve on a surface normal reflection but this is limited to the out-of-plane component unless off-axis or transmission experiments are performed. A method is presented by which the in-plane component of the mosaic misorientation can be determined from the rocking curves of substrate normal and off-axis reflections. Results are presented for two crystallographically distinct heteroepitaxial systems, ZnO, AlN, and GaN (wurtzite crystal structure) on c-plane sapphire and MgO (rock salt crystal structure) on (001) GaAs. The differences in the mosaic structure of these films are attributed to the crystallographic nature of their lattice dislocations.

  2. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  3. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  4. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  5. Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties

    DTIC Science & Technology

    2010-04-01

    observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b

  6. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  7. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  8. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  9. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  10. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  11. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  12. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  13. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Electrical transport and structural characterization of epitaxial monolayer MoS2 /n- and p-doped GaN vertical lattice-matched heterojunctions

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; O'Regan, T.; Zhang, K.; Herzing, A.; Mazzoni, A.; Chin, M.; Huang, S.; Zhang, Z.; Burke, R.; Neupane, M.; Birdwell, Ag; Shah, P.; Crowne, F.; Kolmakov, A.; Leroy, B.; Robinson, J.; Davydov, A.; Ivanov, T.

    We investigate vertical semiconductor junctions consisting of monolayer MoS2 that is epitaxially grown on n- and p-doped GaN crystals. Such a junction represents a building block for 2D/3D vertical semiconductor heterostructures. Epitaxial, lattice-matched growth of MoS2 on GaN is important to ensure high quality interfaces that are crucial for the efficient vertical transport. The MoS2/GaN junctions were characterized with cross-sectional and planar scanning transmission electron microscopy (STEM), scanning tunneling microscopy, and atomic force microscopy. The MoS2/GaN lattice mismatch is measured to be near 1% using STEM. The electrical transport in the out-of-plane direction across the MoS2/GaN junctions was measured using conductive atomic force microscopy and mechanical nano-probes inside a scanning electron microscope. Nano-disc metal contacts to MoS2 were fabricated by e-beam lithography and evaporation. The current-voltage curves of the vertical MoS2/GaN junctions exhibit rectification with opposite polarities for n-doped and p-doped GaN. The metal contact determines the general features of the current-voltage curves, and the MoS2 monolayer modifies the electrical transport across the contact/GaN interface.

  15. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  16. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  17. Controlled growth of ordered nanopore arrays in GaN.

    PubMed

    Wildeson, Isaac H; Ewoldt, David A; Colby, Robert; Stach, Eric A; Sands, Timothy D

    2011-02-09

    High-quality, ordered nanopores in semiconductors are attractive for numerous biological, electrical, and optical applications. Here, GaN nanorods with continuous pores running axially through their centers were grown by organometallic vapor phase epitaxy. The porous nanorods nucleate on an underlying (0001)-oriented GaN film through openings in a SiN(x) template that are milled by a focused ion beam, allowing direct placement of porous nanorods. Nanopores with diameters ranging from 20-155 nm were synthesized with crystalline sidewalls.

  18. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  19. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  20. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  1. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  2. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  3. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  4. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  5. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  6. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  7. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  8. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    NASA Astrophysics Data System (ADS)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  9. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  10. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    PubMed

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  11. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (<3 × 1017 cm-3) responsible for p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  12. High power ultraviolet light emitting diodes based on GaN /AlGaN quantum wells produced by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-11-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN /AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN /AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800×800μm2) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340nm, the measured differential on-series resistance is 3Ω with electroluminescence spectrum full width at half maximum of 18nm. The output power under dc bias saturates at 0.5mW, while under pulsed operation it saturates at approximately 700mA to a value of 3mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350nm were investigated under dc operation and the output power saturates at 4.5mW under 200mA drive current.

  13. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  14. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  15. Properties of Epitaxial GaN on Refractory Metal Substrates

    DTIC Science & Technology

    2007-02-28

    Rowland Aymont Technology, Inc., Scotia, New York 12302 Jihyun Kim College of Engineering, Korea University, Seoul 136-701, South Korea Mohammad Fatemi...M. Li, D. Wang, C. Ahyi, C.-C. Tin, J. Williams, and M. Park , Appl. Phys. Lett. 88, 113509 2001. FIG. 4. I-V characteristic of the GaN film on 111

  16. Vacancy-type defects in Mg-doped GaN grown by ammonia-based molecular beam epitaxy probed using a monoenergetic positron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uedono, Akira; Malinverni, Marco; Martin, Denis

    Vacancy-type defects in Mg-doped GaN were probed using a monoenergetic positron beam. GaN films with a thickness of 0.5–0.7 μm were grown on GaN/sapphire templates using ammonia-based molecular beam epitaxy and characterized by measuring Doppler broadening spectra. Although no vacancies were detected in samples with a Mg concentration [Mg] below 7 × 10{sup 19 }cm{sup −3}, vacancy-type defects were introduced starting at above [Mg] = 1 × 10{sup 20 }cm{sup −3}. The major defect species was identified as a complex between Ga vacancy (V{sub Ga}) and multiple nitrogen vacancies (V{sub N}s). The introduction of vacancy complexes was found to correlate with a decreasemore » in the net acceptor concentration, suggesting that the defect introduction is closely related to the carrier compensation. We also investigated Mg-doped GaN layers grown using In as the surfactant. The formation of vacancy complexes was suppressed in the subsurface region (≤80 nm). The observed depth distribution of defects was attributed to the thermal instability of the defects, which resulted in the introduction of vacancy complexes during the deposition process.« less

  17. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  18. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  19. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  20. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  1. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  2. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  3. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  4. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    NASA Astrophysics Data System (ADS)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  5. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  6. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  7. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  8. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  9. Superconductivity and tunneling-junctions in epitaxial Nb2N/AlN/GaN heterojunctions

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Han, Yimo; Khalsa, Guru; Vishwanath, Suresh; Katzer, Scott; Nepal, Neeraj; Downey, Brian; Muller, David; Meyer, David; Xing, Grace; Jena, Debdeep; ECE Collaboration; AEP Collaboration; MSE Collaboration; NRL Collaboration

    We have discovered that ultrathin highly crystalline Nb2N layers grown epitaxially (by MBE) on SiC and integrated with AlN and GaN heterostructures are high-quality superconductors with transition temperatures from 9-13 K. The out-of-plane critical magnetic fields are found to be 14 Tesla range, and the critical current density is 4*1E5 A/cm2 at 5 K. Preliminary in-plane magnetotransport measurements on 4 nm thin films indicate a significantly high critical magnetic field exceeding 40 T. Since Nb2N superconducting layers can be epitaxially integrated with GaN, AlN, and AlGaN, we also demonstrate Nb2N superconductivity in a layer located beneath an N-polar GaN high-electron-mobility transistor (HEMT) heterostructure that uses a 2DEG channel as a microwave amplifier; such a demonstration illustrates the potential emergence of a new paradigm where an all-epitaxial III-N/Nb2N platform could serve as the basis for microwave qubits to power quantum computation as well as quantum communications.

  10. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  11. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  12. Phase degradation in BxGa1-xN films grown at low temperature by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; Allerman, Andrew A.; Lee, Stephen R.

    2017-04-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of BxGa1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750-900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to 7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stacking faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at 362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. Only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.

  13. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  14. Injection Laser Using Rare Earth Doped GaN Thin Films for Visible and Infrared Applications

    DTIC Science & Technology

    2010-05-01

    system is used to measure the conductivity of GaN thin films in Nanolab. Undoped GaN thin films are usually n-type conductive with the electron ...being investigated for potential applications in optical communication and displays, due to the wide and direct energy bandgap of GaN resulting in low...enhancement on Eu3+ PL intensity. The electrical properties of GaN:RE thin films were changed from high resistive to

  15. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  16. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  17. Tellurium n-type doping of highly mismatched amorphous GaN 1-xAs x alloys in plasma-assisted molecular beam epitaxy

    DOE PAGES

    Novikov, S. V.; Ting, M.; Yu, K. M.; ...

    2014-10-01

    In this paper we report our study on n-type Te doping of amorphous GaN 1-xAs x layers grown by plasma-assisted molecular beam epitaxy. We have used a low temperature PbTe source as a source of tellurium. Reproducible and uniform tellurium incorporation in amorphous GaN 1-xAs x layers has been successfully achieved with a maximum Te concentration of 9×10²⁰ cm⁻³. Tellurium incorporation resulted in n-doping of GaN 1-xAs x layers with Hall carrier concentrations up to 3×10¹⁹ cm⁻³ and mobilities of ~1 cm²/V s. The optimal growth temperature window for efficient Te doping of the amorphous GaN 1-xAs x layers hasmore » been determined.« less

  18. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  19. Unveiling structural, chemical and magnetic interfacial peculiarities in ε-Fe2O3/GaN (0001) epitaxial films.

    PubMed

    Ukleev, Victor; Suturin, Sergey; Nakajima, Taro; Arima, Taka-Hisa; Saerbeck, Thomas; Hanashima, Takayasu; Sitnikova, Alla; Kirilenko, Demid; Yakovlev, Nikolai; Sokolov, Nikolai

    2018-06-07

    The metastable ε-Fe 2 O 3 is known to be the most intriguing ferrimagnetic and multiferroic iron oxide phase exhibiting a bunch of exciting physical properties both below and above room temperature. The present paper unveils the structural and magnetic peculiarities of a few nm thick interface layer discovered in these films by a number of techniques. The polarized neutron reflectometry data suggests that the interface layer resembles GaFeO 3 in composition and density and is magnetically softer than the rest of the ε-Fe 2 O 3 film. While the in-depth density variation is in agreement with the transmission electron microscopy measurements, the layer-resolved magnetization profiles are qualitatively consistent with the unusual wasp-waist magnetization curves observed by superconducting quantum interference device magnetometry. Interestingly a noticeable Ga diffusion into the ε-Fe 2 O 3 films has been detected by secondary ion mass spectroscopy providing a clue to the mechanisms guiding the nucleation of exotic metastable epsilon ferrite phase on GaN at high growth temperature and influencing the interfacial properties of the studied films.

  20. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  1. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  2. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  3. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  4. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  5. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  6. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Epitaxial ternary nitride thin films prepared by a chemical solution method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hongmei; Feldmann, David M; Wang, Haiyan

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  8. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  9. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  10. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  11. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  12. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  13. Comparative study of textured and epitaxial ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Wrobel, J. M.; Jeong, H. M.; Miceli, P. F.; White, H. W.

    2000-06-01

    ZnO films were synthesized by pulsed laser deposition (PLD) on GaAs and α-Al 2O 3 substrates. The properties of ZnO films on GaAs and α-Al 2O 3 have been investigated to determine the differences between epitaxial and textured ZnO films. ZnO films on GaAs show very strong emission features associated with exciton transitions as do ZnO films on α-Al 2O 3, while the crystalline structural qualities for ZnO films on α-Al 2O 3 are much better than those for ZnO films on GaAs. The properties of ZnO films are studied by comparing highly oriented, textured ZnO films on GaAs with epitaxial ZnO films on α-Al 2O 3 synthesized along the c-axis.

  14. Harmonic surface acoustic waves on gallium nitride thin films.

    PubMed

    Justice, Joshua; Lee, Kyoungnae; Korakakis, D

    2012-08-01

    SAW devices operating at the fundamental frequency and the 5th, 7th, 9th, and 11th harmonics have been designed, fabricated, and measured. Devices were fabricated on GaN thin films on sapphire substrates, which were grown via metal organic vapor phase epitaxy (MOVPE). Operating frequencies of 230, 962, 1338, 1720, and 2100 MHz were achieved with devices that had a fundamental wavelength, lambda0 = 20 μm. Gigahertz operation is realized with relatively large interdigital transducers that do not require complicated submicrometer fabrication techniques. SAW devices fabricated on the GaN/sapphire bilayer have an anisotropic propagation when the wavelength is longer than the GaN film thickness. It is shown that for GaN thin films, where kh(GaN) > 10 (k = 2pi/lambda and h(GaN) = GaN film thickness), effects of the substrate on the SAW propagation are eliminated. Bulk mode suppression at harmonic operation is also demonstrated.

  15. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  16. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  17. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    NASA Astrophysics Data System (ADS)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  18. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  19. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    NASA Astrophysics Data System (ADS)

    Ohta, Akio; Truyen, Nguyen Xuan; Fujimura, Nobuyuki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The energy distribution of the electronic state density of wet-cleaned epitaxial GaN surfaces and SiO2/GaN structures has been studied by total photoelectron yield spectroscopy (PYS). By X-ray photoelectron spectroscopy (XPS) analysis, the energy band diagram for a wet-cleaned epitaxial GaN surface such as the energy level of the valence band top and electron affinity has been determined to obtain a better understanding of the measured PYS signals. The electronic state density of GaN surface with different carrier concentrations in the energy region corresponding to the GaN bandgap has been evaluated. Also, the interface defect state density of SiO2/GaN structures was also estimated by not only PYS analysis but also capacitance–voltage (C–V) characteristics. We have demonstrated that PYS analysis enables the evaluation of defect state density filled with electrons at the SiO2/GaN interface in the energy region corresponding to the GaN midgap, which is difficult to estimate by C–V measurement of MOS capacitors.

  20. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    NASA Astrophysics Data System (ADS)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  1. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  2. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  3. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  4. van der Waals epitaxy of Ge films on mica

    NASA Astrophysics Data System (ADS)

    Littlejohn, A. J.; Xiang, Y.; Rauch, E.; Lu, T.-M.; Wang, G.-C.

    2017-11-01

    To date, many materials have been successfully grown on substrates through van der Waals epitaxy without adhering to the constraint of lattice matching as is required for traditional chemical epitaxy. However, for elemental semiconductors such as Ge, this has been challenging and therefore it has not been achieved thus far. In this paper, we report the observation of Ge epitaxially grown on mica at a narrow substrate temperature range around 425 °C. Despite the large lattice mismatch (23%) and the lack of high in-plane symmetry in the mica surface, an epitaxial Ge film with [111] out-of-plane orientation is observed. Crystallinity and electrical properties degrade upon deviation from the ideal growth temperature, as shown by Raman spectroscopy, X-ray diffraction, and Hall effect measurements. X-ray pole figure analysis reveals that there exist multiple rotational domains in the epitaxial Ge film with dominant in-plane orientations between Ge [" separators="|1 ¯10 ] and mica[100] of (20 n )°, where n = 0, 1, 2, 3, 4, 5. A superlattice area mismatch model was used to account for the likelihood of the in-plane orientation formation and was found to be qualitatively consistent with the observed dominant orientations. Our observation of Ge epitaxy with one out-of-plane growth direction through van der Waals forces is a step toward the growth of single crystal Ge films without the constraint in the lattice and symmetry matches with the substrates.

  5. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  6. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  7. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE PAGES

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; ...

    2016-11-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  8. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  9. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  10. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  11. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  12. Measurement of second order susceptibilities of GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.

    2005-03-01

    Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.

  13. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  14. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  15. Growth study of self-assembled GaN nanocolumns on silica glass by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Liudi Mulyo, Andreas; Konno, Yuta; Nilsen, Julie S.; van Helvoort, Antonius T. J.; Fimland, Bjørn-Ove; Weman, Helge; Kishino, Katsumi

    2017-12-01

    We demonstrate GaN nanocolumn growth on fused silica glass by plasma-assisted molecular beam epitaxy. The effect of the substrate temperature, Ga flux and N2 flow rate on the structural and optical properties are studied. At optimum growth conditions, GaN nanocolumns are vertically aligned and well separated with an average diameter, height and density of 72 nm, 1.2 μm and 1.6 × 109 cm-2, respectively. The nanocolumns exhibit wurtzite crystal structure with no threading dislocations, stacking faults or twinning and grow in the [0 0 0 1] direction. At the interface adjacent to the glass, there is a few atom layers thick intermediate phase with ABC stacking order (zinc blende). Photoluminescence measurements evidence intense and narrow excitonic emissions, along with the absence of any defect-related zinc blende and yellow luminescence emission.

  16. Domain structure of epitaxial SrRu O3 thin films

    NASA Astrophysics Data System (ADS)

    Herranz, G.; Sánchez, F.; Fontcuberta, J.; García-Cuenca, M. V.; Ferrater, C.; Varela, M.; Angelova, T.; Cros, A.; Cantarero, A.

    2005-05-01

    Growth of multidomains in epitaxial thin-film oxides is known to have a detrimental effect on some functional properties, and, thus, efforts are done to suppress them. It is commonly accepted that optimal properties of the metallic and ferromagnetic SrRuO3 (SRO) epitaxies can only be obtained if vicinal SrTiO3 (001) (STO) substrates are used. It is believed that this results from the suppression of multidomain structure in the SRO film. Here we revise this important issue. Nanometric films of SRO have been grown on STO(001) vicinal substrates with miscut (θV) angles in the ˜0.04°-4° range. Extensive structural analysis by x-ray-reciprocal space maps and μ -Raman spectroscopy indicates that single-domain, orthorhombic, SRO films are already obtained on the almost singular (θV≈0.1°) substrate, and, thus, substrates with large miscut angles are not required to grow twin-free films. In spite of this, transport properties are found to be optimized for films grown on vicinal substrates (θV⩾2°) . We claim that this is the result of the change of the growth mode and the resulting film morphology rather than the change of the domain structure. These findings drive the attention to the relevance of the growth mechanism at the initial stages of film growth, and we discuss its implications in other areas of oxide epitaxies. Moreover, we show that in clamped epitaxies on cubic substrates, in spite of isotropic biaxial substrate-induced strains, films may have an in-plane orthorhombic symmetry which results from the internal degree of freedom defined by rotations of the oxygen octahedrons.

  17. van der Waals epitaxial ZnTe thin film on single-crystalline graphene

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Chen, Zhizhong; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Washington, Morris; Lu, Toh-Ming

    2018-01-01

    Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.

  18. Silicon-on-insulator with hybrid orientations for heterogeneous integration of GaN on Si (100) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin

    2018-05-01

    Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).

  19. Piezo-phototronic effect on electroluminescence properties of p-type GaN thin films.

    PubMed

    Hu, Youfan; Zhang, Yan; Lin, Long; Ding, Yong; Zhu, Guang; Wang, Zhong Lin

    2012-07-11

    We present that the electroluminescence (EL) properties of Mg-doped p-type GaN thin films can be tuned by the piezo-phototronic effect via adjusting the minority carrier injection efficiency at the metal-semiconductor (M-S) interface by strain induced polarization charges. The device is a metal-semiconductor-metal structure of indium tin oxide (ITO)-GaN-ITO. Under different straining conditions, the changing trend of the transport properties of GaN films can be divided into two types, corresponding to the different c-axis orientations of the films. An extreme value was observed for the integral EL intensity under certain applied strain due to the adjusted minority carrier injection efficiency by piezoelectric charges introduced at the M-S interface. The external quantum efficiency of the blue EL at 430 nm was changed by 5.84% under different straining conditions, which is 1 order of magnitude larger than the change of the green peak at 540 nm. The results indicate that the piezo-phototronic effect has a larger impact on the shallow acceptor states related EL process than on the one related to the deep acceptor states in p-type GaN films. This study has great significance on the practical applications of GaN in optoelectronic devices under a working environment where mechanical deformation is unavoidable such as for flexible/printable light emitting diodes.

  20. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    NASA Astrophysics Data System (ADS)

    Chen, Tai-Chou Papo

    The family of III-Nitrides (the binaries InN, GaN, AIN, and their alloys) is one of the most important classes of semiconductor materials. Of the three, Indium Nitride (InN) and Aluminum Nitride (AIN) have been investigated much less than Gallium Nitride (GaN). However, both of these materials are important for optoelectronic infrared and ultraviolet devices. In particular, since InN was found recently to be a narrow gap semiconductor (Eg=0.7eV), its development should extend the applications of nitride semiconductors to the spectral region appropriate to fiber optics communication and photovoltaic applications. Similarly, the development of AIN should lead to deep UV light emitting diodes (LEDs). The first part of this work addresses the evaluation of structural, optical and transport properties of InN films grown by two different deposition methods. In one method, active nitrogen was produced in the form of nitrogen radicals by a radio frequency (RF) plasma-assisted source. In an alternative method, active nitrogen was produced in the form of clusters containing approximately 2000 nitrogen molecules. These clusters were produced by adiabatic expansion from high stagnation pressure through a narrow nozzle into vacuum. The clusters were singly or doubly ionized with positive charge by electron impact and accelerated up to approximately 20 to 25 KV prior to their disintegration on the substrate. Due to the high local temperature produced during the impact of clusters with the substrate, this method is suitable for the deposition of InN at very low temperatures. The films are auto-doped n-type with carrier concentrations varying from 3 x 1018 to 1020 cm-3 and the electron effective mass of these films was determined to be 0.09m0. The majority of the AIN films was grown by the cluster beam epitaxy method and was doped n- and p- type by incorporating silicon (Si) and magnesium (Mg) during the film deposition. All films were grown under Al-rich conditions at relatively

  1. Nucleation mechanisms of epitaxial GaN nanowires: Origin of their self-induced formation and initial radius

    NASA Astrophysics Data System (ADS)

    Consonni, V.; Knelangen, M.; Geelhaar, L.; Trampert, A.; Riechert, H.

    2010-02-01

    The formation mechanisms of epitaxial GaN nanowires grown within a self-induced approach by molecular-beam epitaxy have been investigated at the onset of the nucleation process by combining in situ reflection high-energy electron-diffraction measurements and ex situ high-resolution transmission electron microscopy imaging. It is shown that the self-induced growth of GaN nanowires on the AlN buffer layer is initially governed by the nucleation of dislocation-free coherent islands. These coherent islands develop through a series of shape transitions from spherical caps through truncated to full pyramids in order to elastically relieve the lattice-mismatch-induced strain. A strong correlation between the subsequent process of plastic relaxation and the final shape transition from full pyramids toward the very first nanowires is found. The experimental critical radius at which the misfit dislocation nucleates is in very good agreement with the theoretical critical radius for the formation of the misfit dislocation in full pyramids, showing that the plastic relaxation process does take place within full pyramids: this critical size corresponds to the initial radius of the very first nanowires. We associate the plastic relaxation of the lattice-mismatch-induced strain occurring within full pyramids with a drastic change in their total free energy: this gives rise to a driving force for the shape transition toward the very first nanowires, which is mainly due to the anisotropy of surface energy.

  2. Anharmonic phonon decay in cubic GaN

    NASA Astrophysics Data System (ADS)

    Cuscó, R.; Domènech-Amador, N.; Novikov, S.; Foxon, C. T.; Artús, L.

    2015-08-01

    We present a Raman-scattering study of optical phonons in zinc-blende (cubic) GaN for temperatures ranging from 80 to 750 K. The experiments were performed on high-quality, cubic GaN films grown by molecular-beam epitaxy on GaAs (001) substrates. The observed temperature dependence of the optical phonon frequencies and linewidths is analyzed in the framework of anharmonic decay theory, and possible decay channels are discussed in the light of density-functional-theory calculations. The longitudinal-optical (LO) mode relaxation is found to occur via asymmetric decay into acoustic phonons, with an appreciable contribution of higher-order processes. The transverse-optical mode linewidth shows a weak temperature dependence and its frequency downshift is primarily determined by the lattice thermal expansion. The LO phonon lifetime is derived from the observed Raman linewidth and an excellent agreement with previous theoretical predictions is found.

  3. Magnetic properties of epitaxial hexagonal HoFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Xiao, Zhuyun; Xu, Xiaoshan; Wang, Wenbin; Keavney, David; Liu, Yaohua; Cheng, X. M.

    2014-03-01

    Multiferroic materials exhibit multiple ferroic orders simultaneously and thus have great potential applications in information technology, sensing and actuation. Epitaxial hexagonal HoFeO3 (h-HFO) films are very promising candidates as multiferroic materials with room temperature ferromagnetism, because magnetic Ho3+ ions are expected to have stronger exchange interactions with Fe3+ ions than the well-studied h-LuFeO3 films. We report study of magnetic properties of epitaxial h-HFO thin films deposited using laser molecular beam epitaxy on Yttria-stabilized zirconia (YSZ) substrates. X-ray diffraction measurements confirmed the epitaxial registry and six-fold symmetry of the film. Temperature dependence of magnetization of the film measured by a Quantum Design SQUID magnetometer shows dominating paramagnetic characteristic. Element specific x-ray magnetic circular dichroism measurements performed at beamline 4-ID-C of the Advanced Photon Source show a ferromagnetic ordering of Fe and an exchange coupling between Ho3+ and Fe3+ ions. Work at BMC is supported by NSF Career award (DMR 1053854). Work at ANL is supported by US-DOE, Office of Science, BES (No. DE-AC02-06CH11357).

  4. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  5. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  6. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  7. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  8. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  9. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  10. Investigation on the compensation effect of residual carbon impurities in low temperature grown Mg doped GaN films

    NASA Astrophysics Data System (ADS)

    Yang, J.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Liu, Z. S.; Le, L. C.; Li, X. J.; He, X. G.; Liu, J. P.; Zhang, S. M.; Wang, H.; Zhu, J. J.; Yang, H.

    2014-04-01

    The influence of unintentionally doped carbon impurities on electrical resistivity and yellow luminescence (YL) of low-temperature (LT) grown Mg doped GaN films is investigated. It is found that the resistivity of Mg doped GaN films are closely related to the residual carbon impurity concentration, which may be attributed to the compensation effect of carbon impurities. The carbon impurity may preferentially form deep donor complex CN-ON resulting from its relatively low formation energy. This complex is an effective compensate center for MgGa acceptors as well as inducing YL in photoluminescence spectra. Thus, the low resistivity LT grown p-type GaN films can be obtained only when the residual carbon impurity concentration is sufficiently low, which can explain why LT P-GaN films with lower resistivity were obtained more easily when relatively higher pressure, temperature, or NH3/TMGa flow rate ratio were used in the LT grown Mg doped GaN films reported in earlier reports.

  11. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  12. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  13. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration wasmore » 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.« less

  14. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  15. Photochemical Modification of Single Crystalline GaN Film Using n-Alkene with Different Carbon Chain Lengths as Biolinker.

    PubMed

    Wang, Chun; Zhuang, Hao; Huang, Nan; Heuser, Steffen; Schlemper, Christoph; Zhai, Zhaofeng; Liu, Baodan; Staedler, Thorsten; Jiang, Xin

    2016-06-14

    As a potential material for biosensing applications, gallium nitride (GaN) films have attracted remarkable attention. In order to construct GaN biosensors, a corresponding immobilization of biolinkers is of great importance in order to render a surface bioactive. In this work, two kinds of n-alkenes with different carbon chain lengths, namely allylamine protected with trifluoroacetamide (TFAAA) and 10-aminodec-1-ene protected with trifluoroacetamide (TFAAD), were used to photochemically functionalize single crystalline GaN films. The successful linkage of both TFAAA and TFAAD to the GaN films is confirmed by time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurement. With increased UV illumination time, the intensity of the secondary ions corresponding to the linker molecules initially increases and subsequently decreases in both cases. Based on the SIMS measurements, the maximum coverage of TFAAA is achieved after 14 h of UV illumination, while only 2 h is required in the case of TFAAD to reach the situation of a fully covered GaN surface. This finding leads to the conclusion that the reaction rate of TFAAD is significantly higher compared to TFAAA. Measurements by atomic force microscopy (AFM) indicate that the coverage of GaN films by a TFAAA layer leads to an increased surface roughness. The atomic terraces, which are clearly observable for the pristine GaN films, disappear once the surface is fully covered by a TFAAA layer. Such TFAAA layers will feature a homogeneous surface topography even for reaction times of 24 h. In contrast to this, TFAAD shows strong cross-polymerization on the surface, this is confirmed by optical microscopy. These results demonstrate that TFAAA is a more suitable candidate as biolinker in context of the GaN surfaces due to its improved controllability.

  16. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  17. Growth condition dependence of unintentional oxygen incorporation in epitaxial GaN

    PubMed Central

    Schubert, Felix; Wirth, Steffen; Zimmermann, Friederike; Heitmann, Johannes; Mikolajick, Thomas; Schmult, Stefan

    2016-01-01

    Abstract Growth conditions have a tremendous impact on the unintentional background impurity concentration in gallium nitride (GaN) synthesized by molecular beam epitaxy and its resulting chemical and physical properties. In particular for oxygen identified as the dominant background impurity we demonstrate that under optimized growth stoichiometry the growth temperature is the key parameter to control its incorporation and that an increase by 55 °C leads to an oxygen reduction by one order of magnitude. Quantitatively this reduction and the resulting optical and electrical properties are analyzed by secondary ion mass spectroscopy, photoluminescence, capacitance versus voltage measurements, low temperature magneto-transport and parasitic current paths in lateral transistor test structures based on two-dimensional electron gases. At a growth temperature of 665 °C the residual charge carrier concentration is decreased to below 1015 cm−3, resulting in insulating behavior and thus making the material suitable for beyond state-of-the-art device applications. PMID:27877874

  18. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  19. Structural anisotropic properties of a-plane GaN epilayers grown on r-plane sapphire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lotsari, A.; Kehagias, Th.; Katsikini, M.

    2014-06-07

    Heteroepitaxial non-polar III-Nitride layers may exhibit extensive anisotropy in the surface morphology and the epilayer microstructure along distinct in-plane directions. The structural anisotropy, evidenced by the “M”-shape dependence of the (112{sup ¯}0) x-ray rocking curve widths on the beam azimuth angle, was studied by combining transmission electron microscopy observations, Raman spectroscopy, high resolution x-ray diffraction, and atomic force microscopy in a-plane GaN epilayers grown on r-plane sapphire substrates by plasma-assisted molecular beam epitaxy (PAMBE). The structural anisotropic behavior was attributed quantitatively to the high dislocation densities, particularly the Frank-Shockley partial dislocations that delimit the I{sub 1} intrinsic basal stacking faults,more » and to the concomitant plastic strain relaxation. On the other hand, isotropic samples exhibited lower dislocation densities and a biaxial residual stress state. For PAMBE growth, the anisotropy was correlated to N-rich (or Ga-poor) conditions on the surface during growth, that result in formation of asymmetric a-plane GaN grains elongated along the c-axis. Such conditions enhance the anisotropy of gallium diffusion on the surface and reduce the GaN nucleation rate.« less

  20. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    NASA Astrophysics Data System (ADS)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  1. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN filmmore » in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.« less

  2. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  3. Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate

    PubMed Central

    Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan

    2014-01-01

    GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601

  4. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  5. Epitaxy of mercury-based high temperature superconducting films on oxide and metal substrates

    NASA Astrophysics Data System (ADS)

    Xie, Yi-Yuan

    High-Tc superconducting (HTS) cuprates are highly anisotropic thus epitaxy along certain crystalline directions is essential to realize high-current-carrying capability at temperatures above 77 K. Hg-based HTS (Hg-HTS) cuprates have the record-high Tc up to 135 K, therefore are of great interest for fundamental research and practical applications. However, growth Of epitaxial Hg-HTS films is extremely difficult in conventional thermal-reaction process since Hg is highly volatile. Motivated by this, we first developed a cation-exchange process for growing epitaxial Hg-HTS films, which involves two steps: selection of precursor matrices with predesigned structure and composition followed by cation-exchange processing. New materials are formed via "atomic surgery" on an existing structure rather than thermal reaction among amorphous oxides in conventional process, thus the structural features of the precursor are inherited by the new material. Using epitaxial Tl-based HTS films as precursor and annealing them in Hg-vapor, epitaxial Hg-HTS films with superior quality have been obtained. This success encouraged us to develop epitaxy on metal tapes for coated conductors and On large-area wafers for electronic devices. For coated conductors, we addressed three critical issues: epitaxy on metal substrates, enhancement of in-field Jcs and scale-up in thickness and length. First, using a fabrication scheme that combines two processes: cation-exchange and fast-temperature-ramping-annealing, epitaxial HgBa2CaCu2O6+delta films were grown on rolling-assisted-biaxially-textured Ni substrates buffered with CeO 2/YSZ/CeO2 for the first time. We fabricated HgBa2CaCu 2O6+delta coated conductors with Tc = 122--124 K and self-field Jc > 1 x 106A/cm2 at 92 K which are record-high for HTS coated conductors. Second, we demonstrated improved in-field J cs via overdoping HgBa2CaCu 2O6+delta films (by means Of charge "overdoped"), heavy-ion-irradiation and substrate engineering. Finally

  6. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  7. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  8. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhasker, H. P.; Dhar, S.; Thakur, Varun

    2014-02-21

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close tomore » a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls.« less

  9. Partially filled intermediate band of Cr-doped GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sonoda, S.

    2012-05-14

    We investigated the band structure of sputtered Cr-doped GaN (GaCrN) films using optical absorption, photoelectron yield spectroscopy, and charge transport measurements. It was found that an additional energy band is formed in the intrinsic band gap of GaN upon Cr doping, and that charge carriers in the material move in the inserted band. Prototype solar cells showed enhanced short circuit current and open circuit voltage in the n-GaN/GaCrN/p-GaN structure compared to the GaCrN/p-GaN structure, which validates the proposed concept of an intermediate-band solar cell.

  10. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  11. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  12. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  13. Process for forming epitaxial perovskite thin film layers using halide precursors

    DOEpatents

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  14. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    NASA Astrophysics Data System (ADS)

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W.; Lippert, Thomas; Traversa, Enrico; Kilner, John A.

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  15. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  16. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  17. Growth, Structural, Electronic, and Magnetic Characterization of GaN, CrN, Fe Islands on CrN, and Fe/CrN Bilayer Thin Films

    NASA Astrophysics Data System (ADS)

    Alam, Khan

    As a part of my Ph.D research, initially I was involved in construction and calibration of an ultra-high vacuum thin film facility, and later on I studied structural, electronic, and magnetic properties of GaN, CrN, Fe/CrN bilayers, and Fe islands on CrN thin films. All of these films were grown by molecular beam epitaxy and characterized with a variety of state-of-the-art techniques including variable temperature reflection high energy electron diffraction, low temperature scanning tunneling microscopy and spectroscopy, variable temperature vibrating sample magnetometry, variable temperature neutron diffraction and reflectometry, variable temperature x-ray diffraction, x-ray reflectometry, Rutherford backscattering, Auger electron spectroscopy, and cross-sectional tunneling electron microscopy. The experimental results are furthermore understood by comparing with numerical calculations using generalized gradient approximation, local density approximation with Hubbard correction, Refl1D, and data analysis and visual environment program. In my first research project, I studied Ga gas adatoms on GaN surfaces. We discovered frozen-out gallium gas adatoms on atomically smooth c(6x12) GaN(0001¯) surface using low temperature scanning tunneling microscopy. We identified adsorption sites of the Ga adatoms on c(6x12) reconstructed surface. Their bonding is determined by measuring low unoccupied molecular orbital level. Absorption sites of the Ga gas adatoms on centered 6x12 are identified, and their asymmetric absorption on the chiral domains is investigated. In second project, I investigated magneto-structural phase transition in chromium nitride (CrN) thin films. The CrN thin films are grown by molecular beam epitaxy. Structural and magnetic transition are studied using variable temperature reflection high energy electron diffraction and variable temperature neutron diffraction. We observed a structural phase transition at the surface at 277+/-2 K, and a sharp, first

  18. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  19. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  20. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  1. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  2. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp; Micro System Integration Center; Isobe, Shigehito

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  3. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  4. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  5. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  6. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  7. Influence of growth conditions and surface reaction byproducts on GaN grown via metal organic molecular beam epitaxy: Toward an understanding of surface reaction chemistry

    NASA Astrophysics Data System (ADS)

    Pritchett, David; Henderson, Walter; Burnham, Shawn D.; Doolittle, W. Alan

    2006-04-01

    The surface reaction byproducts during the growth of GaN films via metal organic molecular beam epitaxy (MOMBE) were investigated as a means to optimize material properties. Ethylene and ethane were identified as the dominant surface reaction hydrocarbon byproducts, averaging 27.63% and 7.15% of the total gas content present during growth. Intense ultraviolet (UV) photoexcitation during growth was found to significantly increase the abundance of ethylene and ethane while reducing the presence of H2 and N2. At 920°C, UV excitation was shown to enhance growth rate and crystalline quality while reducing carbon incorporation. Over a limited growth condition range, a 4.5×1019-3.4×1020 cm-3 variation in carbon incorporation was achieved at constant high vacuum. Coupled with growth rate gains, UV excitation yielded films with ˜58% less integrated carbon content. Structural material property variations are reported for various ammonia flows and growth temperatures. The results suggest that high carbon incorporation can be achieved and regulated during MOMBE growth and that in-situ optimization through hydrocarbon analysis may provide further enhancement in the allowable carbon concentration range.

  8. Ferroelectric properties of YMnO3 epitaxial films for ferroelectric-gate field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ito, Daisuke; Fujimura, Norifumi; Yoshimura, Takeshi; Ito, Taichiro

    2003-05-01

    Ferroelectric properties of YMnO3 epitaxial films were studied. The ferroelectric properties of epitaxially grown (0001) YMnO3 films on (111)Pt/(0001)sapphire (epi-YMO/Pt) with an excellent crystallinity were compared to (0001)-oriented poly crystalline films on (111)Pt/ZrO2/SiO2/Si. The epi-YMO/Pt had saturated polarization-electric-field (P-E) hysteresis loops, with a remanent polarization (Pr) of 1.7 μC/cm2 and a coercive field (Ec) of 80 kV/cm. The fatigue property showed no degradation up to 1010 measured cycles. These results suggested that the YMnO3 epitaxial films were suitable ferroelectric material for the ferroelectric-gate field-effect transistors. Consequently, epitaxially grown (0001)YMnO3 films on epitaxial Y2O3/Si (epi-YMO/Si) were fabricated. The epi-YMO/Si capacitor had almost equivalent crystallinity compared to epi-YMO/Pt. It was recognized that the epi-YMO/Si capacitor exhibited the ferroelectric type C-V hysteresis loop with the width of the memory window of 4.8 V, which was almost identical to the value of twice coercive voltage of the P-E hysteresis loops of the epi-YMO/Pt. A retention time exceeding 104 s was obtained in the epi-YMO/Si capacitor.

  9. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  10. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    NASA Astrophysics Data System (ADS)

    Yang, Y.-B.; Seewald, L.; Mohanty, Dibyajyoti; Wang, Y.; Zhang, L. H.; Kisslinger, K.; Xie, Weiyu; Shi, J.; Bhat, I.; Zhang, Shengbai; Lu, T.-M.; Wang, G.-C.

    2017-08-01

    Single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (∼21-55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [1 bar2 1 bar]CdTe//[ 1 bar100]CdS//[010]mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. The use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.

  11. Influence of hydrogen impurities on p-type resistivity in Mg-doped GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jing; Zhao, Degang, E-mail: dgzhao@red.semi.ac.cn; Jiang, Desheng

    2015-03-15

    The effects of hydrogen impurities on p-type resistivity in Mg-doped GaN films were investigated. It was found that hydrogen impurities may have the dual role of passivating Mg{sub Ga} acceptors and passivating donor defects. A decrease in p-type resistivity when O{sub 2} is introduced during the postannealing process is attributed to the fact that annealing in an O{sub 2}-containing environment can enhance the dissociation of Mg{sub Ga}-H complexes as well as the outdiffusion of H atoms from p-GaN films. However, low H concentrations are not necessarily beneficial in Mg-doped GaN films, as H atoms may also be bound at donormore » species and passivate them, leading to the positive effect of reduced compensation.« less

  12. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition.

    PubMed

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-12-21

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr(2+) act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth.

  13. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition

    PubMed Central

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-01-01

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr2+ act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth. PMID:26710210

  14. Optical and electro-optic anisotropy of epitaxial PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  15. Method of growing GaN films with a low density of structural defects using an interlayer

    DOEpatents

    Bourret-Courchesne, Edith D.

    2003-01-01

    A dramatic reduction of the dislocation density in GaN was obtained by insertion of a single thin interlayer grown at an intermediate temperature (IT-IL) after the growth of an initial grown at high temperature. A description of the growth process is presented with characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend near the interlayer and do not propagate into the top layer which grows at higher temperature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the epitaxial lateral overgrowth process, however a notable difference is the absence of coalescence boundaries.

  16. Metal-Insulator Transitions in Epitaxial LaVO(3) and LaTiO(3) Films

    DTIC Science & Technology

    2012-08-01

    epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When LaTiO3 films are compressively...secondarily to interface electronic reconstruction at the LaTiO3 /SrTiO3 interface. However, when LaVO3 films are compressively strained on SrTiO3...ABSTRACT We have demonstrated that epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When

  17. Graphene Substrate for van der Waals Epitaxy of Layer-Structured Bismuth Antimony Telluride Thermoelectric Film.

    PubMed

    Kim, Eun Sung; Hwang, Jae-Yeol; Lee, Kyu Hyoung; Ohta, Hiromichi; Lee, Young Hee; Kim, Sung Wng

    2017-02-01

    Graphene as a substrate for the van der Waals epitaxy of 2D layered materials is utilized for the epitaxial growth of a layer-structured thermoelectric film. Van der Waals epitaxial Bi 0.5 Sb 1.5 Te 3 film on graphene synthesized via a simple and scalable fabrication method exhibits good crystallinity and high thermoelectric transport properties comparable to single crystals. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Molecular beam epitaxially grown copper indium diselenide and copper gallium diselenide films

    NASA Astrophysics Data System (ADS)

    Yoon, Seokhyun

    2005-12-01

    To eliminate the influence of grain boundaries, CuInSe2 (CIS) and CuGaSe2 (CGS) films were grown on (100) GaAs wafers. The effects of Cu to III metal ratio and dosing with Na on the growth mode and defect properties were studied at two growth temperatures. The impact of post-annealing in Se on the defect structure of CGS film was also studied. Two-dimensional simulations were used to better understand the role of grain boundary on cell performance. For growth at 360°C, the In-rich CIS films were polycrystalline, whereas the Cu-rich CIS films were epitaxial exhibiting a Stranski-Krastanov (S-K) growth mode. It is proposed that a Cu-Se secondary phase enhances the mobility of adatoms, allowing epitaxial growth to a critical thickness, at which point segregation at the nucleation sites became faster the rate of growth. Island structures, embedded in a matrix region, were oriented along the [01-1] directed edges with surface undulations apparent on the matrix surface with dominant {112} crystal planes. At the higher growth temperature of 464°C, the CIS films grew epitaxially without the need of a Cu-Se phase. Both CIS films grown at low and high temperatures were nearly relaxed. The segregation of epitaxial Cu1.5Se was also observed in the Cu-rich, Na-dosed CIS film, which is attributed to a surfactant effect of Na. At a growth temperature of 438°C, CGS films showed a S-K growth mode and nearly pseudomorphic growth. Hemispherical islands with twins were observed in the Ga-rich CGS films and epitaxial Cu1.5Se phase were identified in the top region of the island structure. From the PL analysis of Cu-rich, Na-dosed CGS film after Se-annealing, a new defect level located 20 meV above the valence band edge was identified as NaGa acceptor state. Two-dimensional simulation of the impact of grain boundaries on device performance showed that the short circuit current decreases sharply along with the other device parameters below a critical grain size due to the complete

  19. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  20. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  1. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE PAGES

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti; ...

    2017-03-31

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  2. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  3. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    PubMed

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  4. Silicon Carbide Epitaxial Films Studied by Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Silicon carbide (SiC) holds great potential as an electronic material because of its wide band gap energy, high breakdown electric field, thermal stability, and resistance to radiation damage. Possible aerospace applications of high-temperature, high-power, or high-radiation SiC electronic devices include sensors, control electronics, and power electronics that can operate at temperatures up to 600 C and beyond. Commercially available SiC devices now include blue light-emitting diodes (LED's) and high-voltage diodes for operation up to 350 C, with other devices under development. At present, morphological defects in epitaxially grown SiC films limit their use in device applications. Research geared toward reducing the number of structural inhomogeneities can benefit from an understanding of the type and nature of problems that cause defects. The Atomic Force Microscope (AFM) has proven to be a useful tool in characterizing defects present on the surface of SiC epitaxial films. The in-house High-Temperature Integrated Electronics and Sensors (HTIES) Program at the NASA Lewis Research Center not only extended the dopant concentration range achievable in epitaxial SiC films, but it reduced the concentration of some types of defects. Advanced structural characterization using the AFM was warranted to identify the type and structure of the remaining film defects and morphological inhomogeneities. The AFM can give quantitative information on surface topography down to molecular scales. Acquired, in part, in support of the Advanced High Temperature Engine Materials Technology Program (HITEMP), the AFM had been used previously to detect partial fiber debonding in composite material cross sections. Atomic force microscopy examination of epitaxial SiC film surfaces revealed molecular-scale details of some unwanted surface features. Growth pits propagating from defects in the substrate, and hillocks due, presumably, to existing screw dislocations in the substrates, were

  5. Suppression of superconductivity in epitaxial MgB2 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Yue; Wang, Da; Zhang, Yan; Liu, Zheng-Hao; Feng, Qing-Rong; Gan, Zi-Zhao

    2013-07-01

    MgB2 ultrathin films have potential to make sensitive superconducting devices such as superconducting single-photon detectors working at relatively high temperatures. We have grown epitaxial MgB2 films in thicknesses ranging from about 40 nm to 6 nm by using the hybrid physical-chemical vapor deposition method and performed electrical transport measurements to study the thickness dependence of the superconducting critical temperature Tc. With reducing film thickness d, although a weak depression of the Tc has been observed, which could be attributed to an increase of disorder (interband impurity scattering) in the film, the Tc retains close to the bulk value of MgB2 (39 K), being about 35 K in the film of 6 nm thick. We show that this result, beneficial to the application of MgB2 ultrathin films and in accordance with recent theoretical calculations, is in contrast to previous findings in MgB2 films prepared by other methods such as co-evaporation and molecular-beam epitaxy, where a severe Tc suppression has been observed with Tc about one third of the bulk value in films of ˜5 nm thick. We discuss this apparent discrepancy in experiments and suggest that, towards the ultrathin limit, the different degrees of Tc suppression displayed in currently obtained MgB2 films by various techniques may arise from the different levels of disorder present in the film or different extents of proximity effect at the film surface or film-substrate interface.

  6. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  7. Tuning metal-insulator transitions in epitaxial V2O3 thin films

    NASA Astrophysics Data System (ADS)

    Thorsteinsson, Einar B.; Shayestehaminzadeh, Seyedmohammad; Arnalds, Unnar B.

    2018-04-01

    We present a study of the synthesis of epitaxial V2O3 films on c-plane Al2O3 substrates by reactive dc-magnetron sputtering. The results reveal a temperature window, at substantially lower values than previously reported, wherein epitaxial films can be obtained when deposited on [0001] oriented surfaces. The films display a metal-insulator transition with a change in the resistance of up to four orders of magnitude, strongly dependent on the O2 partial pressure during deposition. While the electronic properties of the films show sensitivity to the amount of O2 present during deposition of the films, their crystallographic structure and surface morphology of atomically flat terraced structures with up to micrometer dimensions are maintained. The transition temperature, as well as the scale of the metal-insulator transition, is correlated with the stoichiometry and local strain in the films controllable by the deposition parameters.

  8. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  9. Ultrathin IBAD MgO films for epitaxial growth on amorphous substrates and sub-50 nm membranes

    DOE PAGES

    Wang, Siming; Antonakos, C.; Bordel, C.; ...

    2016-11-07

    Here, a fabrication process has been developed for high energy ion beam assisted deposition (IBAD) biaxial texturing of ultrathin (~1 nm) MgO films, using a high ion-to-atom ratio and post-deposition annealing instead of a homoepitaxial MgO layer. These films serve as the seed layer for epitaxial growth of materials on amorphous substrates such as electron/X-ray transparent membranes or nanocalorimetry devices. Stress measurements and atomic force microscopy of the MgO films reveal decreased stress and surface roughness, while X-ray diffraction of epitaxial overlayers demonstrates the improved crystal quality of films grown epitaxially on IBAD MgO. The process simplifies the synthesis ofmore » IBAD MgO, fundamentally solves the “wrinkle” issue induced by the homoepitaxial layer on sub-50 nm membranes, and enables studies of epitaxial materials in electron/X-ray transmission and nanocalorimetry.« less

  10. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  11. Growth of high-quality AlN epitaxial film by optimizing the Si substrate surface

    NASA Astrophysics Data System (ADS)

    Huang, Liegen; Li, Yuan; Wang, Wenliang; Li, Xiaochan; zheng, Yulin; Wang, Haiyan; Zhang, Zichen; Li, Guoqiang

    2018-03-01

    High-quality AlN epitaxial films have been grown on Si substrates by optimizing the hydrofluoric acid (HF) solution for cleaning of Si substrates. Effect of the Si substrate surface on the surface morphology and structural property of AlN epitaxial films is investigated in detail. It is revealed that as the concentration of HF solution increases from 0 to 2.0%, the surface morphology and the crystalline quality are initially improved and then get worse, and show an optimized value at 1.5%. The as-grown ∼200 nm-thick AlN epitaxial films on Si substrates grown with HF solution of 1.5% reveal the root-mean-square (RMS) surface roughness of 0.49 nm and the full-width at half-maximum for AlN(0002) X-ray rocking curve of 0.35°, indicating the smooth surface morphology and the high crystalline quality. The corresponding mechanism is proposed to interpret the effect of Si substrate surface on surface morphology and structural property of AlN epitaxial films, and provides an effective approach for the perspective fabrication of AlN-based devices.

  12. Epitaxy of Polar Oxides and Semiconductors

    NASA Astrophysics Data System (ADS)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  13. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  14. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  15. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  16. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  17. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  18. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  19. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  20. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  1. Franz-Keldysh effect in epitaxial ZnO thin films

    NASA Astrophysics Data System (ADS)

    Bridoux, G.; Villafuerte, M.; Ferreyra, J. M.; Guimpel, J.; Nieva, G.; Figueroa, C. A.; Straube, B.; Heluani, S. P.

    2018-02-01

    Photoconductance spectroscopy has been studied in epitaxial ZnO thin films with different thicknesses that range between 136 and 21 nm. We report a systematic decrease in photoconductivity and a red shift in band edge photoconductance spectra when the thickness is reduced. For thinner films, it is found that the effective energy gap value diminishes. By time dependent photoconductivity measurements, we found an enhanced contribution of the slow relaxation times for thicker films. These effects are interpreted in terms of a band-bending contribution where the Franz-Keldysh effect and the polarization of ZnO play a major role in thinner films.

  2. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  3. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  4. Growth of high-Sn content (28%) GeSn alloy films by sputtering epitaxy

    NASA Astrophysics Data System (ADS)

    Zheng, Jun; Liu, Zhi; Zhang, Yongwang; Zuo, Yuhua; Li, Chuanbo; Xue, Chunlai; Cheng, Buwen; Wang, Qiming

    2018-06-01

    Crystalline GeSn thin films with Sn content up to 0.28 were deposited on Sn graded GeSn buffer on a Ge substrate at low temperatures by sputtering epitaxy. The structural properties of the high-Sn content GeSn alloy films were characterized by high resolution transmission electron microscopy and X-ray diffraction. The effect of annealing on the segregation of Sn in the high-Sn content GeSn film was investigated, and both the Ge0.72Sn0.28 and the Ge0.8Sn0.2 films were found to be stable after annealing at temperatures below 400 °C, which meets the needs of thermal budget for future photonic devices fabrication. The present results indicate that sputtering epitaxy is cost-effective method for growing high-Sn GeSn films.

  5. Structural Properties of Alternate Monatomic Layered [Fe/Co]n Epitaxial Films on MgO Substrate

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Saki, Yoshinobu; Kawasaki, Shohei; Doi, Masaaki; Sahashi, Masashi

    2008-06-01

    Body-centered-cubic (bcc) Fe50Co50 material is reported to show a high bulk spin scattering coefficient on current perpendicular to plane-giant magneto-resistance (CPP-GMR) system. But the origin of that phenomenon does not make sure yet. We prepared artificially alternate monatomic layered (AML) [Fe/Co] 41 MLs epitaxial films (Ts: 75, 250 °C) by monatomic deposition method and investigated the topology of AML [Fe/Co]n epitaxial films on MgO substrate with different orientation (001), (011) by the scanning tunnel microscopy (STM) and reflection high energy electron diffraction (RHEED), which we could confirm Frank-van der Merwe (FM) growth mode for AML [Fe/Co]n on MgO(001) and Volmer-Weber (VW) growth mode for that on Mg(011). The roughness of surface, Ra (0.20 nm) of AML [Fe/Co] 41 MLs epitaxial film grown at 75 °C on MgO(001) is smaller than that (0.46 nm) of AML [Fe/Co] grown at 250 °C on MgO(001), which has the large terraces of over 50 nm (Ra: 0.17 nm), even though there are some valleys between large terraces. Moreover we confirmed the structural properties of trilayered epitaxial films with AML [Fe/Co]n (Ra: 0.18 nm) and Fe50Co50 alloy epitaxial film on Au electrode by RHEED before confirming the characteristics of CPP-GMR devices.

  6. Stress-induced magnetization for epitaxial spinel ferrite films through interface engineering

    NASA Astrophysics Data System (ADS)

    Wakiya, Naoki; Shinozaki, Kazuo; Mizutani, Nobuyasu

    2004-08-01

    This study found "stress-induced magnetization" for epitaxial ferrite films with spinel structure. We grew (111)- and (001)-epitaxial Ni0.17Zn0.23Fe2.60O4(NZF) films on CeO2/Y0.15Zr0.85O1.93(YSZ )/Si(001) and oxide single-crystal substrates, respectively. There is a window of lattice mismatch (between 0 and 6.5%) to achieve bulk saturation magnetization (Ms). An NZF film grown on CeO2/YSZ //Si(001) showed tensile stress, but that stress was relaxed by introducing a ZnCo2O4(ZC ) buffer layer. NZF films grown on SrTiO3(ST )(001) and (La,Sr)(Al,Ta)O3(LSAT)(001) had compressive stress, which was enhanced by introducing a ZC buffer layer. In both cases, bulk Ms was achieved by introducing the ZC buffer layer. This similarity suggests that magnetization can be controlled by the stress.

  7. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  8. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  9. Barrier inhomogeneities limited current and 1/f noise transport in GaN based nanoscale Schottky barrier diodes

    PubMed Central

    Kumar, Ashutosh; Heilmann, M.; Latzel, Michael; Kapoor, Raman; Sharma, Intu; Göbelt, M.; Christiansen, Silke H.; Kumar, Vikram; Singh, Rajendra

    2016-01-01

    The electrical behaviour of Schottky barrier diodes realized on vertically standing individual GaN nanorods and array of nanorods is investigated. The Schottky diodes on individual nanorod show highest barrier height in comparison with large area diodes on nanorods array and epitaxial film which is in contrast with previously published work. The discrepancy between the electrical behaviour of nanoscale Schottky diodes and large area diodes is explained using cathodoluminescence measurements, surface potential analysis using Kelvin probe force microscopy and 1ow frequency noise measurements. The noise measurements on large area diodes on nanorods array and epitaxial film suggest the presence of barrier inhomogeneities at the metal/semiconductor interface which deviate the noise spectra from Lorentzian to 1/f type. These barrier inhomogeneities in large area diodes resulted in reduced barrier height whereas due to the limited role of barrier inhomogeneities in individual nanorod based Schottky diode, a higher barrier height is obtained. PMID:27282258

  10. Transmission electron microscopy study of microstructural properties and dislocation characterization in the GaN film grown on the cone-shaped patterned Al2O3 substrate.

    PubMed

    Park, Jung Sik; Yang, Jun-Mo; Park, Kyung Jin; Park, Yun Chang; Yoo, Jung Ho; Jeong, Chil Seong; Park, Jucheol; He, Yinsheng; Shin, Keesam

    2014-02-01

    Growing a GaN film on a patterned Al2O3 substrate is one of the methods of reducing threading dislocations (TDs), which can significantly deteriorate the performance of GaN-based LEDs. In this study, the microstructural details of the GaN film grown on a cone-shaped patterned Al2O3 substrate were investigated using high-resolution transmission electron microscopy and weak-beam dark-field techniques. Various defects such as misfit dislocations (MDs), recrystallized GaN (R-GaN) islands and nano-voids were observed on the patterned Al2O3 surfaces, i.e. the flat surface (FS), the inclined surface (IS) and the top surface (TS), respectively. Especially, the crystallographic orientation of R-GaN between the GaN film and the inclined Al2O3 substrate was identified as $[\\overline 1 2\\overline 1 0]_{{\\rm GaN}} \\hbox{//}[\\overline 1 101]_{{\\rm R - GaN} \\,{\\rm on}\\,{\\rm IS}} \\hbox{//}[\\overline 1 100]_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $, $(\\overline 1 012)_{{\\rm GaN}} \\hbox{//}(1\\overline 1 02)_{{\\rm R - Ga}\\,{\\rm Non}\\,{\\rm IS}} \\hbox{//}(\\overline {11} 26)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $. In addition, a rotation by 9° between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0002)_{{\\rm GaN}} $ and between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0006)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $ was found to reduce the lattice mismatch between the GaN film and the Al2O3 substrate. Many TDs in the GaN film were observed on the FS and TS of Al2O3. However, few TDs were observed on the IS. Most of the TDs generated from the FS of Al2O3 were bent to the inclined facet rather than propagating to the GaN surface, resulting in a reduction in the dislocation density. Most of the TDs generated from the TS of Al2O3 were characterized as edge dislocations.

  11. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  12. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  13. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  14. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Meng; Bhattacharya, Pallab; Guo, Wei; Banerjee, Animesh

    2010-03-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 °C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1×1018 cm-3. The corresponding doping efficiency and hole mobility are ˜4.9% and 3.7 cm2/V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λpeak=529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  15. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    NASA Astrophysics Data System (ADS)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  16. Polarity in GaN and ZnO: Theory, measurement, growth, and devices

    NASA Astrophysics Data System (ADS)

    Zúñiga-Pérez, Jesús; Consonni, Vincent; Lymperakis, Liverios; Kong, Xiang; Trampert, Achim; Fernández-Garrido, Sergio; Brandt, Oliver; Renevier, Hubert; Keller, Stacia; Hestroffer, Karine; Wagner, Markus R.; Reparaz, Juan Sebastián; Akyol, Fatih; Rajan, Siddharth; Rennesson, Stéphanie; Palacios, Tomás; Feuillet, Guy

    2016-12-01

    The polar nature of the wurtzite crystalline structure of GaN and ZnO results in the existence of a spontaneous electric polarization within these materials and their associated alloys (Ga,Al,In)N and (Zn,Mg,Cd)O. The polarity has also important consequences on the stability of the different crystallographic surfaces, and this becomes especially important when considering epitaxial growth. Furthermore, the internal polarization fields may adversely affect the properties of optoelectronic devices but is also used as a potential advantage for advanced electronic devices. In this article, polarity-related issues in GaN and ZnO are reviewed, going from theoretical considerations to electronic and optoelectronic devices, through thin film, and nanostructure growth. The necessary theoretical background is first introduced and the stability of the cation and anion polarity surfaces is discussed. For assessing the polarity, one has to make use of specific characterization methods, which are described in detail. Subsequently, the nucleation and growth mechanisms of thin films and nanostructures, including nanowires, are presented, reviewing the specific growth conditions that allow controlling the polarity of such objects. Eventually, the demonstrated and/or expected effects of polarity on the properties and performances of optoelectronic and electronic devices are reported. The present review is intended to yield an in-depth view of some of the hot topics related to polarity in GaN and ZnO, a fast growing subject over the last decade.

  17. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    PubMed

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  18. Molecular beam epitaxy growth of SmB6+/-δ thin films

    NASA Astrophysics Data System (ADS)

    Hoffman, Jason; Saleem, Muhammad; Day, James; Bonn, Doug; Hoffman, Jennifer

    SmB6 has emerged as a leading candidate in the search for exotic topological states generated by strong interactions. The synthesis of epitaxial SmB6 thin films presents new avenues to control surface termination, thickness, and strain in this system. In this work, we use molecular beam epitaxy (MBE) to deposit SmB6+/-δ films on insulating (001)-oriented MgO substrates. We use ex-situ x-ray diffraction and magnetotransport measurements to assess the properties of the samples and compare them to previously reported values for single crystals. We also discuss the prospects of using rare-earth substitution to control the correlation strength and alter the topology of the bulk and surface electronic states.

  19. Structural and magnetic properties of ultra-thin Fe films on metal-organic chemical vapour deposited GaN(0001)

    NASA Astrophysics Data System (ADS)

    Kim, Jun-Young; Ionescu, Adrian; Mansell, Rhodri; Farrer, Ian; Oehler, Fabrice; Kinane, Christy J.; Cooper, Joshaniel F. K.; Steinke, Nina-Juliane; Langridge, Sean; Stankiewicz, Romuald; Humphreys, Colin J.; Cowburn, Russell P.; Holmes, Stuart N.; Barnes, Crispin H. W.

    2017-01-01

    Structural and magnetic properties of 1-10 nm thick Fe films deposited on GaN(0001) were investigated. In-situ reflecting high energy electron diffraction images indicated a α-Fe(110)/GaN(0001) growth of the 3D Volmer-Weber type. The α-Fe(110) X-ray diffraction peak showed a 1° full-width at half-maximum, indicating ≈20 nm grain sizes. A significant reduction in Fe atomic moment from its bulk value was observed for films thinner than 4 nm. Both GaN/Fe interface roughness and Fe film coercivity increased with Fe thickness, indicating a possible deterioration of Fe crystalline quality. Magnetic anisotropy was mainly uniaxial for all films while hexagonal anisotropies appeared for thicknesses higher than 3.7 nm.

  20. Transport properties of epitaxial lift off films

    NASA Technical Reports Server (NTRS)

    Mena, R. A.; Schacham, S. E.; Young, P. G.; Haugland, E. J.; Alterovitz, S. A.

    1993-01-01

    Transport properties of epitaxially lifted-off (ELO) films were characterized using conductivity, Hall, and Shubnikov-de Haas measurements. A 10-15 percent increase in the 2D electron gas concentration was observed in these films as compared with adjacent conventional samples. We believe this result to be caused by a backgating effect produced by a charge build up at the interface of the ELO film and the quartz substrate. This increase results in a substantial decrease in the quantum lifetime in the ELO samples, by 17-30 percent, but without a degradation in carrier mobility. Under persistent photoconductivity, only one subband was populated in the conventional structure, while in the ELO films the population of the second subband was clearly visible. However, the increase of the second subband concentration with increasing excitation is substantially smaller than anticipated due to screening of the backgating effect.

  1. Chemically stabilized epitaxial wurtzite-BN thin film

    NASA Astrophysics Data System (ADS)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along <0001> direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  2. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  3. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  4. Epitaxial layers of 2122 BCSCO superconductor thin films having single crystalline structure

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal K. (Inventor); Solayappan, Narayanan (Inventor)

    1995-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  5. Method of fabricating low-dislocation-density epitaxially-grown films with textured surfaces

    DOEpatents

    Li, Qiming; Wang, George T

    2015-01-13

    A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.

  6. The effect of surfactants on epitaxial growth of gallium nitride from gas phase in the Ga-HCl-NH3-H2-Ar system

    NASA Astrophysics Data System (ADS)

    Zhilyaev, Yu. V.; Zelenin, V. V.; Orlova, T. A.; Panteleev, V. N.; Poletaev, N. K.; Rodin, S. N.; Snytkina, S. A.

    2015-05-01

    We have studied epitaxial layers of gallium nitride (GaN) in a template composition grown by surfactant-mediated hydride-chloride vapor phase epitaxy. The surfactant component was provided by 5 mass % additives of antimony and indium to the source of gallium. Comparative analysis of the obtained results shows evidence of the positive influence of surfactants on the morphology of epitaxial GaN layers.

  7. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE PAGES

    Perret, Edith; Xu, Dongwei; Highland, M. J.; ...

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  8. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growthmore » rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  9. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  10. Composition measurement of epitaxial Sc x Ga1-x N films

    NASA Astrophysics Data System (ADS)

    Tsui, H. C. L.; Goff, L. E.; Barradas, N. P.; Alves, E.; Pereira, S.; Palgrave, R. G.; Davies, R. J.; Beere, H. E.; Farrer, I.; Ritchie, D. A.; Moram, M. A.

    2016-06-01

    Four different methods for measuring the compositions of epitaxial Sc x Ga1-x N films were assessed and compared to determine which was the most reliable and accurate. The compositions of epitaxial Sc x Ga1-x N films with 0 ≤ x ≤ 0.26 were measured directly using Rutherford backscattering (RBS) and x-ray photoelectron spectroscopy (XPS), and indirectly using c lattice parameter measurements from x-ray diffraction and c/a ratio measurements from electron diffraction patterns. RBS measurements were taken as a standard reference. XPS was found to underestimate the Sc content, whereas c lattice parameter and c/a ratio were not reliable for composition determination due to the unknown degree of strain relaxation in the film. However, the Sc flux used during growth was found to relate linearly with x and could be used to estimate the Sc content.

  11. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  12. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  13. Thermal stability of MBE-grown epitaxial MoSe2 and WSe2 thin films

    NASA Astrophysics Data System (ADS)

    Chang, Young Jun; Choy, Byoung Ki; Phark, Soo-Hyon; Kim, Minu

    Layered transition metal dichalcogenides (TMDs) draw much attention, because of its unique optical properties and band structures depending on the layer thicknesses. However, MBE growth of epitaxial films demands information about thermal stability of stoichiometry and related electronic structure for high temperature range. We grow epitaxial MoSe2 and WSe2 ultrathin films by using molecular beam epitaxy (MBE). We characterize stoichiometry of films grown at various growth temperature by using various methods, XPS, EDX, and TOF-MEIS. We further test high temperature stability of electronic structure for those films by utilizing in-situ ellipsometry attached to UHV chamber. We discuss threshold temperatures up to 700~1000oC, at which electronic phases changes from semiconductor to metal due to selenium deficiency. This information can be useful for potential application of TMDs for fabrication of Van der Waals multilayers and related devices. This research was supported by Nano.Material Technology Development Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning. (2009-0082580), NRF-2014R1A1A1002868.

  14. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  15. Molecular Beam Epitaxial Growth of Iron Nitrides on Zinc-Blende Gallium Nitride(001)

    NASA Astrophysics Data System (ADS)

    Pak, Jeongihm; Lin, Wenzhi; Chinchore, Abhijit; Wang, Kangkang; Smith, Arthur R.

    2008-03-01

    Iron nitrides are attractive materials for their high magnetic moments, corrosion, and oxidation resistance. We present the successful epitaxial growth of iron nitride on zinc-blende gallium nitride (c-GaN) in order to develop a novel magnetic transition metal nitride/semiconductor system. First, GaN is grown on magnesium oxide (MgO) substrates having (001) orientation using rf N2-plasma molecular beam epitaxy. Then we grow FeN at substrate temperature of ˜ 210 ^oC up to a thickness of ˜ 10.5 nm. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the surface during growth. Initial results suggest that the epitaxial relationship is FeN[001] || GaN[001] and FeN[100] || GaN[100]. Work in progress is to investigate the surface using in-situ scanning tunneling microscopy (STM) to reveal the surface structure at atomic scale, as well as to explore more Fe-rich magnetic phases.

  16. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  17. Understanding luminescence properties of grain boundaries in GaN thin films and their atomistic origin

    NASA Astrophysics Data System (ADS)

    Yoo, Hyobin; Yoon, Sangmoon; Chung, Kunook; Kang, Seoung-Hun; Kwon, Young-Kyun; Yi, Gyu-Chul; Kim, Miyoung

    2018-03-01

    We report our findings on the optical properties of grain boundaries in GaN films grown on graphene layers and discuss their atomistic origin. We combine electron backscatter diffraction with cathodoluminescence to directly correlate the structural defects with their optical properties, enabling the high-precision local luminescence measurement of the grain boundaries in GaN films. To further understand the atomistic origin of the luminescence properties, we carefully probed atomic core structures of the grain boundaries by exploiting aberration-corrected scanning transmission electron microscopy. The atomic core structures of grain boundaries show different ordering behaviors compared with those observed previously in threading dislocations. Energetics of the grain boundary core structures and their correlation with electronic structures were studied by first principles calculation.

  18. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  19. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  20. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  1. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  2. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  3. Epitaxial Ni-Mn-Ga-Co thin films on PMN-PT substrates for multicaloric applications

    NASA Astrophysics Data System (ADS)

    Schleicher, B.; Niemann, R.; Diestel, A.; Hühne, R.; Schultz, L.; Fähler, S.

    2015-08-01

    Multicaloric stacks consisting of a magnetocaloric film on a piezoelectric substrate promise improved caloric properties as the transition temperature can be controlled by both magnetic and electric fields. We present epitaxially grown magnetocaloric Ni-Mn-Ga-Co thin films on ferroelectric Pb(Mg1/3Nb2/3)0.72Ti0.28O3 substrates. Structure and microstructure of two samples, being in the austenitic and martensitic state at room temperature, are investigated by X-ray diffraction in two- and four-circle geometry and by atomic force microscopy. In addition, high temperature magnetometry was performed on the latter sample. The combination of these methods allows separating the influence of epitaxial growth and martensitic transformation. A preferential alignment of twin boundaries is observed already in the as-deposited state, which indicates the presence of prestress, without applying an electric field to the substrate. A temperature-magnetic field phase diagram is presented, which demonstrates the inverse magnetocaloric effect of the epitaxial Ni-Mn-Ga-Co film.

  4. Deposition of Cubic AlN Films on MgO (100) Substrates by Laser Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mo, Z. K.; Yang, W. J.; Weng, Y.; Fu, Y. C.; He, H.; Shen, X. M.

    2017-12-01

    Cubic AlN (c-AlN) films were deposited on MgO (100) substrates by laser molecular beam epitaxy (LMBE) technique. The crystal structure and surface morphology of deposited films with various laser pulse energy and substrate temperature were investigated. The results indicate that c-AlN films exhibit the (200) preferred orientation, showing a good epitaxial relationship with the substrate. The surface roughness of c-AlN films increases when the laser pulse energy and substrate temperature increase. The film grown at laser pulse energy of 150 mJ and substrate temperature of 700 °C shows the best crystalline quality and relatively smooth surface.

  5. Influence of metallic surface states on electron affinity of epitaxial AlN films

    NASA Astrophysics Data System (ADS)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha; Gupta, Govind

    2017-06-01

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6-1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2-3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  6. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  7. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    NASA Astrophysics Data System (ADS)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  8. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  9. Enhancement of lower critical field by reducing the thickness of epitaxial and polycrystalline MgB₂ thin films

    DOE PAGES

    Tan, Teng; Wolak, M. A.; Acharya, Narendra; ...

    2015-04-01

    For potential applications in superconducting RF cavities, we have investigated the properties of polycrystalline MgB₂ films, including the thickness dependence of the lower critical field Hc₁. MgB₂ thin films were fabricated by hybrid physical-chemical vapor deposition on (0001) SiC substrate either directly (for epitaxial films) or with a MgO buffer layer (for polycrystalline films). When the film thickness decreased from 300 nm to 100 nm, Hc₁ at 5 K increased from around 600 Oe to 1880 Oe in epitaxial films and to 1520 Oe in polycrystalline films. The result is promising for using MgB₂/MgO multilayers to enhance the vortex penetrationmore » field.« less

  10. Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part1 By A. Pavolotsky, and Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part2 By V. Desmaris

    NASA Astrophysics Data System (ADS)

    Desmaris, Vincent

    2018-01-01

    We present the advanced micro/nano technological engineering at the atomic level producing state-of-the-art epitaxial NbN thin-films on GaN buffer layers. Furthermore, we report the outstanding performance of the hot electron bolometers fabricated on epitaxial NbN thin films on GaN buffer layers. Finally we present advanced passive devices such as waveguide hybrids, IF hybrids and combiners for the realization of heterodyne THz receivers.

  11. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  12. Epitaxial Growth of Intermetallic MnPt Films on Oxides and Large Exchange Bias

    DOE PAGES

    Liu, Zhiqi; Biegalski, Michael D; Hsu, Mr. S. L.; ...

    2015-11-05

    We achieved a high-quality epitaxial growth of inter­metallic MnPt films on oxides, with potential for multiferroic heterostructure applications. Also, antisite-stabilized spin-flipping induces ferromagnetism in MnPt films, although it is robustly antiferromagnetic in bulk. Moreover, highly ordered antiferromagnetic MnPt films exhibit superiorly large exchange coupling with a ferromagnetic layer.

  13. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  14. Anomalous Hall effect in epitaxial permalloy thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Y. Q.; Sun, N. Y.; Shan, R.

    2013-10-28

    Anomalous Hall effect (AHE) of epitaxial permalloy thin films grown on MgO (001) substrates is investigated. The longitudinal conductivity independent term (i.e., the sum of intrinsic and side-jump contributions) of the anomalous Hall conductivity (AHC) is found to be much smaller than those of Fe and Ni films. Band theoretical calculations of the intrinsic AHC as a function of the number of valence electrons (band filling) indicate that the AHC of the permalloy is in the vicinity of sign change, thus resulting in the smallness of the intrinsic AHC. The contribution of the phonon scattering is found to be comparablemore » to that of the impurity scattering. This work suggests that the permalloy films are ideal systems to understand the AHE mechanisms induced by impurity scattering.« less

  15. Epitaxial Ni-Mn-Ga-Co thin films on PMN-PT substrates for multicaloric applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schleicher, B., E-mail: b.schleicher@ifw-dresden.de; Niemann, R.; Schultz, L.

    2015-08-07

    Multicaloric stacks consisting of a magnetocaloric film on a piezoelectric substrate promise improved caloric properties as the transition temperature can be controlled by both magnetic and electric fields. We present epitaxially grown magnetocaloric Ni-Mn-Ga-Co thin films on ferroelectric Pb(Mg{sub 1/3}Nb{sub 2/3}){sub 0.72}Ti{sub 0.28}O{sub 3} substrates. Structure and microstructure of two samples, being in the austenitic and martensitic state at room temperature, are investigated by X-ray diffraction in two- and four-circle geometry and by atomic force microscopy. In addition, high temperature magnetometry was performed on the latter sample. The combination of these methods allows separating the influence of epitaxial growth andmore » martensitic transformation. A preferential alignment of twin boundaries is observed already in the as-deposited state, which indicates the presence of prestress, without applying an electric field to the substrate. A temperature-magnetic field phase diagram is presented, which demonstrates the inverse magnetocaloric effect of the epitaxial Ni-Mn-Ga-Co film.« less

  16. Advanced in-situ control for III-nitride RF power device epitaxy

    NASA Astrophysics Data System (ADS)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  17. Pinhole-free growth of epitaxial CoSi.sub.2 film on Si(111)

    NASA Technical Reports Server (NTRS)

    Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor); Grunthaner, Paula J. (Inventor)

    1991-01-01

    Pinhole-free epitaxial CoSi.sub.2 films (14') are fabricated on (111)-oriented silicon substrates (10) with a modified solid phase epitaxy technique which utilizes (1) room temperature stoichiometric (1:2) codeposition of Co and Si followed by (2) room temperature deposition of an amorphous silicon capping layer (16), and (3) in situ annealing at a temperature ranging from about 500.degree. to 750.degree. C.

  18. Depositing spacing layers on magnetic film with liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sanfort, R. M.

    1975-01-01

    Liquid phase epitaxy spacing layer is compatible with systems which are hard-bubble proofed by use of second magnetic garnet film as capping layer. Composite is superior in that: circuit fabrication time is reduced; adherence is superior; visibility is better; and, good match of thermal expansion coefficients is provided.

  19. Epitaxial Electrodeposition of Methylammonium Lead Iodide Perovskites

    DOE PAGES

    Koza, Jakub A.; Hill, James C.; Demster, Ashley C.; ...

    2015-12-16

    Here, an electrochemical/chemical route is introduced to deposit both textured and epitaxial films of methylammonium lead iodide (MAPbI 3) perovskites. The perovskite films are produced by chemical conversion of lead dioxide films that have been electrodeposited as either textured or epitaxial films onto [111]-textured Au and [100] and [111] single-crystal Au substrates. The epitaxial relationships for the MAPbI 3 films are MAPbI 3(001)[010]∥PbO 2(100)<001> and MAPbI 3(110)[111]∥PbO 2(100)<001> regardless of the Au substrate orientation, because the in-plane order of the converted film is controlled by the epitaxial PbO 2 precursor film. The textured and epitaxial MAPbI 3 films both havemore » trap densities lower than and photoluminescence intensities higher than those of polycrystalline films produced by spin coating.« less

  20. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  1. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  2. Exciton emission of quasi-2D InGaN in GaN matrix grown by molecular beam epitaxy

    PubMed Central

    Ma, Dingyu; Rong, Xin; Zheng, Xiantong; Wang, Weiying; Wang, Ping; Schulz, Tobias; Albrecht, Martin; Metzner, Sebastian; Müller, Mathias; August, Olga; Bertram, Frank; Christen, Jürgen; Jin, Peng; Li, Mo; Zhang, Jian; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Ge, Weikun; Shen, Bo; Wang, Xinqiang

    2017-01-01

    We investigate the emission from confined excitons in the structure of a single-monolayer-thick quasi-two-dimensional (quasi-2D) InxGa1−xN layer inserted in GaN matrix. This quasi-2D InGaN layer was successfully achieved by molecular beam epitaxy (MBE), and an excellent in-plane uniformity in this layer was confirmed by cathodoluminescence mapping study. The carrier dynamics have also been investigated by time-resolved and excitation-power-dependent photoluminescence, proving that the recombination occurs via confined excitons within the ultrathin quasi-2D InGaN layer even at high temperature up to ~220 K due to the enhanced exciton binding energy. This work indicates that such structure affords an interesting opportunity for developing high-performance photonic devices. PMID:28417975

  3. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    PubMed

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  4. Substrate-dependent structural and CO sensing properties of LaCoO3 epitaxial films

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Sun, Hongjuan; Xie, Ruishi; Zhang, Xingquan; Zheng, Kui; Peng, Tongjiang; Wu, Xiaoyu; Zhang, Yanping

    2018-06-01

    LaCoO3 thin films were grown on different (0 0 1) oriented LaAlO3, SrTiO3 and (LaAlO3)0.3(Sr2AlTaO6)0.7 by the polymer assisted deposition method, respectively. All the LaCoO3 thin films are in epitaxial growth on these substrates, with tetragonal distortion of CoO6 octahedrons. Due to different in-plane lattice mismatch, the LaCoO3 film on LaAlO3 has the largest tetragonal distortion of CoO6 octahedrons while the film grown on (LaAlO3)0.3(Sr2AlTaO6)0.7 has the smallest tetragonal distortion. The relative contents of the surface absorbed oxygen species are found to increase for the LaCoO3 epitaxial films grown on (0 0 1) oriented (LaAlO3)0.3(Sr2AlTaO6)0.7, SrTiO3 and LaAlO3 substrates, sequentially. The film sensors exhibit good CO sensing properties at 150 °C, and the LaCoO3 film on LaAlO3 shows the highest response but the film on (LaAlO3)0.3(Sr2AlTaO6)0.7 shows the lowest. It reveals that the larger degree of Jahn-Teller-like tetragonal distortion of CoO6 octahedrons may greatly improve the surface absorbing and catalytic abilities, corresponding to more excellent CO sensing performance. The present study suggests that the formation of epitaxial films is an efficient methodology for controlling the octahedral distortion and thereby improving the gas sensing performance of perovskite transition metal oxides.

  5. Electrical and structural properties of (Pd/Au) Schottky contact to as grown and rapid thermally annealed GaN grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nirwal, Varun Singh, E-mail: varun.nirwal30@gmail.com; Singh, Joginder; Gautam, Khyati

    2016-05-06

    We studied effect of thermally annealed GaN surface on the electrical and structural properties of (Pd/Au) Schottky contact to Ga-polar GaN grown by molecular beam epitaxy on Si substrate. Current voltage (I-V) measurement was used to study electrical properties while X-ray diffraction (XRD) measurement was used to study structural properties. The Schottky barrier height calculated using I-V characteristics was 0.59 eV for (Pd/Au) Schottky contact on as grown GaN, which increased to 0.73 eV for the Schottky contact fabricated on 700 °C annealed GaN film. The reverse bias leakage current at -1 V was also significantly reduced from 6.42×10{sup −5} Amore » to 7.31×10{sup −7} A after annealing. The value of series resistance (Rs) was extracted from Cheung method and the value of R{sub s} decreased from 373 Ω to 172 Ω after annealing. XRD results revealed the formation of gallide phases at the interface of (Pd/Au) and GaN for annealed sample, which could be the reason for improvement in the electrical properties of Schottky contact after annealing.« less

  6. Study of Spin Splitting in GaN/AlGaN Quantum Wells

    DTIC Science & Technology

    2009-05-11

    plasma-assisted molecular - beam epitaxy ”, Jap. J. Appl. Phys. 47, 891 (2008), we have grown M-plane GaN films with self-assembled C-plane GaN nanopillars...on a γ-LiAlO2 substrate by plasma-assisted molecular - beam epitaxy . The diameters of the basal plane of the nanopillars are about 200 to 900 nm and...Line defects of M-plane GaN grown on γ-LiAlO2 by plasma-assisted molecular beam epitaxy ”, Appl. Phys. Lett. 92 pp.202106 (2008), we studied the

  7. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  8. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    NASA Astrophysics Data System (ADS)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  9. Growth temperature-dependent metal–insulator transition of vanadium dioxide epitaxial films on perovskite strontium titanate (111) single crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Liangxin; Zhao, Jiangtao; Hong, Bin

    2016-04-14

    Vanadium dioxide (VO{sub 2}) epitaxial films were grown on perovskite single-crystal strontium titanate (SrTiO{sub 3}) substrates by reactive radio-frequency magnetron sputtering. The growth temperature-dependent metal–insulator transition (MIT) behavior of the VO{sub 2} epitaxial films was then investigated. We found that the order of magnitude of resistance change across the MIT increased from 10{sup 2} to 10{sup 4} with increasing growth temperature. In contrast, the temperature of the MIT does not strongly depend on the growth temperature and is fairly stable at about 345 K. On one hand, the increasing magnitude of the MIT is attributed to the better crystallinity and thusmore » larger grain size in the (010)-VO{sub 2}/(111)-SrTiO{sub 3} epitaxial films at elevated temperature. On the other hand, the strain states do not change in the VO{sub 2} films deposited at various temperatures, resulting in stable V-V chains and V-O bonds in the VO{sub 2} epitaxial films. The accompanied orbital occupancy near the Fermi level is also constant and thus the MIT temperatures of VO{sub 2} films deposited at various temperatures are nearly the same. This work demonstrates that high-quality VO{sub 2} can be grown on perovskite substrates, showing potential for integration into oxide heterostructures and superlattices.« less

  10. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  11. Epitaxially grown BaM hexaferrite films having uniaxial axis in the film plane for self-biased devices

    PubMed Central

    Zhang, Xiaozhi; Meng, Siqin; Song, Dongsheng; Zhang, Yao; Yue, Zhenxing; Harris, Vincent G.

    2017-01-01

    Barium hexaferrite (BaM) films with in-plane c-axis orientation are promising and technically important materials for self-biased magnetic microwave devices. In this work, highly oriented BaM films with different thickness and an in-plane easy axis (c-axis) of magnetization were grown on a-plane single-crystal sapphire substrates by direct current magnetron sputtering. A procedure involving seed layers, layer-by-layer annealing was adopted to reduce the substrate-induced strains and allow for the growth of thick (~3.44 μm) films. The epitaxial growth of the BaM film on sapphire was revealed by high-resolution transmission electron microscopy with dislocations being observed at the film-substrate interface. The orientation was also verified by X-ray diffraction and more notably, polarized Raman scattering. The magnetic properties and ferromagnetic resonant frequencies were experimentally characterized by a vibrating sample magnetometry and a frequency-swept ferromagnetic resonant flip-chip technique, respectively. The micron-thick BaM films exhibited a large remanence ratio of 0.92 along in-plane easy axis and a small one of 0.09 for the in-plane hard axis loop measurement. The FMR frequency was 50.3 GHz at zero field and reached 57.9 GHz under a magnetic field of 3 kOe, indicating that the epitaxial BaM films with strong self-biased behaviors have good electromagnetic properties in millimeter-wave range. PMID:28276492

  12. Epitaxially grown BaM hexaferrite films having uniaxial axis in the film plane for self-biased devices.

    PubMed

    Zhang, Xiaozhi; Meng, Siqin; Song, Dongsheng; Zhang, Yao; Yue, Zhenxing; Harris, Vincent G

    2017-03-09

    Barium hexaferrite (BaM) films with in-plane c-axis orientation are promising and technically important materials for self-biased magnetic microwave devices. In this work, highly oriented BaM films with different thickness and an in-plane easy axis (c-axis) of magnetization were grown on a-plane single-crystal sapphire substrates by direct current magnetron sputtering. A procedure involving seed layers, layer-by-layer annealing was adopted to reduce the substrate-induced strains and allow for the growth of thick (~3.44 μm) films. The epitaxial growth of the BaM film on sapphire was revealed by high-resolution transmission electron microscopy with dislocations being observed at the film-substrate interface. The orientation was also verified by X-ray diffraction and more notably, polarized Raman scattering. The magnetic properties and ferromagnetic resonant frequencies were experimentally characterized by a vibrating sample magnetometry and a frequency-swept ferromagnetic resonant flip-chip technique, respectively. The micron-thick BaM films exhibited a large remanence ratio of 0.92 along in-plane easy axis and a small one of 0.09 for the in-plane hard axis loop measurement. The FMR frequency was 50.3 GHz at zero field and reached 57.9 GHz under a magnetic field of 3 kOe, indicating that the epitaxial BaM films with strong self-biased behaviors have good electromagnetic properties in millimeter-wave range.

  13. Combined strain and composition-induced effects in the metal-insulator transition of epitaxial VO2 films

    NASA Astrophysics Data System (ADS)

    Théry, V.; Boulle, A.; Crunteanu, A.; Orlianges, J. C.

    2017-12-01

    The role of epitaxial strain, thermal strain, and bulk (strain-free) lattice parameters in the metal-insulator transition (MIT) and the structural phase transition (SPT) of VO2 is investigated for the case of epitaxial films grown on (001)-oriented TiO2 substrates. Temperature-resolved X-ray reciprocal space mapping has been used to determine the absolute state of strain as well as the bulk lattice parameters of VO2 at 100 °C. For the thinnest film (15 nm), the state of strain is dominated by the film/substrate lattice mismatch yielding an in-plane tensile strain which, in turn, shifts both the MIT and the SPT towards lower temperatures. Conversely, for the thickest film (100 nm), the epitaxial strain is relaxed, so that the state of strain is dominated by the VO2/TiO2 thermal expansion mismatch which is responsible for a compressive in-plane strain. In all cases, a swelling of the strain-free VO2 unit-cell is observed which indicates the presence of interfacial oxygen vacancies and/or Ti diffusion into the VO2 films. The presence of oxygen vacancies stabilizes the metallic rutile phase and counterbalances the action of thermal strain on the MIT and the SPT and degrades the electric properties for the thinnest film. For the thickest film, the resistivity ratio is 6.4 × 104.

  14. Wafer-scale Thermodynamically Stable GaN Nanorods via Two-Step Self-Limiting Epitaxy for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Kum, Hyun; Seong, Han-Kyu; Lim, Wantae; Chun, Daemyung; Kim, Young-Il; Park, Youngsoo; Yoo, Geonwook

    2017-01-01

    We present a method of epitaxially growing thermodynamically stable gallium nitride (GaN) nanorods via metal-organic chemical vapor deposition (MOCVD) by invoking a two-step self-limited growth (TSSLG) mechanism. This allows for growth of nanorods with excellent geometrical uniformity with no visible extended defects over a 100 mm sapphire (Al2O3) wafer. An ex-situ study of the growth morphology as a function of growth time for the two self-limiting steps elucidate the growth dynamics, which show that formation of an Ehrlich-Schwoebel barrier and preferential growth in the c-plane direction governs the growth process. This process allows monolithic formation of dimensionally uniform nanowires on templates with varying filling matrix patterns for a variety of novel electronic and optoelectronic applications. A color tunable phosphor-free white light LED with a coaxial architecture is fabricated as a demonstration of the applicability of these nanorods grown by TSSLG.

  15. Negligible carrier freeze-out facilitated by impurity band conduction in highly p-type GaN

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan; Lowder, Jonathan; Moseley, Michael; Alan Doolittle, W.

    2012-08-01

    Highly p-type GaN films with hole concentrations exceeding 6 × 1019 cm-3 grown by metal-modulated epitaxy are electrically characterized. Temperature-dependent Hall effect measurements at cryogenic temperatures reveal minimal carrier freeze-out in highly doped samples, while less heavily doped samples exhibited high resistivity and donor-compensated conductivity as is traditionally observed. Effective activation energies as low as 43 meV were extracted, and a maximum Mg activation efficiency of 52% was found. In addition, the effective activation energy was found to be negatively correlated to the hole concentration. These results indicate the onset of the Mott-Insulator transition leading to impurity band conduction.

  16. Big-Data RHEED analysis for understanding epitaxial film growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in-situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED image, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the dataset are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of RHEED image sequence.more » This approach is illustrated for growth of LaxCa1-xMnO3 films grown on etched (001) SrTiO3 substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the assymetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.« less

  17. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  18. Molecular-beam heteroepitaxial growth and characterization of wide-band-gap semiconductor films and devices

    NASA Astrophysics Data System (ADS)

    Piquette, Eric Charles

    The thesis consists of two parts. Part I describes work on the molecular beam epitaxial (MBE) growth of GaN, AlN, and AlxGa 1-xN alloys, as well as efforts in the initial technical development and demonstration of nitride-based high power electronic devices. The major issues pertaining to MBE growth are discussed, including special requirements of the growth system, substrates, film nucleation, n - and p-type doping, and the dependence of film quality on growth parameters. The GaN films were characterized by a variety of methods, including high resolution x-ray diffraction, photoluminescence, and Hall effect measurement. It is found that the film polarity and extended defect density as well as quality of photoluminescence and electrical transport properties depend crucially on how the nitride layer is nucleated on the substrate and how the subsequent film surface morphology evolves, which can be controlled by the growth conditions. A technique is proposed and demonstrated that utilizes the control of morphology evolution to reduce defect density and improve the structural quality of MBE GaN films. In addition to growth, the design and processing of high voltage GaN Schottky diodes is presented, as well as an experimental study of sputter-deposited ohmic and rectifying metal contacts to GaN. Simple models for high power devices, based on materials properties such as minority carrier diffusion length and critical electric breakdown field, are used to estimate the voltage standoff capability, current carrying capacity, and maximum operating frequency of unipolar and bipolar GaN power devices. The materials and transport properties of GaN pertinent to high power device design were measured experimentally. High voltage Schottky rectifiers were fabricated which verify the impressive electric breakdown field of GaN (2--5 MV/cm). Electron beam induced current (EBIC) experiments were also conducted to measure the minority carrier diffusion length for both electrons and

  19. Diamagnetism to ferromagnetism in Sr-substituted epitaxial BaTiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Prater, John T.; Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695

    2016-04-04

    We report on the ferromagnetic-like behavior in otherwise diamagnetic BaTiO{sub 3} (BTO) thin films upon doping with non-magnetic element Sr having the composition Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST). The epitaxial integration of BST (∼800 nm) thick films on Si (100) substrate was achieved using MgO (40 nm) and TiN (20 nm) as buffer layers to prepare BST/MgO/TiN/Si (100) heterostructure by pulsed laser deposition. The c-axis oriented and cube-on-cube epitaxial BST is formed on Si (100) as evidenced by the in-plane and out-of-plane X-ray diffraction. All the deposited films are relaxed through domain matching epitaxy paradigm as observed from X-ray diffraction pattern and A{submore » 1}TO{sub 3} mode (at 521.27 cm{sup −1}) of Raman spectra. As-deposited BST thin films reveal ferromagnetic-like properties, which persist up to 400 K. The magnetization decreases two-fold upon oxygen annealing. In contrast, as-deposited un-doped BTO films show diamagnetism. Electron spin resonance measurements reveal no evidence of external magnetic impurities. XRD and X-ray photoelectron spectroscopy spectra show significant changes influenced by Sr doping in BTO. The ferromagnetic-like behavior in BST could be due to the trapped electron donors from oxygen vacancies resulting from Sr-doping.« less

  20. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  1. Increase in the diffusion length of minority carriers in Al{sub x}Ga{sub 1–x}N alloys ({sub x} = 0–0.1) fabricated by ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malin, T. V., E-mail: mal-tv@mail.ru; Gilinsky, A. M.; Mansurov, V. G.

    2015-10-15

    The room-temperature diffusion length of minority carriers in n-Al{sub 0.1}Ga{sub 0.9}N layers grown by ammonia molecular beam epitaxy on sapphire (0001) substrates used in structures for ultraviolet photodetectors is studied. Measurements were performed using the spectral dependence of the photocurrent recorded in a built-in p–n junction for thin samples and using the induced electron-current procedure for films up to 2 µm thick. The results show that the hole diffusion length in n-AlGaN films is 120–150 nm, which is larger than in GaN films grown under similar growth conditions by a factor of 3–4. This result can be associated with themore » larger lateral sizes characteristic of hexagonal columns in AlGaN layers grown by molecular beam epitaxy. No increase in the hole diffusion length is observed for thicker films.« less

  2. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  3. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Chun-Hao; Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan; Lin, Jheng-Cyuan

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar tomore » those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.« less

  4. Predicted lattice-misfit stresses in a gallium-nitride (GaN) film

    NASA Astrophysics Data System (ADS)

    Suhir, E.; Yi, S.

    2017-02-01

    Effective, easy-to-use and physically meaningful analytical predictive models are developed for the evaluation the lattice-misfit stresses (LMS) in a semiconductor film grown on a circular substrate (wafer). The two-dimensional (plane-stress) theory-of-elasticity approximation (TEA) is employed. First of all, the interfacial shearing stresses are evaluated. These stresses might lead to the occurrence and growth of dislocations, as well as to possible delaminations (adhesive strength of the assembly) and the elevated stress and strain in the buffering material, if any (cohesive strength of the assembly). Second of all, the normal radial and circumferential (tangential) stresses acting in the film cross-sections are determined. These stresses determine the short- and long-term strength (fracture toughness) of the film material. It is shown that while the normal stresses in the semiconductor film are independent of its thickness, the interfacial shearing stresses increase with an increase in the induced force (not stress!) acting in the film cross-sections, and that this force increases with an increase in the film thickness. This leads, for a thick enough film, to the occurrence, growth and propagation of dislocations. These start at the assembly ends and propagate, when the film thickness increases, inwards the structure. The TEA data are compared with the results obtained using a simplified strength-of-materials approach (SMA). This approach considers, instead of an actual circular assembly, an elongated bi-material rectangular strip of unit width and of finite length equal to the wafer diameter. The analysis, although applicable to any semiconductor crystal growth (SCG) technology is geared in this analysis to the Gallium-Nitride (GaN) technology. The numerical example is carried out for a GaN film grown on a Silicon Carbide (SiC) substrate. It is concluded that the SMA model is acceptable for understanding the physics of the state of stress and for the prediction

  5. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-raymore » diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.« less

  6. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  7. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    NASA Astrophysics Data System (ADS)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  8. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  9. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  10. Curvature and bow of bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foronda, Humberto M.; Young, Erin C.; Robertson, Christian A.

    2016-07-21

    We investigate the bow of free standing (0001) oriented hydride vapor phase epitaxy grown GaN substrates and demonstrate that their curvature is consistent with a compressive to tensile stress gradient (bottom to top) present in the substrates. The origin of the stress gradient and the curvature is attributed to the correlated inclination of edge threading dislocation (TD) lines away from the [0001] direction. A model is proposed and a relation is derived for bulk GaN substrate curvature dependence on the inclination angle and the density of TDs. The model is used to analyze the curvature for commercially available GaN substratesmore » as determined by high resolution x-ray diffraction. The results show a close correlation between the experimentally determined parameters and those predicted from theoretical model.« less

  11. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  12. Movement of basal plane dislocations in GaN during electron beam irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yakimov, E. B.; National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049; Vergeles, P. S.

    The movement of basal plane segments of dislocations in low-dislocation-density GaN films grown by epitaxial lateral overgrowth as a result of irradiation with the probing beam of a scanning electron microscope was detected by means of electron beam induced current. Only a small fraction of the basal plane dislocations was susceptible to such changes and the movement was limited to relatively short distances. The effect is explained by the radiation enhanced dislocation glide for dislocations pinned by two different types of pinning sites: a low-activation-energy site and a high-activation-energy site. Only dislocation segments pinned by the former sites can bemore » moved by irradiation and only until they meet the latter pinning sites.« less

  13. Strain-relaxation and critical thickness of epitaxial La 1.85Sr 0.15CuO 4 films

    DOE PAGES

    Meyer, Tricia L; Jiang, Lu; Park, Sungkyun; ...

    2015-12-08

    We report the thickness-dependent strain-relaxation behavior and the associated impacts upon the superconductivity in epitaxial La 1.85Sr 0.15CuO 4 films grown on different substrates, which provide a range of strain. We have found that the critical thickness for the onset of superconductivity in La 1.85Sr 0.15CuO 4 films is associated with the finite thickness effect and epitaxial strain. In particular, thin films with tensile strain greater than ~0.25% revealed no superconductivity. We attribute this phenomenon to the inherent formation of oxygen vacancies that can be minimized via strain relaxation.

  14. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  15. Superconductivity in epitaxial InN thin films with large critical fields

    NASA Astrophysics Data System (ADS)

    Pal, Buddhadeb; Joshi, Bhanu P.; Chakraborti, Himadri; Jain, Aditya K.; Barick, Barun K.; Ghosh, Kankat; Laha, Apurba; Dhar, Subhabrata; Gupta, Kantimay Das

    2018-04-01

    We report superconductivity in Chemical Vapor Deposition (CVD) and Plasma-Assisted Molecular Beam Epitaxy (PA-MBE) grown epitaxial InN films having carrier density ˜ 1019 - 1020cm-3. The superconducting phase transition starts at temperatures around Tc,onset˜3 K and the resistance goes to zero completely at Tc0 ˜ 1.6 K. The temperature dependence of the critical field HC2(T) does not obey a two fluid Casimir-Gorter (C-G) model rather it is well explained by the 2-D Tinkham model. The extrapolated value of the zero-temperature perpendicular critical field HC2(0) is found to be between 0.25 - 0.9 T, which is ten times greater than that of Indium metal. It may indicate the intrinsic nature of superconductivity in InN films. The angle dependence of critical field is well described by Lawrence-Doniach (L-D) model, which suggest the existence of quasi-2D superconducting layers.

  16. Epitaxial corundum-VTiO 3 thin films grown on c-cut sapphire

    DOE PAGES

    Kramer, Alan; Sutter, Eli; Su, Dong; ...

    2017-04-12

    Corundum structured VTiO 3 has been grown as epitaxial films on c-cut sapphire by laser molecular beam epitaxy. The properties of the film were characterized by reflection high energy electron diffraction, x-ray diffraction, transmission electron microscopy, and photoemission spectroscopy. All the structural probes clearly indicate the corundum structure of the film. X-ray photoemission spectroscopy (XPS) indicates that V is in a 3+ charge state implying that Ti also needs to adopt a 3+ charge state in order for the corundum structure to form. However, the Ti-2p XPS, while clearly broadened to the lower binding energy side compared to TiO 2,more » also exhibits a pronounced Ti 4+ component. This is tentatively assigned to a final state effect in XPS measurements and not as the true cation state. In conclusion, the valence band spectra show occupation of 3d metal states that resemble more closely those of Ti 2O 3 than for V 2O 3, suggesting that only the a1g molecular states are occupied.« less

  17. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  18. A modular designed ultra-high-vacuum spin-polarized scanning tunneling microscope with controllable magnetic fields for investigating epitaxial thin films.

    PubMed

    Wang, Kangkang; Lin, Wenzhi; Chinchore, Abhijit V; Liu, Yinghao; Smith, Arthur R

    2011-05-01

    A room-temperature ultra-high-vacuum scanning tunneling microscope for in situ scanning freshly grown epitaxial films has been developed. The core unit of the microscope, which consists of critical components including scanner and approach motors, is modular designed. This enables easy adaptation of the same microscope units to new growth systems with different sample-transfer geometries. Furthermore the core unit is designed to be fully compatible with cryogenic temperatures and high magnetic field operations. A double-stage spring suspension system with eddy current damping has been implemented to achieve ≤5 pm z stability in a noisy environment and in the presence of an interconnected growth chamber. Both tips and samples can be quickly exchanged in situ; also a tunable external magnetic field can be introduced using a transferable permanent magnet shuttle. This allows spin-polarized tunneling with magnetically coated tips. The performance of this microscope is demonstrated by atomic-resolution imaging of surface reconstructions on wide band-gap GaN surfaces and spin-resolved experiments on antiferromagnetic Mn(3)N(2)(010) surfaces.

  19. Electrochemical Atomic Layer Epitaxy of Thin Film CdSe

    NASA Astrophysics Data System (ADS)

    Pham, L.; Kaleida, K.; Happek, U.; Mathe, M. K.; Vaidyanathan, R.; Stickney, J. L.; Radevic, M.

    2002-10-01

    Electrochemical atomic layer epitaxy (EC-ALE) is a current developmental technique for the fabrication of compound semiconductor thin films. The deposition of elements making up the compound utilizes surface limited reactions where the potential is less than that required for bulk growth. This growth method offers mono-atomic layer control, allowing the deposition of superlattices with sharp interfaces. Here we report on the EC-ALE formation of CdSe thin films on Au and Cu substrates using an automated flow cell system. The band gap was measured using IR absorption and photoconductivity and found to be consistent with the literature value of 1.74 eV at 300K and 1.85 eV at 20K. The stoichiometry of the thin film was confirmed with electron microprobe analysis and x-ray diffraction.

  20. Tuning the magnetic properties of LaCoO3 thin films by epitaxial strain

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Arac, E.; Pinta, C.; Schuppler, S.; Schneider, R.; v. Löhneysen, H.

    2008-01-01

    Ferromagnetic order can be induced in LaCoO3 (LCO) thin films by epitaxial strain. Here, we show that the magnetic properties can be “tuned” by epitaxial strain imposed on LCO thin films by the epitaxial growth on various substrate materials, i.e., (001) oriented SrLaAlO4 , LaAlO3 , SrLaGaO4 , (LaAlO3)0.3(Sr2AlTaO6)0.7 , and SrTiO3 . The lattice mismatch at room temperature of the in-plane lattice parameters between the substrate, as , and bulk LCO, ab , ranges from -1.31% to +2.63% . Single-phase, ⟨001⟩ oriented LCO thin films were grown by pulsed laser deposition on all these substrates. Due to the difference of the thermal-expansion coefficients between LCO and the substrates, the films experience an additional tensile strain of about +0.3% during the cooling process after the deposition at Ts=650°C . The film lattice parameters display an elastic behavior, i.e., an increase of the in-plane film lattice parameter with increasing as . From the ratio between the out-of-plane and in-plane strain, we obtain a Poisson ratio of ν≈1/3 . All films show a ferromagnetic transition as determined from magnetization measurements. The magnetization increases strongly with increasing tensile strain, whereas the transition temperature TC after a rapid initial rise appears to saturate at TC≈85K above a=3.86Å . The effective magnetic moment μeff in the paramagnetic state increases almost linearly as a function of the mean lattice parameter ⟨a⟩ , indicating an enhanced population of higher spin states, i.e., intermediate- or high-spin states. The experimental results are discussed in terms of a decrease of the octahedral-site rotation with increasing tensile strain.

  1. InN island shape and its dependence on growth condition of molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cao, Y. G.; Xie, M. H.; Liu, Y.; Ng, Y. F.; Wu, H. S.; Tong, S. Y.

    2003-12-01

    During molecular-beam epitaxy of InN films on GaN(0001) surface, three-dimensional (3D) islands are observed following an initial wetting layer formation. Depending on deposition condition, the 3D islands take different shapes. Pyramidal islands form when excess nitrogen fluxes are used, whereas pillar-shaped islands are obtained when excess indium fluxes are employed. The pillar-shaped islands are identified to represent the equilibrium shape, whereas the pyramidal ones are limited by kinetics. As the size of islands increases, their aspect ratio shows a decreasing trend, which is attributed to a gradual relaxation of strain in the layer by defects.

  2. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  3. Melioration of Optical and Electrical Performance of Ga-N Codoped ZnO Thin Films

    NASA Astrophysics Data System (ADS)

    Narayanan, Nripasree; Deepak, N. K.

    2018-06-01

    Transparent and conducting p-type zinc oxide (ZnO) thin films doped with gallium (Ga) and nitrogen (N) simultaneously were deposited on glass substrates by spray pyrolysis technique. Phase composition analysis by X-ray diffraction confirmed the polycrystallinity of the films with pure ZnO phase. Energy dispersive X-ray analysis showed excellent incorporation of N in the ZnO matrix by means of codoping. The optical transmittance of N monodoped film was poor but got improved with Ga-N codoping and also resulted in the enhancement of optical energy gap. Hole concentration increased with codoping and consequently, lower resistivity and high stability were obtained.

  4. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  5. Strain-induced modification of magnetic structure and new magnetic phases in rare-earth epitaxial films

    NASA Astrophysics Data System (ADS)

    Dufour, C.; Dumesnil, K.; Mangin, Ph

    2006-07-01

    Rare earths exhibit complex magnetic phase diagrams resulting from the competition between various contributions to the magnetic energy: exchange, anisotropy and magnetostriction. The epitaxy of a rare-earth film on a substrate induces (i) a clamping to the substrate and (ii) pseudomorphic strains. Both these effects are shown to lead to modifications of the magnetic properties in (0 0 1)Dy, (0 0 1)Tb and (1 1 0)Eu films. In Dy and Tb films, spectacular variations of the Curie temperature have been evidenced. Additionally, Tb films exhibit a new large wavelength magnetic modulation. In Eu films, one of the helical magnetic domains disappears at low temperature whereas the propagation vectors of the other helices are tilted. The link between structural and magnetic properties is underlined via magnetoelastic models. Moreover, molecular beam epitaxy permits the growth of Sm in a metastable dhcp phase. The magnetic structure of dhcp Sm has been elucidated for the first time. In this review, neutron scattering is shown to be a powerful technique to reveal the magnetic structures of rare-earth films.

  6. Nitride Semiconductors for Ultraviolet Detection

    DTIC Science & Technology

    1992-12-01

    intrinsic n- and p-type doped GaN, (4) deposition of monocrystalline GaN via atomic layer epitaxy, (5) the initial conduct of studies regarding the ion...crystalline quality of the films; it indicated that all the films for x ranging from I to 0 to be monocrystalline . The Al/Ga composition ratios in the...shown in Figure 1. An analysis of these RHEED patterns indicated that both the AIN buffer layer and the GaN film are monocrystalline films. The RHEED

  7. Droplet heteroepitaxy of zinc-blende vs. wurtzite GaN quantum dots

    NASA Astrophysics Data System (ADS)

    Reese, C.; Jeon, S.; Hill, T.; Jones, C.; Shusterman, S.; Yacoby, Y.; Clarke, R.; Deng, H.; Goldman, Rs

    We have developed a GaN droplet heteroepitaxy process based upon plasma-assisted molecular-beam epitaxy. Using various surface treatments and Ga deposition parameters, we have demonstrated polycrystalline, zinc-blende (ZB), and wurtzite (WZ) GaN quantum dots (QDs) on Si(001), r-Al2O3, Si(111), and c-GaN substrates. For the polar substrates (i.e. Si(111) and c-GaN), high-resolution transmission electron microscopy and coherent Bragg rod analysis reveals the formation of coherent WZ GaN QDs with nitridation-temperature-dependent sizes and densities. For the non-polar substrates (i.e. Si(001) and r-Al2O3) , QDs with strong near-band photoluminescence emission are observed and ZB GaN QD growth on Si(001) is demonstrated for the first time.

  8. Interface and Electronic Characterization of Thin Epitaxial Co3O4 Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vaz, C.A.; Zhu, Y.; Wang, H.-Q.

    2009-01-15

    The interface and electronic structure of thin ({approx} 20-74 nm) Co{sub 3}O{sub 4}(1 1 0) epitaxial films grown by oxygen-assisted molecular beam epitaxy on MgAl{sub 2}O{sub 4}(1 1 0) single crystal substrates have been investigated by means of real and reciprocal space techniques. As-grown film surfaces are found to be relatively disordered and exhibit an oblique low energy electron diffraction (LEED) pattern associated with the O-rich CoO{sub 2} bulk termination of the (1 1 0) surface. Interface and bulk film structure are found to improve significantly with post-growth annealing at 820 K in air and display sharp rectangular LEED patterns,more » suggesting a surface stoichiometry of the alternative Co{sub 2}O{sub 2} bulk termination of the (1 1 0) surface. Non-contact atomic force microscopy demonstrates the presence of wide terraces separated by atomic steps in the annealed films that are not present in the as-grown structures; the step height of {approx}2.7 {angstrom} corresponds to two atomic layers and confirms a single termination for the annealed films, consistent with the LEED results. A model of the (1 x 1) surfaces that allows for compensation of the polar surfaces is presented.« less

  9. Deep traps in n-type GaN epilayers grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamyczek, P.; Placzek-Popko, E.; Zielony, E.

    2014-01-14

    In this study, we present the results of investigations on Schottky Au-GaN diodes by means of conventional DLTS and Laplace DLTS methods within the temperature range of 77 K–350 K. Undoped GaN layers were grown using the plasma-assisted molecular beam epitaxy technique on commercial GaN/sapphire templates. The quality of the epilayers was studied by micro-Raman spectroscopy (μ-RS) which proved the hexagonal phase and good crystallinity of GaN epilayers as well as a slight strain. The photoluminescence spectrum confirmed a high crystal quality by intense excitonic emission but it also exhibited a blue emission band of low intensity. DLTS signal spectra revealed themore » presence of four majority traps: two high-temperature and two low-temperature peaks. Using the Laplace DLTS method and Arrhenius plots, the apparent activation energy and capture cross sections were obtained. For two high-temperature majority traps, they were equal to E{sub 1} = 0.65 eV, σ{sub 1} = 8.2 × 10{sup −16} cm{sup 2} and E{sub 2} = 0.58 eV, σ{sub 2} = 2.6 × 10{sup −15} cm{sup 2} whereas for the two low-temperature majority traps they were equal to E{sub 3} = 0.18 eV, σ{sub 3} = 9.7 × 10{sup −18} cm{sup 2} and E{sub 4} = 0.13 eV, σ{sub 4} = 9.2 × 10{sup −18} cm{sup 2}. The possible origin of the traps is discussed and the results are compared with data reported elsewhere.« less

  10. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  11. Large lattice mismatch effects on the epitaxial growth and magnetic properties of FePt films

    NASA Astrophysics Data System (ADS)

    Deng, Jinyu; Dong, Kaifeng; Yang, Ping; Peng, Yingguo; Ju, Ganping; Hu, Jiangfeng; Chow, Gan Moog; Chen, Jingsheng

    2018-01-01

    Heteroepitaxial film growth is crucial for magnetic and electronic devices. In this work, we reported the effects of the large lattice mismatch and film thickness on the epitaxial growth and magnetic properties of FePt films on ZrxTi1-xN (0 0 1) intermediate layer. FePt films with different thickness were deposited on ZrTiN intermediate layers with various doping concentration of TiN in ZrN. The increase in doping concentration of TiN caused a decrease in the lattice parameters of ZrTiN intermediate layer. It was found that (0 0 1) epitaxy of FePt 10 nm films was only achieved on ZrTiN intermediate layer when the TiN composition was ≥25 vol%, while (0 0 1) texture of 5 nm films was achieved on ZrTiN intermediate layer with a minimum of 50 vol% TiN composition. The in-plane lattice constants of FePt and Zr0.70Ti0.30N (25 vol% TiN) were 3.870 Å and 4.476 Å, respectively, which resulted in a lattice mismatch as large as 15.7%. These large lattice mismatch heterostructures adopted 7/6 domain matching epitaxy. The magneto-crystalline anisotropy of FePt films was improved with the increase in lattice mismatch. Intrinsic magnetic properties were extrapolated for FePt (30 nm)/Zr0.70Ti0.30N (30 nm)/TaN (30 nm)/MgO, and the Ms(0 K) and K1(0 K) were 1042 emu/cc and 5.10 × 107 erg/cc, respectively, which is comparable to that of bulk L10 FePt.

  12. Highly crystalline MoS{sub 2} thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Serrao, Claudy R.; You, Long; Gadgil, Sushant

    2015-02-02

    Highly crystalline thin films of MoS{sub 2} were prepared over large area by pulsed laser deposition down to a single monolayer on Al{sub 2}O{sub 3} (0001), GaN (0001), and SiC-6H (0001) substrates. X-ray diffraction and selected area electron diffraction studies show that the films are quasi-epitaxial with good out-of-plane texture. In addition, the thin films were observed to be highly crystalline with rocking curve full width half maxima of 0.01°, smooth with a RMS roughness of 0.27 nm, and uniform in thickness based on Raman spectroscopy. From transport measurements, the as-grown films were found to be p-type.

  13. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    PubMed Central

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  14. Epitaxially influenced boundary layer model for size effect in thin metallic films

    NASA Astrophysics Data System (ADS)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  15. Highly resistive epitaxial Mg-doped GdN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, C.-M.; Warring, H.; Trodahl, H. J.

    2015-01-12

    We report the growth by molecular beam epitaxy of highly resistive GdN, using intentional doping with magnesium. Mg-doped GdN layers with resistivities of 10{sup 3} Ω cm and carrier concentrations of 10{sup 16 }cm{sup −3} are obtained for films with Mg concentrations up to 5 × 10{sup 19} atoms/cm{sup 3}. X-ray diffraction rocking curves indicate that Mg-doped GdN films have crystalline quality very similar to undoped GdN films, showing that the Mg doping did not affect the structural properties of the films. A decrease of the Curie temperature with decreasing the electron density is observed, supporting a recently suggested magnetic polaron scenario [F.more » Natali, B. J. Ruck, H. J. Trodahl, D. L. Binh, S. Vézian, B. Damilano, Y. Cordier, F. Semond, and C. Meyer, Phys. Rev. B 87, 035202 (2013)].« less

  16. Role of thermal processes in dewetting of epitaxial Ag(111) film on Si(111)

    DOE PAGES

    Sanders, Charlotte E.; Zhang, Chendong D.; Kellogg, Gary L.; ...

    2014-08-01

    Epitaxially grown silver (Ag) film on silicon (Si) is an optimal plasmonic device platform, but its technological utility has been limited by its tendency to dewet rapidly under ambient conditions (standard temperature and pressure). The mechanisms driving this dewetting have not heretofore been determined. In our study, scanning probe microscopy and low-energy electron microscopy are used to compare the morphological evolution of epitaxial Ag(111)/Si(111) under ambient conditions with that of similarly prepared films heated under ultra-high vacuum (UHV) conditions. Furthermore, dewetting is seen to be initiated with the formation of pinholes, which might function to relieve strain in the film.more » We find that in the UHV environment, dewetting is determined by thermal processes, and while under ambient conditions, thermal processes are not required. Finally, we conclude that dewetting in ambient conditions is triggered by some chemical process, most likely oxidation.« less

  17. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  18. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  19. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  20. Codoping characteristics of Zn with Mg in GaN

    NASA Astrophysics Data System (ADS)

    Kim, K. S.; Han, M. S.; Yang, G. M.; Youn, C. J.; Lee, H. J.; Cho, H. K.; Lee, J. Y.

    2000-08-01

    The doping characteristics of Mg-Zn codoped GaN films grown by metalorganic chemical vapor deposition are investigated. By means of the concept of Mg-Zn codoping technique, we have grown p-GaN showing a low electrical resistivity (0.72 Ω cm) and a high hole concentration (8.5×1017cm-3) without structural degradation of the film. It is thought that the codoping of Zn atoms with Mg raises the Mg activation ratio by reducing the hydrogen solubility in p-GaN. In addition, the measured specific contact resistance of Mg-Zn codoped GaN film is 5.0×10-4 Ω cm2, which is one order of magnitude lower than that of Mg doped only GaN film (1.9×10-3 Ω cm2).

  1. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  2. Magnetic x-ray dichroism in ultrathin epitaxial films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tobin, J.G.; Goodman, K.W.; Cummins, T.R.

    1997-04-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of highmore » resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction.« less

  3. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  4. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  5. Thickness driven spin reorientation transition of epitaxial LaCrO3 films

    NASA Astrophysics Data System (ADS)

    Park, Junho; Kim, Dong-Hwan; Lee, Doopyo; Ko, Kyung-Tae; Hyun Song, Jong; Kim, Jae-Young; Koo, Tae-Yeong; Lee, Seung Ran; Park, Jae-Hoon

    2018-03-01

    We grew fully strained epitaxial LaCrO3 (LCO) films on SrTiO3(001) under layer-by-layer control up to the film thickness of t = 130 nm using a pulsed laser deposition method. The spin axis of the antiferromagnetic LCO film was systematically examined as a function of t by using Cr L2,3-edge x-ray magnetic linear dichroism (XMLD). The XMLD results manifest a spin reorientation transition (SRT) across a transition thickness of tT ˜ 60 nm. This SRT is well explained in terms of two competing magnetic anisotropy energies of the surface/interface (KS) and the LCO film itself (KV).

  6. The anisotropic effective damping of thickness-dependent epitaxial Co2FeAl films studied by spin rectification

    NASA Astrophysics Data System (ADS)

    Chen, Zhendong; Kong, Wenwen; Mi, Kui; Chen, Guilin; Zhang, Peng; Fan, Xiaolong; Gao, Cunxu; Xue, Desheng

    2018-03-01

    Epitaxial Co2FeAl films with the thickness varying from 26.4 nm to 4.6 nm were grown on MgO(001) substrates by molecular beam epitaxy. Spin rectification was adopted to study the dynamic magnetic properties of the Co2FeAl films, considering the reported advantages of this technique with high thickness-independent sensitivity on samples. At a fixed microwave frequency, the in-plane angular dependent resonance fields and their linewidths exhibit a superposition of a uniaxial and a fourfold anisotropy for all samples. The results reveal an anisotropic damping behavior of the films. Along in-plane different azimuths of the films, frequency-dependent resonance-field linewidths were investigated. The anisotropic effective damping of the films with the thickness varying from 26.4 nm to 4.6 nm was then analyzed, which is contributed from the two-magnon scattering.

  7. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  8. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  9. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  10. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  11. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  12. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  13. Crystallographic orientation of epitaxial BaTiO3 films: The role of thermal-expansion mismatch with the substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Tarsa, E. J.; Clarke, D. R.; Speck, J. S.

    1995-02-01

    Expitaxial ferroelectric BaTiO3 thin films have been grown on (001) MgO and MgO-buffered (001) GaAs substrates by pulsed laser deposition to explore the effect of substrate lattice parameter. X-ray-diffraction studies showed that the BaTiO3 films on both MgO single-crystal substrates and MgO-buffered (001) GaAs substrates have a cube-on-cube epitaxy; however, for the BaTiO3 films grown on MgO the spacing of the planes parallel to the substrate was close to the c-axis dimension of the unconstrained tetragonal phase, whereas the BaTiO3 films on MgO/GaAs exhibited a spacing closer to the a-axis dimension of the unconstrained tetragonal phase. The cube-on-cube epitaxy was maintained through the heterostructures even when thin epitaxial intermediate buffer layers of SrTiO3 and La(0.5)Sr(0.5)CoO3 were used. The intermediate layers had no effect on the position of the BaTiO3 peak in theta - 2 theta scans. Together, these observations indicate that, for the materials combinations studied, it is the thermal-expansion mismatch between the film and the underlying substrate that determines the crystallographic orientation of the BaTiO3 film. Preliminary measurements indicate that the BaTiO3 films are 'weakly' ferroelectric.

  14. Epitaxial ferromagnetic oxide thin films on silicon with atomically sharp interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coux, P. de; CEMES-CNRS, 29 rue Jeanne Marvig, BP 94347, Toulouse Cedex 4; Bachelet, R.

    A bottleneck in the integration of functional oxides with silicon, either directly grown or using a buffer, is the usual formation of an amorphous interfacial layer. Here, we demonstrate that ferromagnetic CoFe{sub 2}O{sub 4} films can be grown epitaxially on Si(111) using a Y{sub 2}O{sub 3} buffer layer, and remarkably the Y{sub 2}O{sub 3}/Si(111) interface is stable and remains atomically sharp. CoFe{sub 2}O{sub 4} films present high crystal quality and high saturation magnetization.

  15. Insight into the epitaxial encapsulation of Pd catalysts in an oriented metalloporphyrin network thin film for tandem catalysis.

    PubMed

    Vohra, M Ismail; Li, De-Jing; Gu, Zhi-Gang; Zhang, Jian

    2017-06-14

    A palladium catalyst (Pd-Cs) encapsulated metalloporphyrin network PIZA-1 thin film with bifunctional properties has been developed through a modified epitaxial layer-by-layer encapsulation approach. Combining the oxidation activity of Pd-Cs and the acetalization activity of the Lewis acidic sites in the PIZA-1 thin film, this bifunctional catalyst of the Pd-Cs@PIZA-1 thin film exhibits a good catalytic activity in a one-pot tandem oxidation-acetalization reaction. Furthermore, the surface components can be controlled by ending the top layer with different precursors in the thin film preparation procedures. The catalytic performances of these thin films with different surface composites were studied under the same conditions, which showed different reaction conversions. The result revealed that the surface component can influence the catalytic performance of the thin films. This epitaxial encapsulation offers a good understanding of the tandem catalysis for thin film materials and provides useful guidance to develop new thin film materials with catalytic properties.

  16. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    NASA Astrophysics Data System (ADS)

    Enriquez, Erik; Zhang, Yingying; Chen, Aiping; Bi, Zhenxing; Wang, Yongqiang; Fu, Engang; Harrell, Zachary; Lü, Xujie; Dowden, Paul; Wang, Haiyan; Chen, Chonglin; Jia, Quanxi

    2016-08-01

    Epitaxial layered ternary metal-nitride FeMoN2, (Fe0.33Mo0.67)MoN2, CoMoN2, and FeWN2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1-1 mΩ.cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has been used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. The growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN2 materials through A and B-site substitution.

  17. Epitaxial VO2 thin-film-based radio-frequency switches with electrical activation

    NASA Astrophysics Data System (ADS)

    Lee, Jaeseong; Lee, Daesu; Cho, Sang June; Seo, Jung-Hun; Liu, Dong; Eom, Chang-Beom; Ma, Zhenqiang

    2017-09-01

    Vanadium dioxide (VO2) is a correlated material exhibiting a sharp insulator-to-metal phase transition (IMT) caused by temperature change and/or bias voltage. We report on the demonstration of electrically triggered radio-frequency (RF) switches based on epitaxial VO2 thin films. The highly epitaxial VO2 and SnO2 template layer was grown on a (001) TiO2 substrate by pulsed laser deposition (PLD). A resistance change of the VO2 thin films of four orders of magnitude was achieved with a relatively low threshold voltage, as low as 13 V, for an IMT phase transition. VO2 RF switches also showed high-frequency responses of insertion losses of -3 dB at the on-state and return losses of -4.3 dB at the off-state over 27 GHz. Furthermore, an intrinsic cutoff frequency of 17.4 THz was estimated for the RF switches. The study on electrical IMT dynamics revealed a phase transition time of 840 ns.

  18. Electrical properties of epitaxial yttrium iron garnet ultrathin films at high temperatures

    NASA Astrophysics Data System (ADS)

    Thiery, N.; Naletov, V. V.; Vila, L.; Marty, A.; Brenac, A.; Jacquot, J.-F.; de Loubens, G.; Viret, M.; Anane, A.; Cros, V.; Ben Youssef, J.; Beaulieu, N.; Demidov, V. E.; Divinskiy, B.; Demokritov, S. O.; Klein, O.

    2018-02-01

    We report a study on the electrical properties of 19-nm-thick yttrium iron garnet (YIG) films grown by liquid phase epitaxy on gadolinium gallium garnet single crystal. The electrical conductivity and Hall coefficient are measured in the high-temperature range [300,400] K using a Van der Pauw four-point probe technique. We find that the electrical resistivity decreases exponentially with increasing temperature following an activated behavior corresponding to a band gap of Eg≈2 eV. It drops to values about 5 ×103Ω cm at T =400 K, thus indicating that epitaxial YIG ultrathin films behave as large gap semiconductors. We also infer the Hall mobility, which is found to be positive (p type) at 5 cm2V-1sec-1 and almost independent of temperature. We discuss the consequence for nonlocal spin transport experiments performed on YIG at room temperature and demonstrate the existence of electrical offset voltages to be disentangled from pure spin effects.

  19. Mg incorporation in GaN grown by plasma-assisted molecular beam epitaxy at high temperatures

    NASA Astrophysics Data System (ADS)

    Yang, W. C.; Lee, P. Y.; Tseng, H. Y.; Lin, C. W.; Tseng, Y. T.; Cheng, K. Y.

    2016-04-01

    The influence of growth conditions on the incorporation and activation of Mg in GaN grown by plasma-assisted molecular beam epitaxy at high growth temperature (>700 °C) is presented. It is found that the highest Mg incorporation with optimized electrical properties is highly sensitive both to the Mg/Ga flux ratio and III/V flux ratio. A maximum Mg activation of ~5% can be achieved at a growth temperature of 750 °C. The lowest resistivity achieved is 0.56 Ω-cm which is associated with a high hole mobility of 6.42 cm2/V-s and a moderately high hole concentration of 1.7×1018 cm-3. Although the highest hole concentration achieved in a sample grown under a low III/V flux ratio and a high Mg/Ga flux ratio reaches 7.5×1018 cm-3, the mobility is suffered due to the formation of defects by the excess Mg. In addition, we show that modulated beam growth methods do not enhance Mg incorporation at high growth temperature in contrast to those grown at a low temperature of 500 °C (Appl. Phys. Lett. 93, 172112, Namkoong et al., 2008 [19]).

  20. Method for forming single phase, single crystalline 2122 BCSCO superconductor thin films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal (Inventor); Solayappan, Narayanan (Inventor)

    1994-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83 K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  1. Optical Behavior of III-TM-N Materials and Devices

    DTIC Science & Technology

    2008-09-26

    0296 University of Florida GaN films were doped with Eu to a concentration of ~0.12 at. % during growth at 800 °C by molecular beam epitaxy , with...MAGNETIC SEMICONDUCTOR GROWTH AND CHARACTERIZATION Growth of the films presented occurred in a Varian Gen II by gas-source molecular beam epitaxy ...versus temperature for films of either undoped AlN, single phase AlMnN, or Mn4N. AlCrN films were grown by Molecular Beam Epitaxy (MBE) on c-plane

  2. Polytype Stability and Microstructural Characterization of Silicon Carbide Epitaxial Films Grown on [ {11}overline{{2}} {0} ]- and [0001]-Oriented Silicon Carbide Substrates

    NASA Astrophysics Data System (ADS)

    Bishop, S. M.; Reynolds, C. L.; Liliental-Weber, Z.; Uprety, Y.; Zhu, J.; Wang, D.; Park, M.; Molstad, J. C.; Barnhardt, D. E.; Shrivastava, A.; Sudarshan, T. S.; Davis, R. F.

    2007-04-01

    The polytype and surface and defect microstructure of epitaxial layers grown on 4H( {11}overline{{2}} {0} ), 4H(0001) on-axis, 4H(0001) 8° off-axis, and 6H(0001) on-axis substrates have been investigated. High-resolution x-ray diffraction (XRD) revealed the epitaxial layers on 4H( {11}overline{{2}} {0} ) and 4H(0001) 8° off-axis to have the 4H-SiC (silicon carbide) polytype, while the 3C-SiC polytype was identified for epitaxial layers on 4H(0001) and 6H(0001) on-axis substrates. Cathodoluminescence (CL), Raman spectroscopy, and transmission electron microscopy (TEM) confirmed these results. The epitaxial surface of 4H( {11}overline{{2}} {0} ) films was specular with a roughness of 0.16-nm root-mean-square (RMS), in contrast to the surfaces of the other epitaxial layer-substrate orientations, which contained curvilinear boundaries, growth pits (˜3 × 104 cm-2), triangular defects >100 μm, and significant step bunching. Molten KOH etching revealed large defect densities within 4H( {11}overline{{2}} {0} ) films that decreased with film thickness to ˜106 cm-2 at 2.5 μm, while cross-sectional TEM studies showed areas free of defects and an indistinguishable film-substrate interface for 4H( {11}overline{{2}} {0} ) epitaxial layers.

  3. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  4. The epitaxial growth of wurtzite ZnO films on LiNbO 3 (0 0 0 1) substrates

    NASA Astrophysics Data System (ADS)

    Yin, J.; Liu, Z. G.; Liu, H.; Wang, X. S.; Zhu, T.; Liu, J. M.

    2000-12-01

    ZnO epitaxial films were deposited on LiNbO 3 (0 0 0 1) substrates by pulsed laser deposition. The smaller lattice misfit (-8.5%) between ZnO along <1 0 1¯ 0>- direction and LiNbO 3 (0 0 0 1) along <1 1 2¯ 0>- direction, as compared with that in the case of normally used sapphire (0 0 0 1) substrates, favored the epitaxial growth of ZnO films. The transmittance spectra of ZnO films deposited in vacuum after annealed in pure oxygen show a sharp absorption edge at 375.6 nm (E g=3.31 eV) .

  5. Grouped and Multistep Nanoheteroepitaxy: Toward High-Quality GaN on Quasi-Periodic Nano-Mask.

    PubMed

    Feng, Xiaohui; Yu, Tongjun; Wei, Yang; Ji, Cheng; Cheng, Yutian; Zong, Hua; Wang, Kun; Yang, Zhijian; Kang, Xiangning; Zhang, Guoyi; Fan, Shoushan

    2016-07-20

    A novel nanoheteroepitaxy method, namely, the grouped and multistep nanoheteroepitaxy (GM-NHE), is proposed to attain a high-quality gallium nitride (GaN) epilayer by metal-organic vapor phase epitaxy. This method combines the effects of sub-100 nm nucleation and multistep lateral growth by using a low-cost but unique carbon nanotube mask, which consists of nanoscale growth windows with a quasi-periodic 2D fill factor. It is found that GM-NHE can facilely reduce threading dislocation density (TDD) and modulate residual stress on foreign substrate without any regrowth. As a result, high-quality GaN epilayer is produced with homogeneously low TDD of 4.51 × 10(7) cm(-2) and 2D-modulated stress, and the performance of the subsequent 410 nm near-ultraviolet light-emitting diode is greatly boosted. In this way, with the facile fabrication of nanomask and the one-off epitaxy procedure, GaN epilayer is prominently improved with the assistance of nanotechnology, which demonstrates great application potential for high-efficiency TDD-sensitive optoelectronic and electronic devices.

  6. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  7. Angle Resolved Photoelectron and Auger Electron Diffraction as a Structural Probe for Surfaces, Interfaces, and Epitaxial Films.

    NASA Astrophysics Data System (ADS)

    Li, Hong

    The recently developed techniques of angle-resolved photoelectron and Auger electron diffraction (ARXPD/AED) have shown promise in identifying the structures of epitaxial films. This is due to the realization that electrons scattered by other atoms are enhanced along the forward direction. In this dissertation research, we have further investigated the capabilities of the ARXPD/AED technique. First, the complete polar angle distribution of the Auger electron intensity from Cu(001) was measured from the (100) to the (110) azimuth. The presentation of the ARAED in the form of a contour map clearly shows the relationship of the constructive and destructive interference of electron scattering to the crystallographic index of the crystal. Secondly, the angular distributions of electron emissions with initial states of 3p, 3d, 4d, and the Auger emission with electron kinetic energies ranging from 348 eV to 1477 eV were measured for single crystal Ag(001). The results show that all of these electron emissions have similar electron forward scattering enhancements along the directions of nearest and next nearest neighbour atoms in the crystal. The forward scattering enhancements do not shift as the electron kinectic energy changes. The ARXPD/AED combined with low energy electron diffraction (LEED) has been demonstrated to be a very powerful technique in probing both the long range order and the short range order of the epitaxial films. The epitaxial films studied include Co on Cu(001), Fe on Ag(001), Co on Ag(001), and Co on an ultra-thin film of Fe(001), which was epitaxially grown on Ag(001). We find that up to 20 ML thickness of high quality metastable fcc Co can be stabilized on Cu(001) at room temperature. We have directly verified that the Fe on Ag(001) is bcc. The Co on Ag(001) is neither bcc nor fcc for coverages of less than 3 ML. Thick films of Co on Ag(001) are disordered, of which a very small portion has a local structure of bcc. The bcc Co phases has been

  8. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects,more » like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.« less

  9. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  10. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  11. Strain stabilization and thickness dependence of magnetism in epitaxial transition metal monosilicide thin films on Si(111)

    NASA Astrophysics Data System (ADS)

    Geisler, Benjamin; Kratzer, Peter

    2013-09-01

    We present a comprehensive study of different 3d transition metal monosilicides in their ground state crystal structure (B20), ranging from equilibrium bulk over biaxially strained bulk to epitaxial thin films on Si(111), by means of density functional theory. The magnetic properties of MnSi and FeSi films are found to be considerably modified due to the epitaxial strain induced by the substrate. In MnSi bulk material, which can be seen as a limit of thick films, we find a strain-induced volume expansion, an increase of the magnetic moments, and a significant rise of the energy difference between different spin configurations. The latter can be associated with an increase of the Curie temperature, which is in accordance with recent experimental results. While a ferromagnetic spin alignment is found to be the ground state also for ultrathin films, we show that for films of intermediate thickness a partially compensating magnetic ordering is more favorable; however, the films retain a net magnetic moment. Furthermore, we analyze the orbital structure in FeSi around the band gap, which can be located somewhere in the density of states for all studied B20 transition metal monosilicides, and find that FeSi becomes metallic and ferromagnetic under epitaxial strain. Finally, the influence of on-site electronic correlation and the reliability of ab initio calculations for 3d transition metal monosilicides are discussed.

  12. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    NASA Astrophysics Data System (ADS)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  13. Amorphization and recrystallization of epitaxial ReSi2 films grown on Si(100)

    NASA Technical Reports Server (NTRS)

    Kim, Kun HO; Bai, G.; Nicolet, MARC-A.; Mahan, John E.; Geib, Kent M.

    1991-01-01

    The effects of implantation damage and the chemical species of the implant on structural and electrical properties of epitaxial ReSi2 films on Si(100) implanted with Si-28 or Ar-40 ions, at doses ranging from 10 to the 13th/sq cm to 10 to the 15th/sq cm, were investigated using the backscattering spectrometry, XRD, and the van der Pauw techniques. Results showed that ion implantation produces damage in the film, which increases monotonically with dose; the resistivity of the film decreases monotonically with dose.

  14. Pulsed laser deposition of epitaxial yttrium iron garnet films with low Gilbert damping and bulk-like magnetization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Onbasli, M. C., E-mail: onbasli@mit.edu; Kim, D. H.; Ross, C. A.

    2014-10-01

    Yttrium iron garnet (YIG, Y {sub 3}Fe{sub 5}O{sub 12}) films have been epitaxially grown on Gadolinium Gallium Garnet (GGG, Gd{sub 3}Ga{sub 5}O{sub 12}) substrates with (100) orientation using pulsed laser deposition. The films were single-phase, epitaxial with the GGG substrate, and the root-mean-square surface roughness varied between 0.14 nm and 0.2 nm. Films with thicknesses ranging from 17 to 200 nm exhibited low coercivity (<2 Oe), near-bulk room temperature saturation moments (∼135 emu cm{sup −3}), in-plane easy axis, and damping parameters as low as 2.2 × 10{sup −4}. These high quality YIG thin films are useful in the investigation ofmore » the origins of novel magnetic phenomena and magnetization dynamics.« less

  15. Tuning the Curie temperature of epitaxial Nd0.6Sr0.4MnO3 thin films

    NASA Astrophysics Data System (ADS)

    Bhat, Shwetha G.; Kumar, P. S. Anil

    2018-02-01

    NdxSr1-xMnO3 (0.2 ≤ x ≤ 0.5) systems are widely studied in magnetism, popular for high colossal magnetoresistance and are ferromagnetic oxides with TC ranging from 200 K to 300 K. Recently, many of such compounds are re-visited for exploring the correlation of spin, charge and lattice degrees of freedom. Although, manganite thin films are the ideal candidates for studying the electron-correlation effects, the puzzle of obtaining a high quality epitaxial thin films of NdxSr1-xMnO3 are still unsolved contrary to its sister compound LaxSr1-xMnO3. Hence, in this study, we demonstrate the growth of best quality of Nd0.6Sr0.4MnO3 (NSMO) epitaxial thin films. This is evident from the TC and a sharp insulator-to-metal transition (IMT) coinciding at as high as ∼255 K against the bulk TC (∼270 K). It is the highest reported TC in Nd0.6Sr0.4MnO3 thin films to date. Moreover, as-deposited films with in situ oxygen annealing are not enough to relax the lattice of NSMO films due to the significant Jahn-Teller distortion in the film. With ex situ annealing processes alongside the various deposition and in situ annealing conditions, we have extensively studied the growth of epitaxial NSMO thin films on LaAlO3 (0 0 1) and SrTiO3 (0 0 1) to investigate the evolution of lattice and its one-to-one correspondence with the magnetism and the electrical properties of thin films. Accordingly, the enhanced magnetization, reduced resistivity and the higher TC and IMT of the NSMO films obtained from our extensive growth analysis looks promising for the future applications across the TC and IMT.

  16. Bulk photovoltaic effect in epitaxial (K, Nb) substituted BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Agarwal, Radhe; Zheng, Fan; Sharma, Yogesh; Hong, Seungbum; Rappe, Andrew; Katiyar, Ram

    We studied the bulk photovoltaic effect in epitaxial (K, Nb) modified BiFeO3 (BKFNO) thin films using theoretical and experimental methods. Epitaxial BKFNO thin films were grown by pulsed laser deposition (PLD). First, we have performed first principles density function theory (DFT) using DFT +U method to calculate electronic band structure, including Hubbard-Ueff (Ueff =U-J) correction into Hamiltonian. The electronic band structure calculations showed a direct band gap at 1.9 eV and a defect level at 1.7 eV (in a 40 atom BKFNO supercell), sufficiently lower in comparison to the experimentally observed values. Furthermore, the piezoforce microscopy (PFM) measurements indicated the presence of striped polydomains in BKFNO thin films. Angle-resolved PFM measurements were also performed to find domain orientation and net polarization directions in these films. The experimental studies of photovoltaic effect in BKNFO films showed a short circuit current of 59 micro amp/cm2 and open circuit voltage of 0.78 V. We compared our experimental results with first principles shift current theory calculations of bulk photovoltaic effect (BPVE).The synergy between theory and experimental results provided a realization of significant role of BPVE in order to understand the photovoltaic mechanism in ferroelectrics.

  17. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  18. BiFeO3 epitaxial thin films and devices: past, present and future

    NASA Astrophysics Data System (ADS)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  19. Effects of Dopant Ionic Radius on Cerium Reduction in Epitaxial Cerium Oxide Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Nan; Orgiani, Pasquale; Di Bartolomeo, Elisabetta

    The role of trivalent rare-earth dopants in ceria epitaxial films on surface ion exchange reactivity and ion conductivity has been systematically studied. Single-crystal epitaxial films with unique crystal orientation and micro-structure nature have allowed us to rule out the influence of structural defects on both transport and surface ion exchange properties. The films conductivities were larger than those reported in literature for both polycrystalline ceramic pellets and crystalline films. An increase in oxygen vacancies and Ce 3+ concentration while decreasing the dopant ionic radius from La 3+ to Yb 3+ was observed, thus explaining the measured increased activation energy andmore » enhanced surface reactivity. The more significant ability of smaller dopant ionic radius in releasing the stress strength induced by the larger Ce 3+ ionic radius allows promoting the formation of oxygen vacancies and Ce 3+, which are two precious species in determining the efficiency of ion transport and surface ion exchange processes. This can open new perspectives in designing ceria-based materials in tailoring functional properties, either ion migration or surface reactivity, by rational cation substitutions.« less

  20. Effects of Dopant Ionic Radius on Cerium Reduction in Epitaxial Cerium Oxide Thin Films

    DOE PAGES

    Yang, Nan; Orgiani, Pasquale; Di Bartolomeo, Elisabetta; ...

    2017-04-17

    The role of trivalent rare-earth dopants in ceria epitaxial films on surface ion exchange reactivity and ion conductivity has been systematically studied. Single-crystal epitaxial films with unique crystal orientation and micro-structure nature have allowed us to rule out the influence of structural defects on both transport and surface ion exchange properties. The films conductivities were larger than those reported in literature for both polycrystalline ceramic pellets and crystalline films. An increase in oxygen vacancies and Ce 3+ concentration while decreasing the dopant ionic radius from La 3+ to Yb 3+ was observed, thus explaining the measured increased activation energy andmore » enhanced surface reactivity. The more significant ability of smaller dopant ionic radius in releasing the stress strength induced by the larger Ce 3+ ionic radius allows promoting the formation of oxygen vacancies and Ce 3+, which are two precious species in determining the efficiency of ion transport and surface ion exchange processes. This can open new perspectives in designing ceria-based materials in tailoring functional properties, either ion migration or surface reactivity, by rational cation substitutions.« less

  1. Epitaxial growth of CoO films on semiconductor and metal substrates by constructing a complex heterostructure

    NASA Astrophysics Data System (ADS)

    Entani, S.; Kiguchi, M.; Saiki, K.; Koma, A.

    2003-01-01

    Epitaxial growth of CoO films was studied using reflection high-energy electron diffraction (RHEED), electron energy loss spectroscopy (EELS), ultraviolet photoelectron spectroscopy (UPS) and Auger electron spectroscopy (AES). The RHEED results indicated that an epitaxial CoO film grew on semiconductor and metal substrates (CoO (0 0 1)∥GaAs (0 0 1), Cu (0 0 1), Ag (0 0 1) and [1 0 0]CoO∥[1 0 0] substrates) by constructing a complex heterostructure with two alkali halide buffer layers. The AES, EELS and UPS results showed that the grown CoO film had almost the same electronic structure as bulk CoO. We could show that use of alkali halide buffer layers was a good way to grow metal oxide films on semiconductor and metal substrates in an O 2 atmosphere. The alkali halide layers not only works as glue to connect very dissimilar materials but also prevents oxidation of metal and semiconductor substrates.

  2. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  3. Elimination of surface band bending on N-polar InN with thin GaN capping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuzmík, J., E-mail: Jan.Kuzmik@savba.sk; Haščík, Š.; Kučera, M.

    2015-11-09

    0.5–1 μm thick InN (0001) films grown by molecular-beam epitaxy with N- or In-polarity are investigated for the presence of native oxide, surface energy band bending, and effects introduced by 2 to 4 monolayers of GaN capping. Ex situ angle-resolved x-ray photo-electron spectroscopy is used to construct near-surface (GaN)/InN energy profiles, which is combined with deconvolution of In3d signal to trace the presence of InN native oxide for different types of polarity and capping. Downwards surface energy band bending was observed on bare samples with native oxide, regardless of the polarity. It was found that the In-polar InN surface is mostmore » readily oxidized, however, with only slightly less band bending if compared with the N-polar sample. On the other hand, InN surface oxidation was effectively mitigated by GaN capping. Still, as confirmed by ultra-violet photo-electron spectroscopy and by energy band diagram calculations, thin GaN cap layer may provide negative piezoelectric polarization charge at the GaN/InN hetero-interface of the N-polar sample, in addition to the passivation effect. These effects raised the band diagram up by about 0.65 eV, reaching a flat-band profile.« less

  4. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  5. Growth, structure, and magnetic properties of γ-Fe2O3 epitaxial films on MgO

    NASA Astrophysics Data System (ADS)

    Gao, Y.; Kim, Y. J.; Thevuthasan, S.; Chambers, S. A.; Lubitz, P.

    1997-04-01

    Single-crystal epitaxial thin films of γ-Fe2O3(001) have been grown on MgO(001) using oxygen-plasma-assisted molecular beam epitaxy. The structure and magnetic properties of these films have been characterized by a variety of techniques, including reflection high-energy electron diffraction (RHEED), low-energy electron diffraction (LEED), x-ray photoelectron spectroscopy and x-ray photoelectron/Auger electron diffraction (XPD/AED), vibrating sample magnetometry, and ferromagnetic resonance. Real-time RHEED reveals that the film growth occurs in a layer-by-layer fashion. The γ-Fe2O3(001) film surface exhibits a (1×1) LEED pattern. The growth of γ-Fe2Ooverflow="scroll">3 films at 450 °C is accompanied by significant Mg outdiffusion. AED of Mg KLL Auger emission reveals that Mg substitutionally incorporates in the γ-Fe2O3 lattice, occupying the octahedral sites. Magnetic moments are ˜2300 G and ˜4500 G for γ-Fe2O3 films grown at 250 °C and 450 °C, respectively. The high magnetic moment for the films grown at 450 °C could be attributed to the high degree of structural order of the films and Mg substitution at octahedral sites.

  6. Hydrothermal epitaxy and resultant properties of EuTiO3 films on SrTiO3(001) substrate

    PubMed Central

    2014-01-01

    We report a novel epitaxial growth of EuTiO3 films on SrTiO3(001) substrate by hydrothermal method. The morphological, structural, chemical, and magnetic properties of these epitaxial EuTiO3 films were examined by scanning electron microscopy, transmission electron microscopy, high-resolution X-ray diffractometry, X-ray photoelectron spectroscopy, and superconducting quantum interference device magnetometry, respectively. As-grown EuTiO3 films with a perovskite structure were found to show an out-of-plane lattice shrinkage and room-temperature ferromagnetism, possibly resulting from an existence of Eu3+. Postannealing at 1,000°C could reduce the amount of Eu3+, relax the out-of-plane lattice shrinkage, and impact the magnetic properties of the films. PACS 81.10.Aj; 81.15.-z; 61.05.-a PMID:24948889

  7. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  8. Nanometer-thick gold on silicon as a proxy for single-crystal gold for the electrodeposition of epitaxial cuprous oxide thin films

    DOE PAGES

    Switzer, Jay A.; Hill, James C.; Mahenderkar, Naveen K.; ...

    2016-05-27

    Here, single-crystal Au is an excellent substrate for electrochemical epitaxial growth due to its chemical inertness, but the high cost of bulk Au single crystals prohibits their use in practical applications. Here, we show that ultrathin epitaxial films of Au electrodeposited onto Si(111), Si(100), and Si(110) wafers can serve as an inexpensive proxy for bulk single-crystal Au for the deposition of epitaxial films of cuprous oxide (Cu 2O). The Au films range in thickness from 7.7 nm for a film deposited for 5 min to 28.3 nm for a film deposited for 30 min. The film thicknesses are measured bymore » low-angle X-ray reflectivity and X-ray Laue oscillations. High-resolution TEM shows that there is not an interfacial SiO x layer between the Si and Au. The Au films deposited on the Si(111) substrates are smoother and have lower mosaic spread than those deposited onto Si(100) and Si(110). The mosaic spread of the Au(111) layer on Si(111) is only 0.15° for a 28.3 nm thick film. Au films deposited onto degenerate Si(111) exhibit ohmic behavior, whereas Au films deposited onto n-type Si(111) with a resistivity of 1.15 Ω·cm are rectifying with a barrier height of 0.85 eV. The Au and the Cu 2O follow the out-of-plane and in-plane orientations of the Si substrates, as determined by X-ray pole figures. The Au and Cu 2O films deposited on Si(100) and Si(110) are both twinned. The films grown on Si(100) have twins with a [221] orientation, and the films grown on Si(110) have twins with a [411] orientation. An interface model is proposed for all Si orientations, in which the –24.9% mismatch for the Au/Si system is reduced to only +0.13% by a coincident site lattice in which 4 unit meshes of Au coincide with 3 unit meshes of Si. Although this study only considers the deposition of epitaxial Cu 2O films on electrodeposited Au/Si, the thin Au films should serve as high-quality substrates for the deposition of a wide variety of epitaxial materials.« less

  9. Thermal generation of spin current in epitaxial CoFe{sub 2}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Er-Jia, E-mail: ejguophysics@gmail.com, E-mail: klaeui@uni-mainz.de; Quantum Condensed Matter Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37830; Herklotz, Andreas

    2016-01-11

    The longitudinal spin Seebeck effect (LSSE) has been investigated in high-quality epitaxial CoFe{sub 2}O{sub 4} (CFO) thin films. The thermally excited spin currents in the CFO films are electrically detected in adjacent Pt layers due to the inverse spin Hall effect. The LSSE signal exhibits a linear increase with increasing temperature gradient, yielding a LSSE coefficient of ∼100 nV/K at room temperature. The temperature dependence of the LSSE is investigated from room temperature down to 30 K, showing a significant reduction at low temperatures, revealing that the total amount of thermally generated magnons decreases. Furthermore, we demonstrate that the spin Seebeck effectmore » is an effective tool to study the magnetic anisotropy induced by epitaxial strain, especially in ultrathin films with low magnetic moments.« less

  10. Topological insulator film growth by molecular beam epitaxy: A review

    DOE PAGES

    Ginley, Theresa P.; Wang, Yong; Law, Stephanie

    2016-11-23

    In this article, we will review recent progress in the growth of topological insulator (TI) thin films by molecular beam epitaxy (MBE). The materials we focus on are the V 2-VI 3 family of TIs. These materials are ideally bulk insulating with surface states housing Dirac excitations which are spin-momentum locked. These surface states are interesting for fundamental physics studies (such as the search for Majorana fermions) as well as applications in spintronics and other fields. However, the majority of TI films and bulk crystals exhibit significant bulk conductivity, which obscures these states. In addition, many TI films have amore » high defect density. This review will discuss progress in reducing the bulk conductivity while increasing the crystal quality. We will describe in detail how growth parameters, substrate choice, and growth technique influence the resulting TI film properties for binary and ternary TIs. We then give an overview of progress in the growth of TI heterostructures. Furthermore, we close by discussing the bright future for TI film growth by MBE.« less

  11. Investigation of layered structure SAW devices fabricated using low temperature grown AlN thin film on GaN/sapphire.

    PubMed

    Lin, Hui-Feng; Wu, Chun-Te; Chien, Wei-Cheng; Chen, Sheng-Wen; Kao, Hui-Ling; Chyi, Jen-Inn; Chen, Jyh-Shin

    2005-05-01

    Epitaxial AlN films have been grown on GaN/sapphire using helicon sputtering at 300 degrees C. The surface acoustic wave (SAW) filters fabricated on AlN/GaN/sapphire exhibit more superior characteristics than those made on GaN/sapphire. This composite structure of AlN on GaN may bring about the development of high-frequency components, which integrate and use their semiconducting, optoelectronic, and piezoelectric properties.

  12. Te Monolayer-Driven Spontaneous van der Waals Epitaxy of Two-dimensional Pnictogen Chalcogenide Film on Sapphire.

    PubMed

    Hwang, Jae-Yeol; Kim, Young-Min; Lee, Kyu Hyoung; Ohta, Hiromichi; Kim, Sung Wng

    2017-10-11

    Demands on high-quality layer structured two-dimensional (2D) thin films such as pnictogen chalcogenides and transition metal dichalcogenides are growing due to the findings of exotic physical properties and potentials for device applications. However, the difficulties in controlling epitaxial growth and the unclear understanding of van der Waals epitaxy (vdWE) for a 2D chalcogenide film on a three-dimensional (3D) substrate have been major obstacles for the further advances of 2D materials. Here, we exploit the spontaneous vdWE of a high-quality 2D chalcogenide (Bi 0.5 Sb 1.5 Te 3 ) film by the chalcogen-driven surface reconstruction of a conventional 3D sapphire substrate. It is verified that the in situ formation of a pseudomorphic Te atomic monolayer on the surface of sapphire, which results in a dangling bond-free surface, allows the spontaneous vdWE of 2D chalcogenide film. Since this route uses the natural surface reconstruction of sapphire with chalcogen under vacuum condition, it can be scalable and easily utilized for the developments of various 2D chalcogenide vdWE films through conventional thin-film fabrication technologies.

  13. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  14. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  15. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  16. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, P.; Hayes, R.E.

    1984-12-04

    Disclosed is a process for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve the first layer a sufficient amount to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  17. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, Peter; Hayes, Russell E.

    1986-01-01

    A process is disclosed for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve a sufficient amount of the first layer to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent to the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  18. Epitaxial growth and electrical transport properties of Cr{sub 2}GeC thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per; Thin Film Physics Division, Linkoeping University, IFM, 581 83 Linkoeping; Bugnet, Matthieu

    2011-08-15

    Cr{sub 2}GeC thin films were grown by magnetron sputtering from elemental targets. Phase-pure Cr{sub 2}GeC was grown directly onto Al{sub 2}O{sub 3}(0001) at temperatures of 700-800 deg. C. These films have an epitaxial component with the well-known epitaxial relationship Cr{sub 2}GeC(0001)//Al{sub 2}O{sub 3}(0001) and Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1100) or Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1210). There is also a large secondary grain population with (1013) orientation. Deposition onto Al{sub 2}O{sub 3}(0001) with a TiN(111) seed layer and onto MgO(111) yielded growth of globally epitaxial Cr{sub 2}GeC(0001) with a virtually negligible (1013) contribution. In contrast to the films deposited at 700-800 deg. C,more » the ones grown at 500-600 deg. C are polycrystalline Cr{sub 2}GeC with (1010)-dominated orientation; they also exhibit surface segregations of Ge as a consequence of fast Ge diffusion rates along the basal planes. The room-temperature resistivity of our samples is 53-66 {mu}{Omega}cm. Temperature-dependent resistivity measurements from 15-295 K show that electron-phonon coupling is important and likely anisotropic, which emphasizes that the electrical transport properties cannot be understood in terms of ground state electronic structure calculations only.« less

  19. The demonstration of significant ferroelectricity in epitaxial Y-doped HfO2 film

    PubMed Central

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori; Akama, Akihiro; Konno, Toyohiko J.; Sakata, Osami; Funakubo, Hiroshi

    2016-01-01

    Ferroelectricity and Curie temperature are demonstrated for epitaxial Y-doped HfO2 film grown on (110) yttrium oxide-stabilized zirconium oxide (YSZ) single crystal using Sn-doped In2O3 (ITO) as bottom electrodes. The XRD measurements for epitaxial film enabled us to investigate its detailed crystal structure including orientations of the film. The ferroelectricity was confirmed by electric displacement filed – electric filed hysteresis measurement, which revealed saturated polarization of 16 μC/cm2. Estimated spontaneous polarization based on the obtained saturation polarization and the crystal structure analysis was 45 μC/cm2. This value is the first experimental estimations of the spontaneous polarization and is in good agreement with the theoretical value from first principle calculation. Curie temperature was also estimated to be about 450 °C. This study strongly suggests that the HfO2-based materials are promising for various ferroelectric applications because of their comparable ferroelectric properties including polarization and Curie temperature to conventional ferroelectric materials together with the reported excellent scalability in thickness and compatibility with practical manufacturing processes. PMID:27608815

  20. Tunable Solid-State Quantum Memory Using Rare-Earth-Ion-Doped Crystal, Nd(3+):GaN

    DTIC Science & Technology

    2017-04-01

    by plasma-assisted molecular beam epitaxy in a modular Gen II reactor using liquid gallium, solid Nd, and a nitrogen plasma. The photoluminescence (PL...provide a tunable memory. To vary the applied field, we designed and grew a series of Nd-doped GaN p-i-n structures, strain- balanced superlattice...27 Fig. 23 Electric field vs. GaN well/ AlxGa(1-x)N barrier thickness for strain- balanced superlattice (SBSL) structures with

  1. Fabrication and characterization of Bismuth-Cerium composite iron garnet epitaxial films for magneto optical applications

    NASA Astrophysics Data System (ADS)

    Chandra Sekhar, M.; Singh, Mahi R.

    2012-10-01

    The BixCe3-xFe5O12 (x = 0.8) epitaxial films of high quality were grown by means of pulsed laser deposition on paramagnetic substrates of Gadolinium Gallium Garnet. We study the modifications of substitutions in the parent garnet Y3Fe5O12 that produces a higher magneto-optical response at communication wavelengths. These films displayed a strong in plane textures which are treated in argon as well as reduced atmosphere conditions. The elemental constituents of these films were confirmed by energy dispersive-X ray analysis, elastic recoil detection system, Rutherford backscattering spectroscopy, and X-ray photoelectron spectroscopy measurements. The transmittance spectra were measured and found these films exhibit good transmittance values. The transmittance-spectra were fitted with the theoretical model and the optical constants such as refractive index and absorption edge were evaluated. The highest (negative) Faraday rotation was found for these films treated in the environment of Ar + H2. A density matrix theory has been developed for the Faraday rotation and a good agreement between the theory and experiment is found. These epitaxial garnet films can be used in a wide range of frequencies from visible to infrared spectra making them ideal for many magneto optical applications. Therefore, these films may overcome many issues in fabricating all optical isolators which is the viable solution for integrated photonics.

  2. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enriquez, Erik M.; Zhang, Yingying; Chen, Aiping

    2016-08-26

    Epitaxial layered ternary metal-nitride FeMoN 2, (Fe 0.33 Mo 0.67)MoN 2, CoMoN 2, and FeWN 2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN 2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1–1 mΩ·cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has beenmore » used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. Furthermore, the growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN 2 materials through A and B-site substitution.« less

  3. Optical effects induced by epitaxial tension in lead titanate

    NASA Astrophysics Data System (ADS)

    Dejneka, A.; Chvostova, D.; Pacherova, O.; Kocourek, T.; Jelinek, M.; Tyunina, M.

    2018-01-01

    Single-crystal-type epitaxial films of perovskite oxide ferroelectrics are attractive for integrated photonic applications because of the remarkable optical properties and effects in ferroelectrics. The properties of the films may be influenced by epitaxial strain arising from the film-substrate mismatch. Here, dramatic strain-induced changes of the absorption and refraction are experimentally detected by spectroscopic ellipsometry in epitaxial films of archetypical ferroelectric PbTiO3. Comparison of the properties of a tensile-strained film with those of reference films and crystals reveals that epitaxial tension produces blueshifts of the primary above-bandgap absorption peaks by 1 eV and a decrease in the refractive index by 0.5 in the transparent spectral range. The obtained quadratic electrooptic and effective elastooptic coefficients exceed the bulk values by orders of magnitude. The experimental observations prove that epitaxy is a powerful tool for engineering unprecedented optical properties that may enable future photonics innovations.

  4. Single-crystalline BaTiO3 films grown by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Matsubara, Yuya; Takahashi, Kei S.; Tokura, Yoshinori; Kawasaki, Masashi

    2014-12-01

    Thin BaTiO3 films were grown on GdScO3 (110) substrates by metalorganic gas-source molecular beam epitaxy. Titanium tetra-isopropoxide (TTIP) was used as a volatile precursor that provides a wide growth window of the supplied TTIP/Ba ratio for automatic adjustment of the film composition. Within the growth window, compressively strained films can be grown with excellent crystalline quality, whereas films grown outside of the growth window are relaxed with inferior crystallinity. This growth method will provide a way to study the intrinsic properties of ferroelectric BaTiO3 films and their heterostructures by precise control of the stoichiometry, structure, and purity.

  5. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    PubMed

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  6. Water-Soluble Epitaxial NaCl Thin Film for Fabrication of Flexible Devices.

    PubMed

    Lee, Dong Kyu; Kim, Sungjoo; Oh, Sein; Choi, Jae-Young; Lee, Jong-Lam; Yu, Hak Ki

    2017-08-18

    We studied growth mechanisms of water-soluble NaCl thin films on single crystal substrates. Epitaxial growth of NaCl(100) on Si(100) and domain-matched growth of NaCl(111) on c-sapphire were obtained at thicknesses below 100 nm even at room temperature from low lattice mismatches in both cases. NaCl thin film, which demonstrates high solubility selectivity for water, was successfully applied as a water-soluble sacrificial layer for fabrication of several functional materials, such as WO 3 nano-helix and Sn doped In 2 O 3 nano-branches.

  7. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  8. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  9. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  10. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    PubMed

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  11. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  12. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    NASA Astrophysics Data System (ADS)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  13. Metal-insulator transition in CaVO3 thin films: Interplay between epitaxial strain, dimensional confinement, and surface effects

    NASA Astrophysics Data System (ADS)

    Beck, Sophie; Sclauzero, Gabriele; Chopra, Uday; Ederer, Claude

    2018-02-01

    We use density functional theory plus dynamical mean-field theory (DFT+DMFT) to study multiple control parameters for tuning the metal-insulator transition (MIT) in CaVO3 thin films. We focus on separating the effects resulting from substrate-induced epitaxial strain from those related to the reduced thickness of the film. We show that tensile epitaxial strain of around 3%-4% is sufficient to induce a transition to a paramagnetic Mott-insulating phase. This corresponds to the level of strain that could be achieved on a SrTiO3 substrate. Using free-standing slab models, we then demonstrate that reduced film thickness can also cause a MIT in CaVO3, however, only for thicknesses of less than 4 perovskite units. Our calculations indicate that the MIT in such ultrathin films results mainly from a surface-induced crystal-field splitting between the t2 g orbitals, favoring the formation of an orbitally polarized Mott insulator. This surface-induced crystal-field splitting is of the same type as the one resulting from tensile epitaxial strain, and thus the two effects can also cooperate. Furthermore, our calculations confirm an enhancement of correlation effects at the film surface, resulting in a reduced quasiparticle spectral weight in the outermost layer, whereas bulklike properties are recovered within only a few layers away from the surface.

  14. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.

    2015-10-21

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N{sub 2} while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N{sub 2} and 7.7 sccm Ar flows at 600 W radio frequency power, for which themore » standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10{sup 16} to 3.8 × 10{sup 19} cm{sup −3} were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10{sup 15} cm{sup −3}. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still

  15. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  16. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  17. Epitaxial growth of thermally stable cobalt films on Au(111)

    NASA Astrophysics Data System (ADS)

    Haag, N.; Laux, M.; Stöckl, J.; Kollamana, J.; Seidel, J.; Großmann, N.; Fetzer, R.; Kelly, L. L.; Wei, Z.; Stadtmüller, B.; Cinchetti, M.; Aeschlimann, M.

    2016-10-01

    Ferromagnetic thin films play a fundamental role in spintronic applications as a source for spin polarized carriers and in fundamental studies as ferromagnetic substrates. However, it is challenging to produce such metallic films with high structural quality and chemical purity on single crystalline substrates since the diffusion barrier across the metal-metal interface is usually smaller than the thermal activation energy necessary for smooth surface morphologies. Here, we introduce epitaxial thin Co films grown on an Au(111) single crystal surface as a thermally stable ferromagnetic thin film. Our structural investigations reveal an identical growth of thin Co/Au(111) films compared to Co bulk single crystals with large monoatomic Co terraces with an average width of 500 Å, formed after thermal annealing at 575 K. Combining our results from photoemission and Auger electron spectroscopy, we provide evidence that no significant diffusion of Au into the near surface region of the Co film takes place for this temperature and that no Au capping layer is formed on top of Co films. Furthermore, we show that the electronic valence band is dominated by a strong spectral contribution from a Co 3d band and a Co derived surface resonance in the minority band. Both states lead to an overall negative spin polarization at the Fermi energy.

  18. Chiral bobbers and skyrmions in epitaxial FeGe/Si(111) films

    NASA Astrophysics Data System (ADS)

    Ahmed, Adam S.; Rowland, James; Esser, Bryan D.; Dunsiger, Sarah R.; McComb, David W.; Randeria, Mohit; Kawakami, Roland K.

    2018-04-01

    We report experimental and theoretical evidence for the formation of chiral bobbers—an interfacial topological spin texture—in FeGe films grown by molecular beam epitaxy. After establishing the presence of skyrmions in FeGe/Si(111) thin-film samples through Lorentz transmission electron microscopy and the topological Hall effect, we perform magnetization measurements that reveal an inverse relationship between the film thickness and the slope of the susceptibility (d χ /d H ). We present evidence for the evolution as a function of film thickness L from a skyrmion phase for L LD/2 , where LD˜70 nm is the FeGe pitch length. We show using micromagnetic simulations that chiral bobbers, earlier predicted to be metastable, are in fact the stable ground state in the presence of an additional interfacial Rashba Dzyaloshinskii-Moriya interaction.

  19. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  20. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    NASA Astrophysics Data System (ADS)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  1. Growth process for gallium nitride porous nanorods

    DOEpatents

    Wildeson, Isaac Harshman; Sands, Timothy David

    2015-03-24

    A GaN nanorod and formation method. Formation includes providing a substrate having a GaN film, depositing SiN.sub.x on the GaN film, etching a growth opening through the SiN.sub.x and into the GaN film, growing a GaN nanorod through the growth opening, the nanorod having a nanopore running substantially through its centerline. Focused ion beam etching can be used. The growing can be done using organometallic vapor phase epitaxy. The nanopore diameter can be controlled using the growth opening diameter or the growing step duration. The GaN nanorods can be removed from the substrate. The SiN.sub.x layer can be removed after the growing step. A SiO.sub.x template can be formed on the GaN film and the GaN can be grown to cover the SiO.sub.x template before depositing SiN.sub.x on the GaN film. The SiO.sub.x template can be removed after growing the nanorods.

  2. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    NASA Astrophysics Data System (ADS)

    Abutaha, A. I.; Sarath Kumar, S. R.; Alshareef, H. N.

    2012-04-01

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 <100> substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies that influence the effective mass of carriers in SLTO films can be tuned by varying the laser energy. The highest power factor of 0.433 W K-1 m-1 has been achieved at 636 K for a film deposited using the highest laser fluence of 7 J cm-2 pulse-1.

  3. Fabrication of lateral lattice-polarity-inverted GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Katayama, Ryuji; Kuge, Yoshihiro; Kondo, Takashi; Onabe, Kentaro

    2007-04-01

    Fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0 0 0 1) using a radio-frequency plasma enhanced molecular beam epitaxy is demonstrated. Its microscopic properties, which are closely related to the local polarity distribution, such as surface potentials, piezoelectric polarizations and residual carrier concentrations were investigated by Kelvin force microscopy and micro-Raman scattering. The successful inversion from Ga-polarity to N-polarity of GaN in a specific domain and its higher crystal perfection had been confirmed clearly by these microscopic analyses. The results were also fairly consistent with that of KOH etching experiments, which suggest the applicability of these processes to the fabrication of photonic nanostructures composed of nitride semiconductors.

  4. Single-domain epitaxial silicene on diboride thin films

    DOE PAGES

    Fleurence, A.; Gill, T. G.; Friedlein, R.; ...

    2016-04-12

    Epitaxial silicene, which forms spontaneously on ZrB 2(0001) thin films grown on Si(111) wafers, has a periodic stripe domain structure. By adsorbing additional Si atoms on this surface, we find that the domain boundaries vanish, and a single-domain silicene sheet can be prepared without altering its buckled honeycomb structure. The amount of Si required to induce this change suggests that the domain boundaries are made of a local distortion of the silicene honeycomb lattice. LastlThe realization of a single domain sheet with structural and electronic properties close to those of the original striped state demonstrates the high structural flexibility ofmore » silicene.« less

  5. Single-domain epitaxial silicene on diboride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fleurence, A., E-mail: antoine@jaist.ac.jp; Friedlein, R.; Aoyagi, K.

    2016-04-11

    Epitaxial silicene, which forms spontaneously on ZrB{sub 2}(0001) thin films grown on Si(111) wafers, has a periodic stripe domain structure. By adsorbing additional Si atoms on this surface, we find that the domain boundaries vanish, and a single-domain silicene sheet can be prepared without altering its buckled honeycomb structure. The amount of Si required to induce this change suggests that the domain boundaries are made of a local distortion of the silicene honeycomb lattice. The realization of a single domain sheet with structural and electronic properties close to those of the original striped state demonstrates the high structural flexibility ofmore » silicene.« less

  6. Magnetic domain pattern in hierarchically twinned epitaxial Ni-Mn-Ga films.

    PubMed

    Diestel, Anett; Neu, Volker; Backen, Anja; Schultz, Ludwig; Fähler, Sebastian

    2013-07-03

    Magnetic shape memory alloys exhibit a hierarchically twinned microstructure, which has been examined thoroughly in epitaxial Ni-Mn-Ga films. Here we analyze the consequences of this 'twin within twins' microstructure on the magnetic domain pattern. Atomic and magnetic force microscopy are used to probe the correlation between the martensitic microstructure and magnetic domains. We examine the consequences of different twin boundary orientations with respect to the substrate normal as well as variant boundaries between differently aligned twinned laminates. A detailed micromagnetic analysis is given which describes the influence of the finite film thickness on the formation of magnetic band domains in these multiferroic materials.

  7. Growth and properties of amorphous silicon films grown using pulsed-flow reactive plasma beam epitaxy

    NASA Technical Reports Server (NTRS)

    Dalal, Vikram L.; Knox, Ralph; Kandalaft, Nabeeh; Baldwin, Greg

    1991-01-01

    The growth and properties of a-Si:H films grown using a novel deposition technique, reactive plasma beam epitaxy, are discussed. In this technique, a remote H plasma produced in a microwave-ECR reactor is used to grow a-Si:H films at low pressures. The H ions react with SiH4 introduced near the substrate to produce the film. The flow of SiH4 is pulsed on or off, thereby achieving in-situ annealing of the film during growth by H ions and radicals. The films produced by this technique appear to have good electronic quality, and are more stable than the standard glow discharge films.

  8. Possible ferroelectricity in perovskite oxynitride SrTaO2N epitaxial thin films

    PubMed Central

    Oka, Daichi; Hirose, Yasushi; Kamisaka, Hideyuki; Fukumura, Tomoteru; Sasa, Kimikazu; Ishii, Satoshi; Matsuzaki, Hiroyuki; Sato, Yukio; Ikuhara, Yuichi; Hasegawa, Tetsuya

    2014-01-01

    Compressively strained SrTaO2N thin films were epitaxially grown on SrTiO3 substrates using nitrogen plasma-assisted pulsed laser deposition. Piezoresponse force microscopy measurements revealed small domains (101–102 nm) that exhibited classical ferroelectricity, a behaviour not previously observed in perovskite oxynitrides. The surrounding matrix region exhibited relaxor ferroelectric-like behaviour, with remanent polarisation invoked by domain poling. First-principles calculations suggested that the small domains and the surrounding matrix had trans-type and a cis-type anion arrangements, respectively. These experiments demonstrate the promise of tailoring the functionality of perovskite oxynitrides by modifying the anion arrangements by using epitaxial strain.

  9. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  10. Effects of hydrogen treatment on ohmic contacts to p-type GaN films

    NASA Astrophysics Data System (ADS)

    Huang, Bohr-Ran; Chou, Chia-Hui; Ke, Wen-Cheng; Chou, Yi-Lun; Tsai, Chia-Lung; Wu, Meng-chyi

    2011-06-01

    This study investigated the effects of hydrogen (H 2) treatment on metal contacts to Mg-doped p-GaN films by Hall-effect measurement, current-voltage ( I- V) analyzer and X-ray photoemission spectra (XPS). The interfacial oxide layer on the p-GaN surface was found to be the main reason for causing the nonlinear I- V behavior of the untreated p-GaN films. The increased nitrogen vacancy (V N) density due to increased GaN decomposition rate at high-temperature hydrogen treatment is believed to form high density surface states on the surface of p-GaN films. Compared to untreated p-GaN films, the surface Fermi level determined by the Ga 2p core-level peak on 1000 °C H 2-treated p-GaN films lies about ˜2.1 eV closer to the conduction band edge (i.e., the surface inverted to n-type behavior). The reduction in barrier height due to the high surface state density pinned the surface Fermi level close to the conduction band edge, and allowed the electrons to easily flow over the barrier from the metal into the p-GaN films. Thus, a good ohmic contact was achieved on the p-GaN films by the surface inversion method.

  11. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    PubMed

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    NASA Astrophysics Data System (ADS)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  13. Synthesis science of SrRuO3 and CaRuO3 epitaxial films with high residual resistivity ratios

    NASA Astrophysics Data System (ADS)

    Nair, Hari P.; Liu, Yang; Ruf, Jacob P.; Schreiber, Nathaniel J.; Shang, Shun-Li; Baek, David J.; Goodge, Berit H.; Kourkoutis, Lena F.; Liu, Zi-Kui; Shen, Kyle M.; Schlom, Darrell G.

    2018-04-01

    Epitaxial SrRuO3 and CaRuO3 films were grown under an excess flux of elemental ruthenium in an adsorption-controlled regime by molecular-beam epitaxy (MBE), where the excess volatile RuOx (x = 2 or 3) desorbs from the growth front leaving behind a single-phase film. By growing in this regime, we were able to achieve SrRuO3 and CaRuO3 films with residual resistivity ratios (ρ300 K/ρ4 K) of 76 and 75, respectively. A combined phase stability diagram based on the thermodynamics of MBE (TOMBE) growth, termed a TOMBE diagram, is employed to provide improved guidance for the growth of complex materials by MBE.

  14. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  15. Scintillation properties of the Ce-doped multicomponent garnet epitaxial films

    NASA Astrophysics Data System (ADS)

    Prusa, P.; Kucera, M.; Mares, J. A.; Hanus, M.; Beitlerova, A.; Onderisinova, Z.; Nikl, M.

    2013-10-01

    (Lu,Y,Gd)3(Al,Ga)5O12:Ce garnet scintillator single crystalline films were grown onto LuAG, YAG and GGG substrates by liquid phase epitaxy method. Absorption, radioluminescence spectra and photoluminescence excitation, emission spectra, and decay kinetics were measured. Photoelectron yield, its dependence on amplifier shaping time and energy resolution were determined to evaluate scintillation performance. Most of the samples exhibited strong UV emission caused by trapped excitons and/or Gd3+ 4f-4f transition. However, emission spectrum of the best performing Gd2YAl5O12:Ce is dominated by the Ce3+ fast 5d-4f luminescence. This sample has outperformed photoelectron yield of all the garnet films studied so far.

  16. Lattice disorder produced in GaN by He-ion implantation

    NASA Astrophysics Data System (ADS)

    Han, Yi; Peng, Jinxin; Li, Bingsheng; Wang, Zhiguang; Wei, Kongfang; Shen, Tielong; Sun, Jianrong; Zhang, Limin; Yao, Cunfeng; Gao, Ning; Gao, Xing; Pang, Lilong; Zhu, Yabin; Chang, Hailong; Cui, Minghuan; Luo, Peng; Sheng, Yanbin; Zhang, Hongpeng; Zhang, Li; Fang, Xuesong; Zhao, Sixiang; Jin, Jin; Huang, Yuxuan; Liu, Chao; Tai, Pengfei; Wang, Dong; He, Wenhao

    2017-09-01

    The lattice disorders induced by He-ion implantation in GaN epitaxial films to fluences of 2 × 1016, 5 × 1016 and 1 × 1017 cm-2 at room temperature (RT) have been investigated by a combination of Raman spectroscopy, high-resolution X-ray diffraction (HRXRD), nano-indentation, and transmission electron microscopy (TEM). The experimental results present that Raman intensity decreases with increasing fluence. Raman frequency "red shift" occurs after He-ion implantation. Strain increases with increasing fluence. The hardness of the highly damaged layer increases monotonically with increasing fluence. Microstructural results demonstrate that the width of the damage band and the number density of observed dislocation loops increases with increasing fluence. High-resolution TEM images exhibit that He-ion implantation lead to the formation of planar defects and most of the lattice defects are of interstitial-type basal loops. The relationships of Raman intensity, lattice strain, swelling and hardness with He-implantation-induced lattice disorders are discussed.

  17. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A.

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field anglemore » dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.« less

  18. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  19. Growth of epitaxial orthorhombic YO{sub 1.5}-substituted HfO{sub 2} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori

    YO{sub 1.5}-substituted HfO{sub 2} thin films with various substitution amounts were grown on (100) YSZ substrates by the pulsed laser deposition method directly from the vapor phase. The epitaxial growth of film with different YO{sub 1.5} amounts was confirmed by the X-ray diffraction method. Wide-area reciprocal lattice mapping measurements were performed to clarify the crystal symmetry of films. The formed phases changed from low-symmetry monoclinic baddeleyite to high-symmetry tetragonal/cubic fluorite phases through an orthorhombic phase as the YO{sub 1.5} amount increased from 0 to 0.15. The additional annular bright-field scanning transmission electron microscopy indicates that the orthorhombic phase has polarmore » structure. This means that the direct growth by vapor is of polar orthorhombic HfO{sub 2}-based film. Moreover, high-temperature X-ray diffraction measurements showed that the film with a YO{sub 1.5} amount of 0.07 with orthorhombic structure at room temperature only exhibited a structural phase transition to tetragonal phase above 450 °C. This temperature is much higher than the reported maximum temperature of 200 °C to obtain ferroelectricity as well as the expected temperature for real device application. The growth of epitaxial orthorhombic HfO{sub 2}-based film helps clarify the nature of ferroelectricity in HfO{sub 2}-based films (186 words/200 words)« less

  20. Fabrication and characterization of Bismuth-Cerium composite iron garnet epitaxial films for magneto optical applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra Sekhar, M.; Singh, Mahi R.

    2012-10-15

    The Bi{sub x}Ce{sub 3-x}Fe{sub 5}O{sub 12} (x = 0.8) epitaxial films of high quality were grown by means of pulsed laser deposition on paramagnetic substrates of Gadolinium Gallium Garnet. We study the modifications of substitutions in the parent garnet Y{sub 3}Fe{sub 5}O{sub 12} that produces a higher magneto-optical response at communication wavelengths. These films displayed a strong in plane textures which are treated in argon as well as reduced atmosphere conditions. The elemental constituents of these films were confirmed by energy dispersive-X ray analysis, elastic recoil detection system, Rutherford backscattering spectroscopy, and X-ray photoelectron spectroscopy measurements. The transmittance spectra weremore » measured and found these films exhibit good transmittance values. The transmittance-spectra were fitted with the theoretical model and the optical constants such as refractive index and absorption edge were evaluated. The highest (negative) Faraday rotation was found for these films treated in the environment of Ar + H{sub 2}. A density matrix theory has been developed for the Faraday rotation and a good agreement between the theory and experiment is found. These epitaxial garnet films can be used in a wide range of frequencies from visible to infrared spectra making them ideal for many magneto optical applications. Therefore, these films may overcome many issues in fabricating all optical isolators which is the viable solution for integrated photonics.« less

  1. Fabrication of full-color GaN-based light-emitting diodes on nearly lattice-matched flexible metal foils.

    PubMed

    Kim, Hyeryun; Ohta, Jitsuo; Ueno, Kohei; Kobayashi, Atsushi; Morita, Mari; Tokumoto, Yuki; Fujioka, Hiroshi

    2017-05-18

    GaN-based light-emitting diodes (LEDs) have been widely accepted as highly efficient solid-state light sources capable of replacing conventional incandescent and fluorescent lamps. However, their applications are limited to small devices because their fabrication process is expensive as it involves epitaxial growth of GaN by metal-organic chemical vapor deposition (MOCVD) on single crystalline sapphire wafers. If a low-cost epitaxial growth process such as sputtering on a metal foil can be used, it will be possible to fabricate large-area and flexible GaN-based light-emitting displays. Here we report preparation of GaN films on nearly lattice-matched flexible Hf foils using pulsed sputtering deposition (PSD) and demonstrate feasibility of fabricating full-color GaN-based LEDs. It was found that introduction of low-temperature (LT) grown layers suppressed the interfacial reaction between GaN and Hf, allowing the growth of high-quality GaN films on Hf foils. We fabricated blue, green, and red LEDs on Hf foils and confirmed their normal operation. The present results indicate that GaN films on Hf foils have potential applications in fabrication of future large-area flexible GaN-based optoelectronics.

  2. Ultralow Damping in Nanometer-Thick Epitaxial Spinel Ferrite Thin Films.

    PubMed

    Emori, Satoru; Yi, Di; Crossley, Sam; Wisser, Jacob J; Balakrishnan, Purnima P; Khodadadi, Behrouz; Shafer, Padraic; Klewe, Christoph; N'Diaye, Alpha T; Urwin, Brittany T; Mahalingam, Krishnamurthy; Howe, Brandon M; Hwang, Harold Y; Arenholz, Elke; Suzuki, Yuri

    2018-06-08

    Pure spin currents, unaccompanied by dissipative charge flow, are essential for realizing energy-efficient nanomagnetic information and communications devices. Thin-film magnetic insulators have been identified as promising materials for spin-current technology because they are thought to exhibit lower damping compared with their metallic counterparts. However, insulating behavior is not a sufficient requirement for low damping, as evidenced by the very limited options for low-damping insulators. Here, we demonstrate a new class of nanometer-thick ultralow-damping insulating thin films based on design criteria that minimize orbital angular momentum and structural disorder. Specifically, we show ultralow damping in <20 nm thick spinel-structure magnesium aluminum ferrite (MAFO), in which magnetization arises from Fe 3+ ions with zero orbital angular momentum. These epitaxial MAFO thin films exhibit a Gilbert damping parameter of ∼0.0015 and negligible inhomogeneous linewidth broadening, resulting in narrow half width at half-maximum linewidths of ∼0.6 mT around 10 GHz. Our findings offer an attractive thin-film platform for enabling integrated insulating spintronics.

  3. Kinematical calculations of RHEED intensity oscillations during the growth of thin epitaxial films

    NASA Astrophysics Data System (ADS)

    Daniluk, Andrzej

    2005-08-01

    A practical computing algorithm working in real time has been developed for calculating the reflection high-energy electron diffraction (RHEED) from the molecular beam epitaxy (MBE) growing surface. The calculations are based on the use of kinematical diffraction theory. Simple mathematical models are used for the growth simulation in order to investigate the fundamental behaviors of reflectivity change during the growth of thin epitaxial films prepared using MBE. Program summaryTitle of program:GROWTH Catalogue identifier:ADVL Program summary URL:http://cpc.cs.qub.ac.uk/summaries/ADVL Program obtainable from: CPC Program Library, Queen's University of Belfast, N. Ireland Distribution format: tar.gz Computer for which the program is designed and others on which is has been tested:Pentium-based PC Operating systems or monitors under which the program has been tested:Windows 9x, XP, NT Programming language used:Object Pascal Memory required to execute with typical data:more than 1 MB Number of bits in a word: 64 bits Number of processors used: 1 Number of lines in distributed program, including test data, etc.: 10 989 Number of bytes in distributed program, including test data, etc.:103 048 Nature of the physical problem:Reflection high-energy electron diffraction (RHEED) is a very useful technique for studying growth and surface analysis of thin epitaxial structures prepared using the molecular beam epitaxy (MBE). The simplest approach to calculating the RHEED intensity during the growth of thin epitaxial films is the kinematical diffraction theory (often called kinematical approximation), in which only a single scattering event is taken into account. The biggest advantage of this approach is that we can calculate RHEED intensity in real time. Also, the approach facilitates intuitive understanding of the growth mechanism and surface morphology [P.I. Cohen, G.S. Petrich, P.R. Pukite, G.J. Whaley, A.S. Arrott, Surf. Sci. 216 (1989) 222]. Method of solution:Epitaxial

  4. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    PubMed Central

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  5. Mechanical properties of metal-organic frameworks: An indentation study on epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Bundschuh, S.; Kraft, O.; Arslan, H. K.; Gliemann, H.; Weidler, P. G.; Wöll, C.

    2012-09-01

    We have determined the hardness and Young's modulus of a highly porous metal-organic framework (MOF) using a standard nanoindentation technique. Despite the very low density of these films, 1.22 g cm-3, Young's modulus reaches values of almost 10 GPa for HKUST-1, demonstrating that this porous coordination polymer is substantially stiffer than normal polymers. This progress in characterizing mechanical properties of MOFs has been made possible by the use of high quality, oriented thin films grown using liquid phase epitaxy on modified Au substrates.

  6. Substrate effects on the epitaxial growth of ZnGeP2 thin films by open tube organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.

    1991-01-01

    Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.

  7. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  8. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  9. Thickness dependent exchange bias in martensitic epitaxial Ni-Mn-Sn thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Behler, Anna; Department of Physics, Institute for Solid State Physics, Dresden University of Technology, 01062 Dresden; Teichert, Niclas

    2013-12-15

    A thickness dependent exchange bias in the low temperature martensitic state of epitaxial Ni-Mn-Sn thin films is found. The effect can be retained down to very small thicknesses. For a Ni{sub 50}Mn{sub 32}Sn{sub 18} thin film, which does not undergo a martensitic transformation, no exchange bias is observed. Our results suggest that a significant interplay between ferromagnetic and antiferromagnetic regions, which is the origin for exchange bias, is only present in the martensite. The finding is supported by ab initio calculations showing that the antiferromagnetic order is stabilized in the phase.

  10. Investigation of the growth of garnet films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sandfort, R. M.

    1974-01-01

    Liquid phase expitaxy was investigated to determine its applicability to fabricating magnetic rare earth garnet films for spacecraft data recording systems. Two mixed garnet systems were investigated in detail: (1) Gd-Y and (2) Eu-Yb-Y. All films were deposited on Gd3Ga5012 substrates. The uniaxial anisotropy of the Gd-Y garnets is primarily stress-induced. These garnets are characterized by high-domain wall mobility, low coercivity and modest anisotropy. Characteristic length was found to be relatively sensitive to temperature. The Eu-Yb-Y garnets exhibit acceptable mobilities, good temperature stability and reasonable quality factors. The uniaxial anisotropy of these garnets is primarily growth-induced. The system is well suited for compositional "tailoring" to optimize specific desirable properties. Liquid phase epitaxy can be used to deposit Gd3Ga5012 spacing layers on magnetic garnet films and this arrangement possesses certain advantages over more conventional magnetic filmspacing layer combinations. However, it cannot be used if the magnetic film is to be ion implanted.

  11. Nanoscale interfacial heat transport of ultrathin epitaxial hetero films: Few monolayer Pb(111) on Si(111)

    NASA Astrophysics Data System (ADS)

    Witte, T.; Frigge, T.; Hafke, B.; Krenzer, B.; Horn-von Hoegen, M.

    2017-06-01

    We studied the phononic heat transport from ultrathin epitaxial Pb(111) films across the heterointerface into a Si(111) substrate by means of ultrafast electron diffraction. The thickness of the Pb films was varied from 15 to 4 monolayers. It was found that the thermal boundary conductance σTBC of the heterointerface is independent of the film thickness. We have no evidence for finite size effects: the continuum description of heat transport is still valid, even for the thinnest films of only 4 monolayer thickness.

  12. Diamagnetic to ferromagnetic switching in VO2 epitaxial thin films by nanosecond excimer laser treatment

    NASA Astrophysics Data System (ADS)

    Molaei, R.; Bayati, R.; Nori, S.; Kumar, D.; Prater, J. T.; Narayan, J.

    2013-12-01

    VO2(010)/NiO(111) epitaxial heterostructures were integrated with Si(100) substrates using a cubic yttria-stabilized zirconia (c-YSZ) buffer. The epitaxial alignment across the interfaces was determined to be VO2(010)‖NiO(111)‖c-YSZ(001)‖Si(001) and VO2[100]‖NiO⟨110⟩‖c-YSZ⟨100⟩‖Si⟨100⟩. The samples were subsequently treated by a single shot of a nanosecond KrF excimer laser. Pristine as-deposited film showed diamagnetic behavior, while laser annealed sample exhibited ferromagnetic behavior. The population of majority charge carriers (e-) and electrical conductivity increased by about two orders of magnitude following laser annealing. These observations are attributed to the introduction of oxygen vacancies into the VO2 thin films and the formation of V3+ defects.

  13. Electronic and optical properties of La-doped S r3I r2O7 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Souri, M.; Terzic, J.; Johnson, J. M.; Connell, J. G.; Gruenewald, J. H.; Thompson, J.; Brill, J. W.; Hwang, J.; Cao, G.; Seo, A.

    2018-02-01

    We have investigated structural, transport, and optical properties of tensile strained (Sr1-xL ax ) 3I r2O7 (x =0 , 0.025, 0.05) epitaxial thin films. While high-Tc superconductivity is predicted theoretically in the system, we have observed that all of the samples remain insulating with finite optical gap energies and Mott variable-range hopping characteristics in transport. Cross-sectional scanning transmission electron microscopy indicates that structural defects such as stacking faults appear in this system. The insulating behavior of the La-doped S r3I r2O7 thin films is presumably due to disorder-induced localization and ineffective electron doping of La, which brings to light the intriguing difference between epitaxial thin films and bulk single crystals of the iridates.

  14. Thermal stability of epitaxial SrRuO3 films as a function of oxygen pressure

    NASA Astrophysics Data System (ADS)

    Lee, Ho Nyung; Christen, Hans M.; Chisholm, Matthew F.; Rouleau, Christopher M.; Lowndes, Douglas H.

    2004-05-01

    The thermal stability of electrically conducting SrRuO3 thin films grown by pulsed-laser deposition on (001) SrTiO3 substrates has been investigated by atomic force microscopy and reflection high-energy electron diffraction (RHEED) under reducing conditions (25-800 °C in 10-7-10-2 Torr O2). The as-grown SrRuO3 epitaxial films exhibit atomically flat surfaces with single unit-cell steps, even after exposure to air at room temperature. The films remain stable at temperatures as high as 720 °C in moderate oxygen ambients (>1 mTorr), but higher temperature anneals at lower pressures result in the formation of islands and pits due to the decomposition of SrRuO3. Using in situ RHEED, a temperature and oxygen pressure stability map was determined, consistent with a thermally activated decomposition process having an activation energy of 88 kJ/mol. The results can be used to determine the proper conditions for growth of additional epitaxial oxide layers on high quality electrically conducting SrRuO3.

  15. Optical Properties of Ferroelectric Epitaxial K0.5Na0.5NbO3 Films in Visible to Ultraviolet Range

    PubMed Central

    Pacherova, O.; Kocourek, T.; Jelinek, M.; Dejneka, A.; Tyunina, M.

    2016-01-01

    The complex index of refraction in the spectral range of 0.74 to 4.5 eV is studied by variable-angle spectroscopic ellipsometry in ferroelectric K0.5Na0.5NbO3 films. The 20-nm-thick cube-on-cube-type epitaxial films are grown on SrTiO3(001) and DyScO3(011) single-crystal substrates. The films are transparent and exhibit a significant difference between refractive indices Δn = 0.5 at photon energies below 3 eV. The energies of optical transitions are in the range of 3.15–4.30 eV and differ by 0.2–0.3 eV in these films. The observed behavior is discussed in terms of lattice strain and strain-induced ferroelectric polarization in epitaxial perovskite oxide films. PMID:27074042

  16. Electron band bending of polar, semipolar and non-polar GaN surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartoš, I.; Romanyuk, O., E-mail: romanyuk@fzu.cz; Houdkova, J.

    2016-03-14

    The magnitudes of the surface band bending have been determined by X-ray photoelectron spectroscopy for polar, semipolar, and non-polar surfaces of wurtzite GaN crystals. All surfaces have been prepared from crystalline GaN samples grown by the hydride-vapour phase epitaxy and separated from sapphire substrates. The Ga 3d core level peak shifts have been used for band bending determination. Small band bending magnitudes and also relatively small difference between the band bendings of the surfaces with opposite polarity have been found. These results point to the presence of electron surface states of different amounts and types on surfaces of different polaritymore » and confirm the important role of the electron surface states in compensation of the bound surface polarity charges in wurtzite GaN crystals.« less

  17. Room-temperature Domain-epitaxy of Copper Iodide Thin Films for Transparent CuI/ZnO Heterojunctions with High Rectification Ratios Larger than 109

    NASA Astrophysics Data System (ADS)

    Yang, Chang; Kneiß, Max; Schein, Friedrich-Leonhard; Lorenz, Michael; Grundmann, Marius

    2016-02-01

    CuI is a p-type transparent conductive semiconductor with unique optoelectronic properties, including wide band gap (3.1 eV), high hole mobility (>40 cm2 V-1 s-1 in bulk), and large room-temperature exciton binding energy (62 meV). The difficulty in epitaxy of CuI is the main obstacle for its application in advanced solid-state electronic devices. Herein, room-temperature heteroepitaxial growth of CuI on various substrates with well-defined in-plane epitaxial relations is realized by reactive sputtering technique. In such heteroepitaxial growth the formation of rotation domains is observed and hereby systematically investigated in accordance with existing theoretical study of domain-epitaxy. The controllable epitaxy of CuI thin films allows for the combination of p-type CuI with suitable n-type semiconductors with the purpose to fabricate epitaxial thin film heterojunctions. Such heterostructures have superior properties to structures without or with weakly ordered in-plane orientation. The obtained epitaxial thin film heterojunction of p-CuI(111)/n-ZnO(00.1) exhibits a high rectification up to 2 × 109 (±2 V), a 100-fold improvement compared to diodes with disordered interfaces. Also a low saturation current density down to 5 × 10-9 Acm-2 is formed. These results prove the great potential of epitaxial CuI as a promising p-type optoelectronic material.

  18. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  19. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    NASA Astrophysics Data System (ADS)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  20. High brightness nonpolar a-plane (11-20) GaN light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Jung, Sukkoo; Chang, Younghak; Bang, Kyu-Hyun; Kim, Hyung-Gu; Choi, Yoon-Ho; Hwang, Sung-Min; Baik, Kwang Hyeon

    2012-02-01

    We report on high brightness nonpolar a-plane InGaN/GaN LEDs using patterned lateral overgrowth (PLOG) epitaxy. High crystal-quality and smooth surfaces for a-plane GaN (a-GaN) films were achieved using PLOG with an array of hexagonal SiO2 patterns. The XRC FWHMs of as-grown PLOG a-GaN films were found to be 414 and 317 arcsec (450 and 455 arcsec for planar a-GaN films) along the c-axis and m-axis directions, respectively. Plan-view CL clearly reveals the periodic hexagonal patterns with higher band edge emission intensity, implying that the luminescence properties of a-GaN films lying above the SiO2 mask are improved. The light output powers of a-InGaN/GaN PLOG LEDs were measured to be 7.5 mW and 20 mW at drive currents of 20 mA and 100 mA, respectively. A negligible blue-shift was observed in the peak emission wavelength with increasing drive current up to 100 mA, indicating that there are no strong internal fields in nonpolar a-InGaN/GaN LEDs. We believe that nonpolar a-plane InGaN/GaN LEDs hold promise for efficient nitride emitters if the growth conditions are further optimized.