Sample records for gan epitaxial growth

  1. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  2. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  3. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  4. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  5. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  6. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  7. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  8. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  11. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  12. High active nitrogen flux growth of GaN by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McSkimming, Brian M., E-mail: mcskimming@engineering.ucsb.edu; Speck, James S.; Chaix, Catherine

    2015-09-15

    In the present study, the authors report on a modified Riber radio frequency (RF) nitrogen plasma source that provides active nitrogen fluxes more than 30 times higher than those commonly used for plasma assisted molecular beam epitaxy (PAMBE) growth of gallium nitride (GaN) and thus a significantly higher growth rate than has been previously reported. GaN films were grown using N{sub 2} gas flow rates between 5 and 25 sccm while varying the plasma source's RF forward power from 200 to 600 W. The highest growth rate, and therefore the highest active nitrogen flux, achieved was ∼7.6 μm/h. For optimized growth conditions,more » the surfaces displayed a clear step-terrace structure with an average RMS roughness (3 × 3 μm) on the order of 1 nm. Secondary ion mass spectroscopy impurity analysis demonstrates oxygen and hydrogen incorporation of 1 × 10{sup 16} and ∼5 × 10{sup 17}, respectively. In addition, the authors have achieved PAMBE growth of GaN at a substrate temperature more than 150 °C greater than our standard Ga rich GaN growth regime and ∼100 °C greater than any previously reported PAMBE growth of GaN. This growth temperature corresponds to GaN decomposition in vacuum of more than 20 nm/min; a regime previously unattainable with conventional nitrogen plasma sources. Arrhenius analysis of the decomposition rate shows that samples with a flux ratio below stoichiometry have an activation energy greater than decomposition of GaN in vacuum while samples grown at or above stoichiometry have decreased activation energy. The activation energy of decomposition for GaN in vacuum was previously determined to be ∼3.1 eV. For a Ga/N flux ratio of ∼1.5, this activation energy was found to be ∼2.8 eV, while for a Ga/N flux ratio of ∼0.5, it was found to be ∼7.9 eV.« less

  13. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  14. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  15. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  16. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  17. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  18. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  19. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  20. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (<3 × 1017 cm-3) responsible for p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  1. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  2. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  3. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  4. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  5. Growth condition dependence of unintentional oxygen incorporation in epitaxial GaN

    PubMed Central

    Schubert, Felix; Wirth, Steffen; Zimmermann, Friederike; Heitmann, Johannes; Mikolajick, Thomas; Schmult, Stefan

    2016-01-01

    Abstract Growth conditions have a tremendous impact on the unintentional background impurity concentration in gallium nitride (GaN) synthesized by molecular beam epitaxy and its resulting chemical and physical properties. In particular for oxygen identified as the dominant background impurity we demonstrate that under optimized growth stoichiometry the growth temperature is the key parameter to control its incorporation and that an increase by 55 °C leads to an oxygen reduction by one order of magnitude. Quantitatively this reduction and the resulting optical and electrical properties are analyzed by secondary ion mass spectroscopy, photoluminescence, capacitance versus voltage measurements, low temperature magneto-transport and parasitic current paths in lateral transistor test structures based on two-dimensional electron gases. At a growth temperature of 665 °C the residual charge carrier concentration is decreased to below 1015 cm−3, resulting in insulating behavior and thus making the material suitable for beyond state-of-the-art device applications. PMID:27877874

  6. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  7. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  8. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  9. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  10. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  11. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  12. Plasma-assisted MBE growth kinetics and characterization studies of wide bandgap III-V epitaxial materials

    NASA Astrophysics Data System (ADS)

    O'Steen, Mark Lee

    2000-10-01

    Scope and method of study. The purpose of this research was to understand the physics of RF plasma-assisted molecular beam epitaxial growth of GaN epitaxial films and InGaN/GaN superlattice structures grown on Al2O3 (0001) substrates. The techniques used to characterize the RF-MBE grown samples include in situ reflection high energy electron diffraction (RHEED) and optical pyrometry, and ex situ spatially-resolved high resolution X-ray diffraction, spatially-resolved reflectance spectroscopy, atomic force microscopy, and low-temperature photoluminescence (PL) spectroscopy. Findings and conclusions. RF plasma-assisted molecular beam epitaxy (RF-MBE) has been used to grow GaN epitaxial films and InGaN/GaN superlattice structures. The most important growth parameters in the growth of GaN epitaxial films were identified as the substrate temperature, incident N*/Ga flux ratio, and GaN growth rate. The effect of these growth parameters on GaN growth and quality of GaN epitaxial films is discussed. Additionally, an interpretation of the effects of growth conditions on the underlying microscopic growth processes occurring is presented. All of the observed GaN growth results may be understood in terms of these microscopic growth processes. InGaN/GaN superlattice samples are grown to identify and quantitatively access the InGaN growth phenomenology. It is inferred that InN requires a higher N*/III flux ratio than does GaN for stoichiometric growth. At substrate temperatures below 590°C, the In composition of the superlattice samples is nominally constant. However, in the narrow temperature range 590--670°C, the In composition decreases by more than an order-or-magnitude at the lowest N*/III flux ratio of this study. Additionally, the incident N*/III flux ratio is found to strongly influence the In composition as well. Nearly an order-of-magnitude increase in In composition is observed despite only a 20% increase in the N*/III flux ratio at the highest temperature of this

  13. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  14. Growth study of self-assembled GaN nanocolumns on silica glass by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Liudi Mulyo, Andreas; Konno, Yuta; Nilsen, Julie S.; van Helvoort, Antonius T. J.; Fimland, Bjørn-Ove; Weman, Helge; Kishino, Katsumi

    2017-12-01

    We demonstrate GaN nanocolumn growth on fused silica glass by plasma-assisted molecular beam epitaxy. The effect of the substrate temperature, Ga flux and N2 flow rate on the structural and optical properties are studied. At optimum growth conditions, GaN nanocolumns are vertically aligned and well separated with an average diameter, height and density of 72 nm, 1.2 μm and 1.6 × 109 cm-2, respectively. The nanocolumns exhibit wurtzite crystal structure with no threading dislocations, stacking faults or twinning and grow in the [0 0 0 1] direction. At the interface adjacent to the glass, there is a few atom layers thick intermediate phase with ABC stacking order (zinc blende). Photoluminescence measurements evidence intense and narrow excitonic emissions, along with the absence of any defect-related zinc blende and yellow luminescence emission.

  15. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  16. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  17. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  18. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  19. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  20. High breakdown single-crystal GaN p-n diodes by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qi, Meng; Zhao, Yuning; Yan, Xiaodong

    2015-12-07

    Molecular beam epitaxy grown GaN p-n vertical diodes are demonstrated on single-crystal GaN substrates. A low leakage current <3 nA/cm{sup 2} is obtained with reverse bias voltage up to −20 V. With a 400 nm thick n-drift region, an on-resistance of 0.23 mΩ cm{sup 2} is achieved, with a breakdown voltage corresponding to a peak electric field of ∼3.1 MV/cm in GaN. Single-crystal GaN substrates with very low dislocation densities enable the low leakage current and the high breakdown field in the diodes, showing significant potential for MBE growth to attain near-intrinsic performance when the density of dislocations is low.

  1. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  2. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  3. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  4. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  5. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  6. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    NASA Astrophysics Data System (ADS)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  7. Molecular Beam Epitaxial Growth of Iron Nitrides on Zinc-Blende Gallium Nitride(001)

    NASA Astrophysics Data System (ADS)

    Pak, Jeongihm; Lin, Wenzhi; Chinchore, Abhijit; Wang, Kangkang; Smith, Arthur R.

    2008-03-01

    Iron nitrides are attractive materials for their high magnetic moments, corrosion, and oxidation resistance. We present the successful epitaxial growth of iron nitride on zinc-blende gallium nitride (c-GaN) in order to develop a novel magnetic transition metal nitride/semiconductor system. First, GaN is grown on magnesium oxide (MgO) substrates having (001) orientation using rf N2-plasma molecular beam epitaxy. Then we grow FeN at substrate temperature of ˜ 210 ^oC up to a thickness of ˜ 10.5 nm. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the surface during growth. Initial results suggest that the epitaxial relationship is FeN[001] || GaN[001] and FeN[100] || GaN[100]. Work in progress is to investigate the surface using in-situ scanning tunneling microscopy (STM) to reveal the surface structure at atomic scale, as well as to explore more Fe-rich magnetic phases.

  8. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  9. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  10. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  11. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  12. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  13. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  14. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  15. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  16. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  17. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  18. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  19. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  20. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  1. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  2. Electrical transport and structural characterization of epitaxial monolayer MoS2 /n- and p-doped GaN vertical lattice-matched heterojunctions

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; O'Regan, T.; Zhang, K.; Herzing, A.; Mazzoni, A.; Chin, M.; Huang, S.; Zhang, Z.; Burke, R.; Neupane, M.; Birdwell, Ag; Shah, P.; Crowne, F.; Kolmakov, A.; Leroy, B.; Robinson, J.; Davydov, A.; Ivanov, T.

    We investigate vertical semiconductor junctions consisting of monolayer MoS2 that is epitaxially grown on n- and p-doped GaN crystals. Such a junction represents a building block for 2D/3D vertical semiconductor heterostructures. Epitaxial, lattice-matched growth of MoS2 on GaN is important to ensure high quality interfaces that are crucial for the efficient vertical transport. The MoS2/GaN junctions were characterized with cross-sectional and planar scanning transmission electron microscopy (STEM), scanning tunneling microscopy, and atomic force microscopy. The MoS2/GaN lattice mismatch is measured to be near 1% using STEM. The electrical transport in the out-of-plane direction across the MoS2/GaN junctions was measured using conductive atomic force microscopy and mechanical nano-probes inside a scanning electron microscope. Nano-disc metal contacts to MoS2 were fabricated by e-beam lithography and evaporation. The current-voltage curves of the vertical MoS2/GaN junctions exhibit rectification with opposite polarities for n-doped and p-doped GaN. The metal contact determines the general features of the current-voltage curves, and the MoS2 monolayer modifies the electrical transport across the contact/GaN interface.

  3. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN.

    PubMed

    Soh, C B; Liu, W; Yong, A M; Chua, S J; Chow, S Y; Tripathy, S; Tan, R J N

    2010-08-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO(2) film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, η(extraction,) was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  4. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN

    NASA Astrophysics Data System (ADS)

    Soh, C. B.; Liu, W.; Yong, A. M.; Chua, S. J.; Chow, S. Y.; Tripathy, S.; Tan, R. J. N.

    2010-11-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO2 film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, ηextraction, was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  5. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  6. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  7. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  8. Selected Growth of Cubic and Hexagonal GaN Epitaxial Films on Polar MgO(111)

    NASA Astrophysics Data System (ADS)

    Lazarov, V. K.; Zimmerman, J.; Cheung, S. H.; Li, L.; Weinert, M.; Gajdardziska-Josifovska, M.

    2005-06-01

    Selected molecular beam epitaxy of zinc blende (111) or wurtzite (0001) GaN films on polar MgO(111) is achieved depending on whether N or Ga is deposited first. The cubic stacking is enabled by nitrogen-induced polar surface stabilization, which yields a metallic MgO(111)-(1×1)-ON surface. High-resolution transmission electron microscopy and density functional theory studies indicate that the atomically abrupt semiconducting GaN(111)/MgO(111) interface has a Mg-O-N-Ga stacking, where the N atom is bonded to O at a top site. This specific atomic arrangement at the interface allows the cubic stacking to more effectively screen the substrate and film electric dipole moment than the hexagonal stacking, thus stabilizing the zinc blende phase even though the wurtzite phase is the ground state in the bulk.

  9. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  10. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  11. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  12. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  13. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  14. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  15. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  16. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    NASA Astrophysics Data System (ADS)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  17. Characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Ikai; Pang, Wen-Yuan; Hsu, Yu-Chi

    2013-06-15

    The characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy on LiAlO{sub 2} substrate was studied by cathodoluminescence and photoluminescence measurements. We demonstrated that the cathodoluminescence from oblique semi-polar surfaces of mushroom-shaped GaN was much brighter than that from top polar surface due to the reduction of polarization field on the oblique semi-polar surfaces. It implies that the oblique semi-polar surface is superior for the light-emitting surface of wurtzite nano-devices.

  18. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  19. Tellurium n-type doping of highly mismatched amorphous GaN 1-xAs x alloys in plasma-assisted molecular beam epitaxy

    DOE PAGES

    Novikov, S. V.; Ting, M.; Yu, K. M.; ...

    2014-10-01

    In this paper we report our study on n-type Te doping of amorphous GaN 1-xAs x layers grown by plasma-assisted molecular beam epitaxy. We have used a low temperature PbTe source as a source of tellurium. Reproducible and uniform tellurium incorporation in amorphous GaN 1-xAs x layers has been successfully achieved with a maximum Te concentration of 9×10²⁰ cm⁻³. Tellurium incorporation resulted in n-doping of GaN 1-xAs x layers with Hall carrier concentrations up to 3×10¹⁹ cm⁻³ and mobilities of ~1 cm²/V s. The optimal growth temperature window for efficient Te doping of the amorphous GaN 1-xAs x layers hasmore » been determined.« less

  20. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  1. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  2. Gas Source Molecular Beam Epitaxial Growth of GaN

    DTIC Science & Technology

    1992-11-25

    identify by block number) FIELW GROUP SUB-GROUP 19. ABSTRACT (Continue on reverse if necessary and Identify by block number) Aluminum gallium nitride (AlGaN...AND TASK OBJECTIVES Aluminum gallium nitride (AIGaN) has long been recognized as a promising radiation hard optoelectronic material. AIGaN has a wide...Efficient, pure, low temperature sources for the gas source molecular beam epitaxial (GSMBE) growth of aluminum gallium nitride will essentially

  3. Growth kinetics and island evolution during double-pulsed molecular beam epitaxy of InN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kraus, A.; Hein, C.; Bremers, H.

    The kinetic processes of InN growth using alternating source fluxes with sub-monolayer In pulses in plasma-assisted molecular beam epitaxy have been investigated. Growth at various temperatures reveals the existence of two growth regimes. While growth at low temperatures is solely governed by surface diffusion, a combination of decomposition, desorption, and diffusion becomes decisive at growth temperatures of 470 °C and above. At this critical temperature, the surface morphology changes from a grainy structure to a structure made of huge islands. The formation of those islands is attributed to the development of an indium adlayer, which can be observed via reflection highmore » energy electron diffraction monitoring. Based on the growth experiments conducted at temperatures below T{sub Growth} = 470 °C, an activation energy for diffusion of 0.54 ± 0.02 eV has been determined from the decreasing InN island density. A comparison between growth on metalorganic vapor phase epitaxy GaN templates and pseudo bulk GaN indicates that step edges and dislocations are favorable nucleation sites. Based on the results, we developed a growth model, which describes the main mechanisms of the growth.« less

  4. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  5. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    NASA Astrophysics Data System (ADS)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  6. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  7. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    NASA Astrophysics Data System (ADS)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  8. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  9. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  10. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  11. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  12. In-Plane Anisotropic Photoconduction in Nonpolar Epitaxial a-Plane GaN.

    PubMed

    Pant, Rohit; Shetty, Arjun; Chandan, Greeshma; Roul, Basanta; Nanda, K K; Krupanidhi, S B

    2018-05-16

    Nonpolar a-plane GaN epitaxial films were grown on an r-plane sapphire using the plasma-assisted molecular beam epitaxy system, with various nitrogen plasma power conditions. The crystallinity of the films was characterized by high-resolution X-ray diffraction and reciprocal space mapping. Using the X-ray "rocking curve-phi scan", [0002], [1-100], and [1-102] azimuth angles were identified, and interdigitated electrodes along these directions were fabricated to evaluate the direction-dependent UV photoresponses. UV responsivity ( R) and internal gain ( G) were found to be dependent on the azimuth angle and in the order of [0002] > [1-102] > [1-100], which has been attributed to the enhanced crystallinity and lowest defect density along [0002] azimuth. The temporal response was very stable irrespective of growth conditions and azimuth angles. Importantly, response time, responsivity, and internal gain were 210 ms, 1.88 A W -1 , and 648.9%, respectively, even at a bias as low as 1 V. The results were validated using the Silvaco Atlas device simulator, and experimental observations were consistent with simulated results. Overall, the photoresponse is dependent on azimuth angles and requires further optimization, especially for materials with in-plane crystal anisotropy.

  13. Ab initio calculations on the initial stages of GaN and ZnO growth on lattice-matched ScAlMgO4 (0001) substrates

    NASA Astrophysics Data System (ADS)

    Guo, Yao; Wang, Yanfei; Li, Chengbo; Li, Xianchang; Niu, Yongsheng; Hou, Shaogang

    2016-12-01

    The initial stages of GaN and ZnO epitaxial growth on lattice-matched ScAlMgO4 substrates have been investigated by ab initio calculation. The geometrical parameters and electronic structure of ScAlMgO4 bulk and (0001) surface have been investigated by density-functional first-principles study. The effects of different surface terminations have been examined through surface energy and relaxation calculations. The O-Mg-O termination is more favorable than other terminations by comparing the calculated surface energies. It should be accepted as the appropriate surface structure in subsequent calculation. The initial stages of GaN and ZnO epitaxial growths are discussed based on the adsorption and diffusion of the adatoms on reconstructed ScAlMgO4 (0001) surface. According to theoretical characterizations, N adatom on the surface is more stable than Ga. O adatom is more favorable than Zn. These observations lead to the formation of GaN and ZnO epilayer and explain experimentally-confirmed in-plane alignment mechanisms of GaN and ZnO on ScAlMgO4 substrates. Furthermore, the polarity of GaN and ZnO surfaces on ScAlMgO4 (0001) at the initial growth stage have been explored by ab initio calculation. Theoretical studies indicate that the predominant growths of Ga-polar GaN and Zn-polar ZnO are determined by the initial growth stage.

  14. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  15. Site-controlled crystalline InN growth from the V-pits of a GaN substrate

    NASA Astrophysics Data System (ADS)

    Kuo, Chien-Ting; Hsu, Lung-Hsing; Lai, Yung-Yu; Cheng, Shan-Yun; Kuo, Hao-Chung; Lin, Chien-Chung; Cheng, Yuh-Jen

    2017-05-01

    A site-controlled crystalline InN growth from the V-pits of a GaN substrate was investigated. The V- pits were fabricated by epitaxial lateral growth of GaN over SiO2 disks patterned on a sapphire substrate. InN crystals were found to preferably grow on the inclined {10-11} crystal planes of the V-pits. A V-pit size of 1 μm or less can provide precise site-controlled InN nucleation at the V-pit bottom, while no InN was grown on the rest of the exposed GaN surfaces. The site-controlled nucleation is attributed to the low surface energy point created by the converging six {10-11} crystal facets at the V-pit bottom. When In source supply is below a certain value, this V-pit bottom is the only location able to aggregate enough active sources to start nucleation, thereby providing site-controlled crystal growth.

  16. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  17. Theoretical study of the composition pulling effect in InGaN metalorganic vapor-phase epitaxy growth

    NASA Astrophysics Data System (ADS)

    Inatomi, Yuya; Kangawa, Yoshihiro; Ito, Tomonori; Suski, Tadeusz; Kumagai, Yoshinao; Kakimoto, Koichi; Koukitu, Akinori

    2017-07-01

    The composition pulling effect in metalorganic vapor-phase InGaN epitaxy was theoretically investigated by thermodynamic analysis. The excess energies of biaxial-strained In x Ga1- x N were numerically calculated using empirical interatomic potentials considering different situations: (i) coherent growth on GaN(0001), (ii) coherent growth on In0.2Ga0.8N(0001), and (iii) bulk growth. Using the excess energies, the excess chemical potentials of InN and GaN alloys were computed. Our results show that compressive strain suppresses In incorporation, whereas tensile strain promotes it. Moreover, assuming chemical equilibrium, the relationship between the solid composition and the growth conditions was predicted. The results successfully reproduced the typical composition pulling effect.

  18. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  19. Structural anisotropic properties of a-plane GaN epilayers grown on r-plane sapphire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lotsari, A.; Kehagias, Th.; Katsikini, M.

    2014-06-07

    Heteroepitaxial non-polar III-Nitride layers may exhibit extensive anisotropy in the surface morphology and the epilayer microstructure along distinct in-plane directions. The structural anisotropy, evidenced by the “M”-shape dependence of the (112{sup ¯}0) x-ray rocking curve widths on the beam azimuth angle, was studied by combining transmission electron microscopy observations, Raman spectroscopy, high resolution x-ray diffraction, and atomic force microscopy in a-plane GaN epilayers grown on r-plane sapphire substrates by plasma-assisted molecular beam epitaxy (PAMBE). The structural anisotropic behavior was attributed quantitatively to the high dislocation densities, particularly the Frank-Shockley partial dislocations that delimit the I{sub 1} intrinsic basal stacking faults,more » and to the concomitant plastic strain relaxation. On the other hand, isotropic samples exhibited lower dislocation densities and a biaxial residual stress state. For PAMBE growth, the anisotropy was correlated to N-rich (or Ga-poor) conditions on the surface during growth, that result in formation of asymmetric a-plane GaN grains elongated along the c-axis. Such conditions enhance the anisotropy of gallium diffusion on the surface and reduce the GaN nucleation rate.« less

  20. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  1. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  2. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  3. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  4. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  6. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  7. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  8. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE PAGES

    Perret, Edith; Xu, Dongwei; Highland, M. J.; ...

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  9. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  10. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  11. High power ultraviolet light emitting diodes based on GaN /AlGaN quantum wells produced by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-11-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN /AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN /AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800×800μm2) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340nm, the measured differential on-series resistance is 3Ω with electroluminescence spectrum full width at half maximum of 18nm. The output power under dc bias saturates at 0.5mW, while under pulsed operation it saturates at approximately 700mA to a value of 3mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350nm were investigated under dc operation and the output power saturates at 4.5mW under 200mA drive current.

  12. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  13. Wafer-scale Thermodynamically Stable GaN Nanorods via Two-Step Self-Limiting Epitaxy for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Kum, Hyun; Seong, Han-Kyu; Lim, Wantae; Chun, Daemyung; Kim, Young-Il; Park, Youngsoo; Yoo, Geonwook

    2017-01-01

    We present a method of epitaxially growing thermodynamically stable gallium nitride (GaN) nanorods via metal-organic chemical vapor deposition (MOCVD) by invoking a two-step self-limited growth (TSSLG) mechanism. This allows for growth of nanorods with excellent geometrical uniformity with no visible extended defects over a 100 mm sapphire (Al2O3) wafer. An ex-situ study of the growth morphology as a function of growth time for the two self-limiting steps elucidate the growth dynamics, which show that formation of an Ehrlich-Schwoebel barrier and preferential growth in the c-plane direction governs the growth process. This process allows monolithic formation of dimensionally uniform nanowires on templates with varying filling matrix patterns for a variety of novel electronic and optoelectronic applications. A color tunable phosphor-free white light LED with a coaxial architecture is fabricated as a demonstration of the applicability of these nanorods grown by TSSLG.

  14. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  15. Epitaxy of Zn{sub 2}TiO{sub 4} (1 1 1) thin films on GaN (0 0 1)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiao, Chu-Yun; Wu, Jhih-Cheng; Shih, Chuan-Feng, E-mail: cfshih@mail.ncku.edu.tw

    2013-03-15

    Highlights: ► High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by sputtering. ► Oxygen atmosphere and post heat-treatment annealing effectively enhanced epitaxy. ► The epitaxial Zn{sub 2}TiO{sub 4} modifies the dielectric properties of ceramic oxide. - Abstract: High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by rf-sputtering. Grazing-angle, powder, and pole-figure X-ray diffractometries (XRD) were performed to identify the crystallinity and the preferred orientation of the Zn{sub 2}TiO{sub 4} films. Lattice image at the Zn{sub 2}TiO{sub 4} (1 1 1)/GaN (0 0 1) interface was obtained by high-resolutionmore » transmission-electron microscopy (HR-TEM). An oxygen atmosphere in sputtering and post heat-treatment using rapid thermal annealing effectively enhanced the epitaxy. The epitaxial relationship was determined from the XRD and HR-TEM results: (111){sub Zn{sub 2TiO{sub 4}}}||(001){sub GaN}, (202{sup ¯}){sub Zn{sub 2TiO{sub 4}}}||(110){sub GaN},and[21{sup ¯}1{sup ¯}]{sub Zn{sub 2TiO{sub 4}}}||[01{sup ¯}10]{sub GaN}. Finally, the relative permittivity, interfacial trap density and the flat-band voltage of the Zn{sub 2}TiO{sub 4} based capacitor were ∼18.9, 8.38 × 10{sup 11} eV{sup −1} cm{sup −2}, and 1.1 V, respectively, indicating the potential applications of the Zn{sub 2}TiO{sub 4} thin film to the GaN-based metal-oxide-semiconductor capacitor.« less

  16. Real-time x-ray studies of crystal growth modes during metal-organic vapor phase epitaxy of GaN on c- and m-plane single crystals

    DOE PAGES

    Perret, Edith; Highland, M. J.; Stephenson, G. B.; ...

    2014-08-04

    Non-polar orientations of III-nitride semiconductors have attracted significant interest due to their potential application in optoelectronic devices with enhanced efficiency. Using in-situ surface x-ray scattering during metal-organic vapor phase epitaxy (MOVPE) of GaN on non-polar (m-plane) and polar (c-plane) orientations of single crystal substrates, we have observed the homoepitaxial growth modes as a function of temperature and growth rate. On the m-plane surface we observe all three growth modes (step-flow, layer-by-layer, and three-dimensional) as conditions are varied. In contrast, the +c-plane surface exhibits a direct cross over between step-flow and 3-D growth, with no layer-by-layer regime. The apparent activation energymore » of 2.8 ± 0.2 eV observed for the growth rate at the layer-by-layer to step-flow boundary on the m-plane surface is consistent with those observed for MOVPE growth of other III-V compounds, indicating a large critical nucleus size for islands.« less

  17. Controlled growth of ordered nanopore arrays in GaN.

    PubMed

    Wildeson, Isaac H; Ewoldt, David A; Colby, Robert; Stach, Eric A; Sands, Timothy D

    2011-02-09

    High-quality, ordered nanopores in semiconductors are attractive for numerous biological, electrical, and optical applications. Here, GaN nanorods with continuous pores running axially through their centers were grown by organometallic vapor phase epitaxy. The porous nanorods nucleate on an underlying (0001)-oriented GaN film through openings in a SiN(x) template that are milled by a focused ion beam, allowing direct placement of porous nanorods. Nanopores with diameters ranging from 20-155 nm were synthesized with crystalline sidewalls.

  18. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  19. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growthmore » rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  20. Growth of blue GaN LED structures on 150-mm Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Dadgar, A.; Hums, C.; Diez, A.; Bläsing, J.; Krost, A.

    2006-12-01

    Up to 5.4-μm thick GaN on Si light emitting diode (LED) structures were grown by metalorganic chemical vapor phase epitaxy (MOVPE) on 150 mm Si(1 1 1) substrates. In-situ curvature measurements enable monitoring of stress development during growth and the influence of interlayers on strain balancing after cooling. In X-ray diffraction (XRD) ω-scans the GaN (0 0 0 2) reflection is about 380 arcsec and in θ-2 θ measurements the InGaN/GaN MQW interference peaks are well resolved indicating the high quality of the grown structure. In comparison to the growth on 2-in sapphire the wafer curvature after growth is low (>50 m) for the growth on Si and also during MQW growth at low temperatures a homogeneous wafer temperature can be achieved. The standard deviation of the wavelength over the whole 150-mm test wafer (5-mm edge exclusion) is <3.5 nm and reflects the three different heater zones of the MOVPE system used.

  1. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  2. Polarity in GaN and ZnO: Theory, measurement, growth, and devices

    NASA Astrophysics Data System (ADS)

    Zúñiga-Pérez, Jesús; Consonni, Vincent; Lymperakis, Liverios; Kong, Xiang; Trampert, Achim; Fernández-Garrido, Sergio; Brandt, Oliver; Renevier, Hubert; Keller, Stacia; Hestroffer, Karine; Wagner, Markus R.; Reparaz, Juan Sebastián; Akyol, Fatih; Rajan, Siddharth; Rennesson, Stéphanie; Palacios, Tomás; Feuillet, Guy

    2016-12-01

    The polar nature of the wurtzite crystalline structure of GaN and ZnO results in the existence of a spontaneous electric polarization within these materials and their associated alloys (Ga,Al,In)N and (Zn,Mg,Cd)O. The polarity has also important consequences on the stability of the different crystallographic surfaces, and this becomes especially important when considering epitaxial growth. Furthermore, the internal polarization fields may adversely affect the properties of optoelectronic devices but is also used as a potential advantage for advanced electronic devices. In this article, polarity-related issues in GaN and ZnO are reviewed, going from theoretical considerations to electronic and optoelectronic devices, through thin film, and nanostructure growth. The necessary theoretical background is first introduced and the stability of the cation and anion polarity surfaces is discussed. For assessing the polarity, one has to make use of specific characterization methods, which are described in detail. Subsequently, the nucleation and growth mechanisms of thin films and nanostructures, including nanowires, are presented, reviewing the specific growth conditions that allow controlling the polarity of such objects. Eventually, the demonstrated and/or expected effects of polarity on the properties and performances of optoelectronic and electronic devices are reported. The present review is intended to yield an in-depth view of some of the hot topics related to polarity in GaN and ZnO, a fast growing subject over the last decade.

  3. Nucleation mechanisms of epitaxial GaN nanowires: Origin of their self-induced formation and initial radius

    NASA Astrophysics Data System (ADS)

    Consonni, V.; Knelangen, M.; Geelhaar, L.; Trampert, A.; Riechert, H.

    2010-02-01

    The formation mechanisms of epitaxial GaN nanowires grown within a self-induced approach by molecular-beam epitaxy have been investigated at the onset of the nucleation process by combining in situ reflection high-energy electron-diffraction measurements and ex situ high-resolution transmission electron microscopy imaging. It is shown that the self-induced growth of GaN nanowires on the AlN buffer layer is initially governed by the nucleation of dislocation-free coherent islands. These coherent islands develop through a series of shape transitions from spherical caps through truncated to full pyramids in order to elastically relieve the lattice-mismatch-induced strain. A strong correlation between the subsequent process of plastic relaxation and the final shape transition from full pyramids toward the very first nanowires is found. The experimental critical radius at which the misfit dislocation nucleates is in very good agreement with the theoretical critical radius for the formation of the misfit dislocation in full pyramids, showing that the plastic relaxation process does take place within full pyramids: this critical size corresponds to the initial radius of the very first nanowires. We associate the plastic relaxation of the lattice-mismatch-induced strain occurring within full pyramids with a drastic change in their total free energy: this gives rise to a driving force for the shape transition toward the very first nanowires, which is mainly due to the anisotropy of surface energy.

  4. N-face GaN nanorods: Continuous-flux MOVPE growth and morphological properties

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch.; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2011-01-01

    We demonstrate the morphological properties of height, diameter and shape controlled N-face GaN nanorods (NRs) by adjusting conventional growth parameters of a standard metalorganic vapour phase epitaxy (MOVPE) growth process. Particularly the hydrogen fraction within the carrier gas was shown to be an important shaping tool for the grown nanostructures. Additionally, the aspect ratio of the NRs was successfully tuned by increasing the pitch of the nanoimprint lithography (NIL) pattern, while maintaining the hole-diameter constant. An optimum aspect ratio could be found at pitches between 400 and 800 nm, whereas larger pitches are counter-productive. The major conclusion drawn from our experiments is that the whole amount of growth material available over the masked surface contributes to the growth of the NRs.

  5. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  6. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  7. Influence of growth conditions and surface reaction byproducts on GaN grown via metal organic molecular beam epitaxy: Toward an understanding of surface reaction chemistry

    NASA Astrophysics Data System (ADS)

    Pritchett, David; Henderson, Walter; Burnham, Shawn D.; Doolittle, W. Alan

    2006-04-01

    The surface reaction byproducts during the growth of GaN films via metal organic molecular beam epitaxy (MOMBE) were investigated as a means to optimize material properties. Ethylene and ethane were identified as the dominant surface reaction hydrocarbon byproducts, averaging 27.63% and 7.15% of the total gas content present during growth. Intense ultraviolet (UV) photoexcitation during growth was found to significantly increase the abundance of ethylene and ethane while reducing the presence of H2 and N2. At 920°C, UV excitation was shown to enhance growth rate and crystalline quality while reducing carbon incorporation. Over a limited growth condition range, a 4.5×1019-3.4×1020 cm-3 variation in carbon incorporation was achieved at constant high vacuum. Coupled with growth rate gains, UV excitation yielded films with ˜58% less integrated carbon content. Structural material property variations are reported for various ammonia flows and growth temperatures. The results suggest that high carbon incorporation can be achieved and regulated during MOMBE growth and that in-situ optimization through hydrocarbon analysis may provide further enhancement in the allowable carbon concentration range.

  8. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  9. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  10. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  11. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  12. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  13. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  14. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  15. Growth of GaN nanostructures with polar and semipolar orientations for the fabrication of UV LEDs

    NASA Astrophysics Data System (ADS)

    Brault, Julien; Damilano, Benjamin; Courville, Aimeric; Leroux, Mathieu; Kahouli, Abdelkarim; Korytov, Maxim; Vennéguès, Philippe; Randazzo, Gaetano; Chenot, Sébastien; Vinter, Borge; De Mierry, Philippe; Massies, Jean; Rosales, Daniel; Bretagnon, Thierry; Gil, Bernard

    2014-03-01

    (Al,Ga)N light emitting diodes (LEDs), emitting over a large spectral range from 360 nm (GaN) down to 210 nm (AlN), have been successfully fabricated over the last decade. Clear advantages compared to the traditional mercury lamp technology (e.g. compactness, low-power operation, lifetime) have been demonstrated. However, LED efficiencies still need to be improved. The main problems are related to the structural quality and the p-type doping efficiency of (Al,Ga)N. Among the current approaches, GaN nanostructures, which confine carriers along both the growth direction and the growth plane, are seen as a solution for improving the radiative recombination efficiency by strongly reducing the impact of surrounding defects. Our approach, based on a 2D - 3D growth mode transition in molecular beam epitaxy, can lead to the spontaneous formation of GaN nanostructures on (Al,Ga)N over a broad range of Al compositions. Furthermore, the versatility of the process makes it possible to fabricate nanostructures on both (0001) oriented "polar" and (11 2 2) oriented "semipolar" materials. We show that the change in the crystal orientation has a strong impact on the morphological and optical properties of the nanostructures. The influence of growth conditions are also investigated by combining microscopy (SEM, TEM) and photoluminescence techniques. Finally, their potential as UV emitters will be discussed and the performances of GaN / (Al,Ga)N nanostructure-based LED demonstrators are presented.

  16. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  17. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  18. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  19. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  20. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  1. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  2. Photo-induced changes of the surface band bending in GaN: Influence of growth technique, doping and polarity

    NASA Astrophysics Data System (ADS)

    Winnerl, Andrea; Pereira, Rui N.; Stutzmann, Martin

    2017-05-01

    In this work, we use conductance and contact potential difference photo-transient data to study the influence of the growth technique, doping, and crystal polarity on the kinetics of photo-generated charges in GaN. We found that the processes, and corresponding time scales, involved in the decay of charge carriers generated at and close to the GaN surface via photo-excitation are notably independent of the growth technique, doping (n- and p-types), and also crystal polarity. Hence, the transfer of photo-generated charges from band states back to surface states proceeds always by hopping via shallow defect states in the space-charge region (SCR) close to the surface. Concerning the charge carrier photo-generation kinetics, we observe considerable differences between samples grown with different techniques. While for GaN grown by metal-organic chemical vapor deposition, the accumulation of photo-conduction electrons results mainly from a combined trapping-hopping process (slow), where photo-generated electrons hop via shallow defect states to the conduction band (CB), in hydride vapor phase epitaxy and molecular beam epitaxy materials, a faster direct process involving electron transfer via CB states is also present. The time scales of both processes are quite insensitive to the doping level and crystal polarity. However, these processes become irrelevant for very high doping levels (both n- and p-types), where the width of the SCR is much smaller than the photon penetration depth, and therefore, most charge carriers are generated outside the SCR.

  3. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  4. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  5. Mg incorporation in GaN grown by plasma-assisted molecular beam epitaxy at high temperatures

    NASA Astrophysics Data System (ADS)

    Yang, W. C.; Lee, P. Y.; Tseng, H. Y.; Lin, C. W.; Tseng, Y. T.; Cheng, K. Y.

    2016-04-01

    The influence of growth conditions on the incorporation and activation of Mg in GaN grown by plasma-assisted molecular beam epitaxy at high growth temperature (>700 °C) is presented. It is found that the highest Mg incorporation with optimized electrical properties is highly sensitive both to the Mg/Ga flux ratio and III/V flux ratio. A maximum Mg activation of ~5% can be achieved at a growth temperature of 750 °C. The lowest resistivity achieved is 0.56 Ω-cm which is associated with a high hole mobility of 6.42 cm2/V-s and a moderately high hole concentration of 1.7×1018 cm-3. Although the highest hole concentration achieved in a sample grown under a low III/V flux ratio and a high Mg/Ga flux ratio reaches 7.5×1018 cm-3, the mobility is suffered due to the formation of defects by the excess Mg. In addition, we show that modulated beam growth methods do not enhance Mg incorporation at high growth temperature in contrast to those grown at a low temperature of 500 °C (Appl. Phys. Lett. 93, 172112, Namkoong et al., 2008 [19]).

  6. Growth rate independence of Mg doping in GaN grown by plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Turski, Henryk; Muzioł, Grzegorz; Siekacz, Marcin; Wolny, Pawel; Szkudlarek, Krzesimir; Feduniewicz-Żmuda, Anna; Dybko, Krzysztof; Skierbiszewski, Czeslaw

    2018-01-01

    Doping of Ga(Al)N layers by plasma-assisted molecular beam epitaxy in Ga-rich conditions on c-plane bulk GaN substrates was studied. Ga(Al)N samples, doped with Mg or Si, grown using different growth conditions were compared. In contrast to Si doped layers, no change in the Mg concentration was observed for layers grown using different growth rates for a constant Mg flux and constant growth temperature. This effect enables the growth of Ga(Al)N:Mg layers at higher growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Change of Al content from 0% to 17% resulted in more than two times higher Mg concentration.

  7. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  8. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  9. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  10. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  11. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  12. The effect of surfactants on epitaxial growth of gallium nitride from gas phase in the Ga-HCl-NH3-H2-Ar system

    NASA Astrophysics Data System (ADS)

    Zhilyaev, Yu. V.; Zelenin, V. V.; Orlova, T. A.; Panteleev, V. N.; Poletaev, N. K.; Rodin, S. N.; Snytkina, S. A.

    2015-05-01

    We have studied epitaxial layers of gallium nitride (GaN) in a template composition grown by surfactant-mediated hydride-chloride vapor phase epitaxy. The surfactant component was provided by 5 mass % additives of antimony and indium to the source of gallium. Comparative analysis of the obtained results shows evidence of the positive influence of surfactants on the morphology of epitaxial GaN layers.

  13. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    PubMed

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  14. Intermediate Nucleation State of GaN Growth

    NASA Astrophysics Data System (ADS)

    Zheng, L. X.; Xie, M. H.; Tong, S. Y.

    2001-03-01

    Homoexpitaxial nucleation of GaN during molecular-beam epitaxy is followed by scanning tunneling microcopy (STM). We observe a metastable nucleation state, which manifests as “ghost” islands in STM images. These “ghost” islands can be irreversibly driven into normal islands by continuous STM imaging. It is further established that the “ghost” island formation is related to the presence of excess Ga atoms on the surface: Normal islands are only seen under the N-rich or stoichiometric flux condition, whereas “ghost” islands are observed under Ga-rich conditions. For intermediate excess-Ga coverages, both normal and “ghost” islands are present, however, they show distinctly different sizes, suggesting different nucleation states for the two. A growth model is proposed to account for the formation of metastable, “ghost” islands. Kinetic Monte Carlo simulation is carried out and main features of the surface are reproduced. We acknowledge financial support from HK RGC under grant Nos. 7396/00P, 7142/99P, and 7121/00P.

  15. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  16. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  17. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  18. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Meng; Bhattacharya, Pallab; Guo, Wei; Banerjee, Animesh

    2010-03-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 °C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1×1018 cm-3. The corresponding doping efficiency and hole mobility are ˜4.9% and 3.7 cm2/V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λpeak=529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  19. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  20. Growth Optimization of Metal-polar III-Nitride High-electron-mobility Transistor Structures by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen William

    GaN-based high-electron-mobility transistors (HEMTs) will play an important role in the next generation of high-frequency amplifiers and power-switching devices. Since parasitic conduction (leakage) through the GaN buffer layer and (Al,Ga,In)N barrier reduces the efficiency of operation, HEMT performance hinges on the epitaxial quality of these layers. Increasing the sheet charge density and mobility of the two-dimensional electron gas (2DEG) is also essential for reducing the channel resistance and improving output. The growth conditions applied in plasma-assisted molecular beam epitaxy (PAMBE) and ammonia-based molecular beam epitaxy (NH3-MBE) that result in high-quality metal-polar HEMT structures are described. The effects of threading dislocations on the gate leakage and channel conductivity of AlGaN/GaN HEMTs were studied in detail. For this purpose, a series of HEMT structures were grown on GaN templates with threading dislocation densities (TDDs) that spanned three orders of magnitude. There was a clear trend of reduced gate leakage with reduced TDD for HEMTs grown by Ga-rich PAMBE; however, a reduction in TDD also entailed an increase in buffer leakage. By reducing the unintentionally doped (UID) GaN buffer thickness and including an AlGaN back barrier, a HEMT regrown by Ga-rich PAMBE on low-TDD free-standing (FS) GaN (~5 x 107 cm-2 TDD) yielded a three-terminal breakdown voltage greater than 50 V and a power output (power-added efficiency) of 6.7 W/mm (50 %) at 4 GHz with a 40 V drain bias. High TDD was then shown to severely degrade the 2DEG mobility of AlxGa1-xN/GaN (x = 0.24, 0.12, 0.06) and AlGaN/AlN/GaN heterostructures grown by Ga-rich PAMBE. By regrowing on low-TDD FS GaN and including a 2.5 nm AlN interlayer, an Al0.24Ga0.76N/AlN/GaN heterostructure achieved a room temperature (RT) 2DEG sheet resistance of 169 Ω/□. As evidenced by atom probe tomography, the AlN interlayer grown by Ga-rich PAMBE was pure with abrupt interfaces. The pure Al

  1. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  2. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q.; Takahashi, T.; Matsuhata, H.

    2013-12-02

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayersmore » grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.« less

  3. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shen, X. Q.; Takahashi, T.; Rong, X.; Chen, G.; Wang, X. Q.; Shen, B.; Matsuhata, H.; Ide, T.; Shimizu, M.

    2013-12-01

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayers grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.

  4. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  5. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  6. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  7. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  8. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  9. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  10. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  11. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  12. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  13. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  14. Basic ammonothermal GaN growth in molybdenum capsules

    NASA Astrophysics Data System (ADS)

    Pimputkar, S.; Speck, J. S.; Nakamura, S.

    2016-12-01

    Single crystal, bulk gallium nitride (GaN) crystals were grown using the basic ammonothermal method in a high purity growth environment created using a non-hermetically sealed molybdenum (Mo) capsule and compared to growths performed in a similarly designed silver (Ag) capsule and capsule-free René 41 autoclave. Secondary ion mass spectrometry (SIMS) analysis revealed transition metal free (<1×1017 cm-3) GaN crystals. Anomalously low oxygen concentrations ((2-6)×1018 cm-3) were measured in a {0001} seeded crystal boule grown using a Mo capsule, despite higher source material oxygen concentrations ((1-5)×1019 cm-3) suggesting that molybdenum (or molybdenum nitrides) may act to getter oxygen under certain conditions. Total system pressure profiles from growth runs in a Mo capsule system were comparable to those without a capsule, with pressures peaking within 2 days and slowly decaying due to hydrogen diffusional losses. Measured Mo capsule GaN growth rates were comparable to un-optimized growth rates in capsule-free systems and appreciably slower than in Ag-capsule systems. Crystal quality replicated that of the GaN seed crystals for all capsule conditions, with high quality growth occurring on the (0001) Ga-face. Optical absorption and impurity concentration characterization suggests reduced concentrations of hydrogenated gallium vacancies (VGa-Hx).

  15. Continuous-Flow MOVPE of Ga-Polar GaN Column Arrays and Core-Shell LED Structures

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Mohajerani, Matin Sadat; Ledig, Johannes; Wehmann, Hergo-Heinrich; Mandl, Martin; Strassburg, Martin; Steegmüller, Ulrich; Jahn, Uwe; Lähnemann, Jonas; Riechert, Henning; Griffiths, Ian; Cherns, David; Waag, Andreas

    2013-06-01

    Arrays of dislocation free uniform Ga-polar GaN columns have been realized on patterned SiOx/GaN/sapphire templates by metal organic vapor phase epitaxy using a continuous growth mode. The key parameters and the physical principles of growth of Ga-polar GaN three-dimensional columns are identified, and their potential for manipulating the growth process is discussed. High aspect ratio columns have been achieved using silane during the growth, leading to n-type columns. The vertical growth rate increases with increasing silane flow. In a core-shell columnar LED structure, the shells of InGaN/GaN multi quantum wells and p-GaN have been realized on a core of n-doped GaN column. Cathodoluminescence gives insight into the inner structure of these core-shell LED structures.

  16. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  17. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  19. Grouped and Multistep Nanoheteroepitaxy: Toward High-Quality GaN on Quasi-Periodic Nano-Mask.

    PubMed

    Feng, Xiaohui; Yu, Tongjun; Wei, Yang; Ji, Cheng; Cheng, Yutian; Zong, Hua; Wang, Kun; Yang, Zhijian; Kang, Xiangning; Zhang, Guoyi; Fan, Shoushan

    2016-07-20

    A novel nanoheteroepitaxy method, namely, the grouped and multistep nanoheteroepitaxy (GM-NHE), is proposed to attain a high-quality gallium nitride (GaN) epilayer by metal-organic vapor phase epitaxy. This method combines the effects of sub-100 nm nucleation and multistep lateral growth by using a low-cost but unique carbon nanotube mask, which consists of nanoscale growth windows with a quasi-periodic 2D fill factor. It is found that GM-NHE can facilely reduce threading dislocation density (TDD) and modulate residual stress on foreign substrate without any regrowth. As a result, high-quality GaN epilayer is produced with homogeneously low TDD of 4.51 × 10(7) cm(-2) and 2D-modulated stress, and the performance of the subsequent 410 nm near-ultraviolet light-emitting diode is greatly boosted. In this way, with the facile fabrication of nanomask and the one-off epitaxy procedure, GaN epilayer is prominently improved with the assistance of nanotechnology, which demonstrates great application potential for high-efficiency TDD-sensitive optoelectronic and electronic devices.

  20. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  1. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    NASA Astrophysics Data System (ADS)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  2. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  3. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  4. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  5. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  6. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  7. Fabrication of selective-area growth InGaN LED by mixed-source hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Bae, Sung Geun; Jeon, Injun; Jeon, Hunsoo; Kim, Kyoung Hwa; Yang, Min; Yi, Sam Nyung; Lee, Jae Hak; Ahn, Hyung Soo; Yu, Young Moon; Sawaki, Nobuhiko; Kim, Suck-Whan

    2018-01-01

    We prepared InGaN light-emitting diodes (LEDs) with the active layers grown from a mixed source of Ga-In-N materials on an n-type GaN substrate by a selective-area growth method and three fabrication steps: photolithography, epitaxial layer growth, and metallization. The preparation followed a previously developed experimental process using apparatus for mixed-source hydride vapor-phase epitaxy (HVPE), which consisted of a multi-graphite boat, for insulating against the high temperature and to control the growth rate of epilayers, filled with the mixed source on the inside and a radio-frequency (RF) heating coil for heating to a high temperature (T > 900 °C) and for easy control of temperature outside the source zone. Two types of LEDs were prepared, with In compositions of 11.0 and 6.0% in the InGaN active layer, and room-temperature electroluminescence measurements exhibited a main peak corresponding to the In composition at either 420 or 390 nm. The consecutive growth of InGaN LEDs by the mixed-source HVPE method provides a technique for the production of LEDs with a wide range of In compositions in the active layer.

  8. Miscut dependent surface evolution in the process of N-polar GaN(000 1 bar) growth under N-rich condition

    NASA Astrophysics Data System (ADS)

    Krzyżewski, Filip; Załuska-Kotur, Magdalena A.; Turski, Henryk; Sawicka, Marta; Skierbiszewski, Czesław

    2017-01-01

    The evolution of surface morphology during the growth of N-polar (000 1 bar) GaN under N-rich conditions is studied by kinetic Monte Carlo (kMC) simulations for two substrates miscuts 2° and 4°. The results are compared with experimentally observed surface morphologies of (000 1 bar) GaN layers grown by plasma-assisted molecular beam epitaxy. The proposed kMC two-component model of GaN(000 1 bar) surface where both types of atoms, nitrogen and gallium, attach to the surface and diffuse independently shows that at relatively high rates of the step flow (miscut angle < 2 °) the low mobility of gallium adatoms causes surface instabilities and leads to experimentally observed roughening while for low rates of the step flow (miscut 4°), smooth surface can be obtained. In the presence of almost immobile nitrogen atoms under N-rich conditions crystal growth is realized by the process of two-dimensional island nucleation and coalescence. Larger crystal miscut, lower growth rate or higher temperature results in similar effect of the surface smoothening. We show that the surface also smoothens for the growth conditions with very high N-excess. In the presence of large number of nitrogen atoms the mobility of gallium atoms changes locally thus providing easier coalescence of separated island.

  9. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  10. Homogeneous AlGaN/GaN superlattices grown on free-standing (1100) GaN substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Jiayi; Malis, Oana; Physics Department, Purdue University, West Lafayette, Indiana 47907

    Two-dimensional and homogeneous growth of m-plane AlGaN by plasma-assisted molecular beam epitaxy has been realized on free-standing (1100) GaN substrates by implementing high metal-to-nitrogen (III/N) flux ratio. AlN island nucleation, often reported for m-plane AlGaN under nitrogen-rich growth conditions, is suppressed at high III/N flux ratio, highlighting the important role of growth kinetics for adatom incorporation. The homogeneity and microstructure of m-plane AlGaN/GaN superlattices are assessed via a combination of scanning transmission electron microscopy and high resolution transmission electron microscopy (TEM). The predominant defects identified in dark field TEM characterization are short basal plane stacking faults (SFs) bounded by eithermore » Frank-Shockley or Frank partial dislocations. In particular, the linear density of SFs is approximately 5 × 10{sup −5} cm{sup −1}, and the length of SFs is less than 15 nm.« less

  11. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  12. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    NASA Astrophysics Data System (ADS)

    Ohta, Akio; Truyen, Nguyen Xuan; Fujimura, Nobuyuki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The energy distribution of the electronic state density of wet-cleaned epitaxial GaN surfaces and SiO2/GaN structures has been studied by total photoelectron yield spectroscopy (PYS). By X-ray photoelectron spectroscopy (XPS) analysis, the energy band diagram for a wet-cleaned epitaxial GaN surface such as the energy level of the valence band top and electron affinity has been determined to obtain a better understanding of the measured PYS signals. The electronic state density of GaN surface with different carrier concentrations in the energy region corresponding to the GaN bandgap has been evaluated. Also, the interface defect state density of SiO2/GaN structures was also estimated by not only PYS analysis but also capacitance–voltage (C–V) characteristics. We have demonstrated that PYS analysis enables the evaluation of defect state density filled with electrons at the SiO2/GaN interface in the energy region corresponding to the GaN midgap, which is difficult to estimate by C–V measurement of MOS capacitors.

  13. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration wasmore » 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.« less

  14. Droplet heteroepitaxy of zinc-blende vs. wurtzite GaN quantum dots

    NASA Astrophysics Data System (ADS)

    Reese, C.; Jeon, S.; Hill, T.; Jones, C.; Shusterman, S.; Yacoby, Y.; Clarke, R.; Deng, H.; Goldman, Rs

    We have developed a GaN droplet heteroepitaxy process based upon plasma-assisted molecular-beam epitaxy. Using various surface treatments and Ga deposition parameters, we have demonstrated polycrystalline, zinc-blende (ZB), and wurtzite (WZ) GaN quantum dots (QDs) on Si(001), r-Al2O3, Si(111), and c-GaN substrates. For the polar substrates (i.e. Si(111) and c-GaN), high-resolution transmission electron microscopy and coherent Bragg rod analysis reveals the formation of coherent WZ GaN QDs with nitridation-temperature-dependent sizes and densities. For the non-polar substrates (i.e. Si(001) and r-Al2O3) , QDs with strong near-band photoluminescence emission are observed and ZB GaN QD growth on Si(001) is demonstrated for the first time.

  15. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  16. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  17. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of themore » (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.« less

  18. Isotype InGaN/GaN heterobarrier diodes by ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fireman, Micha N.; Browne, David A.; Speck, James S.

    The design of isotype InGaN/GaN heterobarrier diode structures grown by ammonia molecular beam epitaxy is presented. On the (0001) Ga-polar plane, a structure consisting of a surface n{sup +} GaN contact layer, followed by a thin InGaN layer, followed by a thick unintentionally doped (UID) GaN layer, and atop a buried n{sup +} GaN contact layer induces a large conduction band barrier via a depleted UID GaN layer. Suppression of reverse and subthreshold current in such isotype barrier devices under applied bias depends on the quality of this composite layer polarization. Sample series were grown under fixed InGaN growth conditionsmore » that varied either the UID GaN NH{sub 3} flow rate or the UID GaN thickness, and under fixed UID GaN growth conditions that varied InGaN growth conditions. Decreases in subthreshold current and reverse bias current were measured for thicker UID GaN layers and increasing InGaN growth rates. Temperature-dependent analysis indicated that although extracted barrier heights were lower than those predicted by 1D Schrödinger Poisson simulations (0.9 eV–1.4 eV for In compositions from 10% to 15%), optimized growth conditions increased the extracted barrier height from ∼11% to nearly 85% of the simulated values. Potential subthreshold mechanisms are discussed, along with those growth factors which might affect their prevalence.« less

  19. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  20. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  1. In vacancies in InN grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Reurings, Floris; Tuomisto, Filip; Gallinat, Chad S.; Koblmüller, Gregor; Speck, James S.

    2010-12-01

    The authors have applied positron annihilation spectroscopy to study the effect of different growth conditions on vacancy formation in In- and N-polar InN grown by plasma-assisted molecular beam epitaxy. The results suggest that the structural quality of the material and limited diffusion of surface adatoms during growth dictate the In vacancy formation in low electron-density undoped epitaxial InN, while growth conditions and thermodynamics have a less important role, contrary to what is observed in, e.g., GaN. Furthermore, the results imply that in high quality InN, the electron mobility is likely limited not by ionized point defect scattering, but rather by threading dislocations.

  2. Novel symmetry in the growth of gallium nitride on magnesium aluminate substrates

    NASA Astrophysics Data System (ADS)

    George, T.; Jacobsohn, E.; Pike, W. T.; Chang-Chien, P.; Khan, M. A.; Yang, J. W.; Mahajan, S.

    1996-01-01

    The growth of GaN by metalorganic chemical vapor deposition on (111) and (100) magnesium aluminate (MgAl2O4) substrates is examined using transmission electron microscopy. The results indicate that mainly wurtzite GaN is grown for both orientations. On the (111) substrate the following epitaxial relationship is observed: (0001)GaN ∥ (111)MgAl2O4, and [112¯0]GaN ∥ [11¯0]MgAl2O4. During the early stages of the (100) growth, four orientations of the wurtzite phase and a zinc-blende phase are formed. With increasing thickness, one of the wurtzite orientations dominates, with the epitaxial relationship being (11¯01)GaN ∥ (100)MgAl2O4 and the [112¯0]GaN nearly parallel to [011]MgAl2O4. This choice of growth orientation appears to be determined primarily by the nature of the interfacial bonding, with the basal plane of each of the four wurtzite GaN variants being nearly aligned along one of the four {111} planes intersecting the (100) surface of the MgAl2O4.

  3. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.

    2015-10-21

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N{sub 2} while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N{sub 2} and 7.7 sccm Ar flows at 600 W radio frequency power, for which themore » standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10{sup 16} to 3.8 × 10{sup 19} cm{sup −3} were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10{sup 15} cm{sup −3}. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still

  4. Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate

    PubMed Central

    Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan

    2014-01-01

    GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601

  5. Radical Beam Gettering Epitaxy of Zno and Gan

    NASA Astrophysics Data System (ADS)

    Georgobiani, A. N.; Demin, V. I.; Vorobiev, M. O.; Gruzintsev, A. N.; Hodos, I. I.; Kotljarevsky, M. B.; Kidalov, V. V.; Rogozin, I. V.

    2002-11-01

    P-type ZnO layers with a hole mobility about 23 cm2/(V s), and a hole concentration about 1015 cm-3 were grown by means of radical-beam gettering epitaxy (the annealing of n-ZnO single crystals in atomic oxygen flux). The effect of native defects on the photoluminescence spectra of the layers was studied. The dominant bands in the spectra peaked at 370.2 and 400 nm. These bands were attributed to the annihilation of exciton localised on neutral Vzn and to electron transitions from the conduction band to singly positively charged Vzn correspondingly. The effect of annealing in atomic nitrogen flux of p-CaN:Mg films on their photoluminescence spectra and on the value of their conductivity were studied. Such annealing leads to appearance of a number of emission bands that peaked at 404.9, 390.8 and 378.9 nm and increases hole concentration from 5 × 1015 to 5 × 1016 cm-3, and the hole mobility from 120 to 150 cm2/(V s). The n-ZnO - p-GaN:Mg electroluminescence heterostructures were obtained. Their spectrum contains bands in the excitonic region of GaN at the wavelength 360.2 nm and in the edge region at wavelengths 378.9 and 390.8 nm.

  6. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  7. Modeling of Gallium Nitride Hydride Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A reactor model for the hydride vapor phase epitaxy of GaN is presented. The governing flow, energy, and species conservation equations are solved in two dimensions to examine the growth characteristics as a function of process variables and reactor geometry. The growth rate varies with GaCl composition but independent of NH3 and H2 flow rates. A change in carrier gas for Ga source from H2 to N2 affects the growth rate and uniformity for a fixed reactor configuration. The model predictions are in general agreement with observed experimental behavior.

  8. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  9. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  10. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  11. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  12. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  13. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  14. Less strained and more efficient GaN light-emitting diodes with embedded silica hollow nanospheres

    PubMed Central

    Kim, Jonghak; Woo, Heeje; Joo, Kisu; Tae, Sungwon; Park, Jinsub; Moon, Daeyoung; Park, Sung Hyun; Jang, Junghwan; Cho, Yigil; Park, Jucheol; Yuh, Hwankuk; Lee, Gun-Do; Choi, In-Suk; Nanishi, Yasushi; Han, Heung Nam; Char, Kookheon; Yoon, Euijoon

    2013-01-01

    Light-emitting diodes (LEDs) become an attractive alternative to conventional light sources due to high efficiency and long lifetime. However, different material properties between GaN and sapphire cause several problems such as high defect density in GaN, serious wafer bowing, particularly in large-area wafers, and poor light extraction of GaN-based LEDs. Here, we suggest a new growth strategy for high efficiency LEDs by incorporating silica hollow nanospheres (S-HNS). In this strategy, S-HNSs were introduced as a monolayer on a sapphire substrate and the subsequent growth of GaN by metalorganic chemical vapor deposition results in improved crystal quality due to nano-scale lateral epitaxial overgrowth. Moreover, well-defined voids embedded at the GaN/sapphire interface help scatter lights effectively for improved light extraction, and reduce wafer bowing due to partial alleviation of compressive stress in GaN. The incorporation of S-HNS into LEDs is thus quite advantageous in achieving high efficiency LEDs for solid-state lighting. PMID:24220259

  15. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  16. Energetics of cubic and hexagonal phases in Mn-doped GaN : First-principles pseudopotential calculations

    NASA Astrophysics Data System (ADS)

    Choi, Eun-Ae; Kang, Joongoo; Chang, K. J.

    2006-12-01

    We perform first-principles pseudopotential calculations to study the influence of Mn doping on the stability of two polytypes, wurtzite and zinc-blende, in GaN . In Mn δ -doped GaN and GaMnN alloys, we find similar critical concentrations of the Mn ions for stabilizing the zinc-blende phase against the wurtzite phase. Using a slab geometry of hexagonal lattices, we find that it is energetically unfavorable to form inversion domains with Mn exposure, in contrast to Mg doping. At the initial stage of epitaxial growth, a stacking fault that leads to the cubic bonds can be generated with the Mn exposure to the Ga-polar surface. However, the influence of the Mn δ -doped layer on the formation of the cubic phase is only effective for GaN layers deposited up to two monolayers. We find that the Mn ions are energetically more stable on the growth front than in the bulk, indicating that these ions act as a surfactant. Thus it is possible to grow cubic GaN if the Mn ions are periodically supplied or diffuse out from the Mn δ -doped layer to the growth front during the growth process.

  17. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  18. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  19. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  20. Growth process for gallium nitride porous nanorods

    DOEpatents

    Wildeson, Isaac Harshman; Sands, Timothy David

    2015-03-24

    A GaN nanorod and formation method. Formation includes providing a substrate having a GaN film, depositing SiN.sub.x on the GaN film, etching a growth opening through the SiN.sub.x and into the GaN film, growing a GaN nanorod through the growth opening, the nanorod having a nanopore running substantially through its centerline. Focused ion beam etching can be used. The growing can be done using organometallic vapor phase epitaxy. The nanopore diameter can be controlled using the growth opening diameter or the growing step duration. The GaN nanorods can be removed from the substrate. The SiN.sub.x layer can be removed after the growing step. A SiO.sub.x template can be formed on the GaN film and the GaN can be grown to cover the SiO.sub.x template before depositing SiN.sub.x on the GaN film. The SiO.sub.x template can be removed after growing the nanorods.

  1. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  2. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  3. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  4. Structural and optical properties of InGaN-GaN nanowire heterostructures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Limbach, F.; Gotschke, T.; Stoica, T.; Calarco, R.; Sutter, E.; Ciston, J.; Cusco, R.; Artus, L.; Kremling, S.; Höfling, S.; Worschech, L.; Grützmacher, D.

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaN to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.

  5. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  6. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  7. Dislocation filtering in GaN nanostructures.

    PubMed

    Colby, Robert; Liang, Zhiwen; Wildeson, Isaac H; Ewoldt, David A; Sands, Timothy D; García, R Edwin; Stach, Eric A

    2010-05-12

    Dislocation filtering in GaN by selective area growth through a nanoporous template is examined both by transmission electron microscopy and numerical modeling. These nanorods grow epitaxially from the (0001)-oriented GaN underlayer through the approximately 100 nm thick template and naturally terminate with hexagonal pyramid-shaped caps. It is demonstrated that for a certain window of geometric parameters a threading dislocation growing within a GaN nanorod is likely to be excluded by the strong image forces of the nearby free surfaces. Approximately 3000 nanorods were examined in cross-section, including growth through 50 and 80 nm diameter pores. The very few threading dislocations not filtered by the template turn toward a free surface within the nanorod, exiting less than 50 nm past the base of the template. The potential active region for light-emitting diode devices based on these nanorods would have been entirely free of threading dislocations for all samples examined. A greater than 2 orders of magnitude reduction in threading dislocation density can be surmised from a data set of this size. A finite element-based implementation of the eigenstrain model was employed to corroborate the experimentally observed data and examine a larger range of potential nanorod geometries, providing a simple map of the different regimes of dislocation filtering for this class of GaN nanorods. These results indicate that nanostructured semiconductor materials are effective at eliminating deleterious extended defects, as necessary to enhance the optoelectronic performance and device lifetimes compared to conventional planar heterostructures.

  8. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  9. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  10. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  11. Method of growing GaN films with a low density of structural defects using an interlayer

    DOEpatents

    Bourret-Courchesne, Edith D.

    2003-01-01

    A dramatic reduction of the dislocation density in GaN was obtained by insertion of a single thin interlayer grown at an intermediate temperature (IT-IL) after the growth of an initial grown at high temperature. A description of the growth process is presented with characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend near the interlayer and do not propagate into the top layer which grows at higher temperature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the epitaxial lateral overgrowth process, however a notable difference is the absence of coalescence boundaries.

  12. Selective heteroepitaxy on deeply grooved substrate: A route to low cost semipolar GaN platforms of bulk quality

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tendille, Florian, E-mail: florian.tendille@crhea.cnrs.fr; Vennéguès, Philippe; De Mierry, Philippe

    2016-08-22

    Semipolar GaN crystal stripes larger than 100 μm with dislocation densities below 5 × 10{sup 6} cm{sup −2} are achieved using a low cost fabrication process. An original sapphire patterning procedure is proposed, enabling selective growth of semipolar oriented GaN stripes while confining the defects to specific areas. Radiative and non-radiative crystalline defects are investigated by cathodoluminescence and can be correlated to the development of crystal microstructure during the growth process. A dislocation reduction mechanism, supported by transmission electron microscopy, is proposed. This method represents a step forward toward low-cost quasi-bulk semipolar GaN epitaxial platforms with an excellent structural quality which will allowmore » for even more efficient III-nitride based devices.« less

  13. GaN Initiative for Grid Applications (GIGA)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turner, George

    2015-07-03

    For nearly 4 ½ years, MIT Lincoln Laboratory (MIT/LL) led a very successful, DoE-funded team effort to develop GaN-on-Si materials and devices, targeting high-voltage (>1 kV), high-power, cost-effective electronics for grid applications. This effort, called the GaN Initiative for Grid Applications (GIGA) program, was initially made up of MIT/LL, the MIT campus group of Prof. Tomas Palacios (MIT), and the industrial partner M/A Com Technology Solutions (MTS). Later in the program a 4th team member was added (IQE MA) to provide commercial-scale GaN-on-Si epitaxial materials. A basic premise of the GIGA program was that power electronics, for ubiquitous utilization -evenmore » for grid applications - should be closer in cost structure to more conventional Si-based power electronics. For a number of reasons, more established GaN-on-SiC or even SiC-based power electronics are not likely to reach theses cost structures, even in higher manufacturing volumes. An additional premise of the GIGA program was that the technical focus would be on materials and devices suitable for operating at voltages > 1 kV, even though there is also significant commercial interest in developing lower voltage (< 1 kV), cost effective GaN-on-Si devices for higher volume applications, like consumer products. Remarkable technical progress was made during the course of this program. Advances in materials included the growth of high-quality, crack-free epitaxial GaN layers on large-diameter Si substrates with thicknesses up to ~5 μm, overcoming significant challenges in lattice mismatch and thermal expansion differences between Si and GaN in the actual epitaxial growth process. Such thick epilayers are crucial for high voltage operation of lateral geometry devices such as Schottky barrier (SB) diodes and high electron mobility transistors (HEMTs). New “Normally-Off” device architectures were demonstrated – for safe operation of power electronics circuits. The trade-offs between lateral

  14. Thermodynamic considerations of the vapor phase reactions in III-nitride metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2017-04-01

    We analyzed the metal organic vapor phase epitaxial growth mechanism of the III-nitride semiconductors GaN, AlN, and InN by first-principles calculations and thermodynamic analyses. In these analyses, we investigated the decomposition processes of the group III source gases X(CH3)3 (X = Ga, Al, In) at finite temperatures and determined whether the (CH3)2GaNH2 adduct can be formed or not. The results of our calculations show that the (CH3)2GaNH2 adduct cannot be formed in the gas phase in GaN metal organic vapor phase epitaxy (MOVPE), whereas, in AlN MOVPE, the formation of the (CH3)2AlNH2 adduct in the gas phase is exclusive. In the case of GaN MOVPE, trimethylgallium (TMG, [Ga(CH3)3]) decomposition into Ga gas on the growth surface with the assistance of H2 carrier gas, instead of the formation of the (CH3)2GaNH2 adduct, occurs almost exclusively. Moreover, in the case of InN MOVPE, the formation of the (CH3)2InNH2 adduct does not occur and it is relatively easy to produce In gas even without H2 in the carrier gas.

  15. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  16. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Impact of excess In-atoms at high growth temperature

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Imai, Daichi; Hwang, Eun-Sook

    2016-12-01

    The growth kinetics of nominally one-monolayer (˜1-ML)-thick InN wells on/in the +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the impacts of excess In atoms and/or In droplets at a high growth temperature of 650 °C. Even at a constant growth temperature of 650 °C, the thickness of the sheet-island-like InN-well layers could be controlled/varied from 1-ML to 2-ML owing to the effect of excess In atoms and/or In droplets accumulated during growth. The possible growth mechanism is discussed based on the ring-shaped bright cathodoluminescence emissions introduced along the circumference of the In droplets during growth. The effective thermal stability of N atoms below the bilayer adsorbed In atoms was increased by the presence of In droplets, resulting in the freezing of 2-ML-thick InN wells into the GaN matrix. It therefore became possible to study the difference between the emission properties of 1-ML and 2-ML-thick InN wells/GaN matrix quantum wells (QWs) having similar GaN matrix crystallinity grown at the same temperature. InN/GaN QW-samples grown under widely different In + N* supply conditions characteristically separated into two groups with distinctive emission-peak wavelengths originating from 1-ML and 2-ML-thick InN wells embedded in the GaN matrix. Reflecting the growth mechanism inherent to the D-ALEp of InN on/in the +c-GaN matrix at high temperature, either 1-ML or 2-ML-thick "binary" InN well layers tended to be frozen into the GaN matrix rather InGaN random ternary-alloys. Both the structural quality and uniformity of the 1-ML InN well sample were better than those of the 2-ML InN well sample, essentially owing to the quite thin critical thickness of around 1-ML arising from the large lattice mismatch of InN and GaN.

  17. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  18. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  19. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  20. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  1. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  2. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  3. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  4. Reactor design rules for GaN epitaxial layer growths on sapphire in metal-organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Kim, Keunjoo; Noh, Sam Kyu

    2000-08-01

    The thermal process of the growth of GaN-based semiconductors was analysed for two home-made horizontal reactors. The reactors were designed to make the ammonia gas flow in the opposite direction to the main gas flow. For two horizontal reactors different in dimension, the low Reynolds numbers of Re = 2.94 and 4.15 were chosen for stable laminar flow and the Rayleigh numbers governing the heat convection were optimized to the values of Ra = 6.0 and 76.2, respectively. The qualities of GaN and InGaN films were characterized by Hall effect measurement, x-ray diffraction and photoluminescence and compared with respect to the reactor dependency.

  5. Breaking the GaN material limits with nanoscale vertical polarisation super junction structures: A simulation analysis

    NASA Astrophysics Data System (ADS)

    Unni, Vineet; Sankara Narayanan, E. M.

    2017-04-01

    This is the first report on the numerical analysis of the performance of nanoscale vertical superjunction structures based on impurity doping and an innovative approach that utilizes the polarisation properties inherent in III-V nitride semiconductors. Such nanoscale vertical polarisation super junction structures can be realized by employing a combination of epitaxial growth along the non-polar crystallographic axes of Wurtzite GaN and nanolithography-based processing techniques. Detailed numerical simulations clearly highlight the limitations of a doping based approach and the advantages of the proposed solution for breaking the unipolar one-dimensional material limits of GaN by orders of magnitude.

  6. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  7. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  8. Structural and optical properties of InGaN--GaN nanowire heterostructures grown by molecular beam epitaxy

    DOE PAGES

    Limbach, F.; Gotschke, T.; Stoica, T.; ...

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaNmore » to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.« less

  9. Continuous-flux MOVPE growth of position-controlled N-face GaN nanorods and embedded InGaN quantum wells

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2010-07-01

    We demonstrate the fabrication of N-face GaN nanorods by metal organic vapour phase epitaxy (MOVPE), using continuous-flux conditions. This is in contrast to other approaches reported so far, which have been based on growth modes far off the conventional growth regimes. For position control of nanorods an SiO2 masking layer with a dense hole pattern on a c-plane sapphire substrate was used. Nanorods with InGaN/GaN heterostructures have been grown catalyst-free. High growth rates up to 25 µm h - 1 were observed and a well-adjusted carrier gas mixture between hydrogen and nitrogen enabled homogeneous nanorod diameters down to 220 nm with aspect ratios of approximately 8:1. The structural quality and defect progression within nanorods were determined by transmission electron microscopy (TEM). Different emission energies for InGaN quantum wells (QWs) could be assigned to different side facets by room temperature cathodoluminescence (CL) measurements.

  10. Continuous-flux MOVPE growth of position-controlled N-face GaN nanorods and embedded InGaN quantum wells.

    PubMed

    Bergbauer, W; Strassburg, M; Kölper, Ch; Linder, N; Roder, C; Lähnemann, J; Trampert, A; Fündling, S; Li, S F; Wehmann, H-H; Waag, A

    2010-07-30

    We demonstrate the fabrication of N-face GaN nanorods by metal organic vapour phase epitaxy (MOVPE), using continuous-flux conditions. This is in contrast to other approaches reported so far, which have been based on growth modes far off the conventional growth regimes. For position control of nanorods an SiO(2) masking layer with a dense hole pattern on a c-plane sapphire substrate was used. Nanorods with InGaN/GaN heterostructures have been grown catalyst-free. High growth rates up to 25 microm h(-1) were observed and a well-adjusted carrier gas mixture between hydrogen and nitrogen enabled homogeneous nanorod diameters down to 220 nm with aspect ratios of approximately 8:1. The structural quality and defect progression within nanorods were determined by transmission electron microscopy (TEM). Different emission energies for InGaN quantum wells (QWs) could be assigned to different side facets by room temperature cathodoluminescence (CL) measurements.

  11. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  12. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  13. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  14. Ab-initio study of boron incorporation and compositional limits at GaN and AlN (0001) surfaces

    NASA Astrophysics Data System (ADS)

    Lymperakis, L.

    2018-06-01

    Density functional theory calculations are employed to investigate B incorporation at the GaN(0001) and AlN(0001) surfaces. It is found that under typical metal-organic chemical vapor deposition (MOCVD) and metal rich molecular beam epitaxy (MBE) conditions, the maximum B contents at the surfaces are in the order of 3% for GaN and 15% for AlN. Under MBE N-rich growth conditions the calculations reveal a rehybridization enhanced solubility mechanism that dominates at the surface. This mechanism offers a promising route to kinetically stabilize B contents above the bulk solubility limit and as high as 25%.

  15. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  16. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  17. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  18. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  19. TOPICAL REVIEW: The doping process and dopant characteristics of GaN

    NASA Astrophysics Data System (ADS)

    Sheu, J. K.; Chi, G. C.

    2002-06-01

    The characteristic effects of doping with impurities such as Si, Ge, Se, O, Mg, Be, and Zn on the electrical and optical properties of GaN-based materials are reviewed. In addition, the roles of unintentionally introduced impurities, such as C, H, and O, and grown-in defects, such as vacancy and antisite point defects, are also discussed. The doping process during epitaxial growth of GaN, AlGaN, InGaN, and their superlattice structures is described. Doping using the diffusion process and ion implantation techniques is also discussed. A p-n junction formed by Si implantation into p-type GaN is successfully fabricated. The results on crystal structure, electrical resistivity, carrier mobility, and optical spectra obtained by means of x-rays, low-temperature Hall measurements, and photoluminescence are also discussed.

  20. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  1. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  2. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  3. Polarization of stacking fault related luminescence in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Pozina, G.; Forsberg, M.; Serban, E. A.; Hsiao, C.-L.; Junaid, M.; Birch, J.; Kaliteevski, M. A.

    2017-01-01

    Linear polarization properties of light emission are presented for GaN nanorods (NRs) grown along [0001] direction on Si(111) substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL) measured at low temperature for a single NR demonstrated an excitonic line at ˜3.48 eV and the stacking faults (SFs) related transition at ˜3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  4. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  5. Physical origin of the incubation time of self-induced GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consonni, V.; Trampert, A.; Geelhaar, L.

    2011-07-18

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 {+-} 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  6. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  7. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  8. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    NASA Astrophysics Data System (ADS)

    Freitas, Jaime A., Jr.; Feigelson, Boris N.; Anderson, Travis J.

    2013-11-01

    Detailed spectrometry and optical spectroscopy studies carried out on GaN crystals grown in solution detect and identify Mg as the dominant shallow acceptor. Selective etching of crystals with higher Mg levels than that of the donor concentration background indicates that Mg acceptors incorporate preferentially in the N-polar face. Electrical transport measurements verified an efficient incorporation and activation of the Mg acceptors. These results suggest that this growth method has the potential to produce p-type doped epitaxial layers or p-type substrates characterized by high hole concentration and low defect density.

  9. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  10. Vacancy-type defects in Mg-doped GaN grown by ammonia-based molecular beam epitaxy probed using a monoenergetic positron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uedono, Akira; Malinverni, Marco; Martin, Denis

    Vacancy-type defects in Mg-doped GaN were probed using a monoenergetic positron beam. GaN films with a thickness of 0.5–0.7 μm were grown on GaN/sapphire templates using ammonia-based molecular beam epitaxy and characterized by measuring Doppler broadening spectra. Although no vacancies were detected in samples with a Mg concentration [Mg] below 7 × 10{sup 19 }cm{sup −3}, vacancy-type defects were introduced starting at above [Mg] = 1 × 10{sup 20 }cm{sup −3}. The major defect species was identified as a complex between Ga vacancy (V{sub Ga}) and multiple nitrogen vacancies (V{sub N}s). The introduction of vacancy complexes was found to correlate with a decreasemore » in the net acceptor concentration, suggesting that the defect introduction is closely related to the carrier compensation. We also investigated Mg-doped GaN layers grown using In as the surfactant. The formation of vacancy complexes was suppressed in the subsurface region (≤80 nm). The observed depth distribution of defects was attributed to the thermal instability of the defects, which resulted in the introduction of vacancy complexes during the deposition process.« less

  11. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  12. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  13. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  14. Epitaxy of Polar Oxides and Semiconductors

    NASA Astrophysics Data System (ADS)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  15. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  16. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  17. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  18. Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties

    DTIC Science & Technology

    2010-04-01

    observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b

  19. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  20. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  1. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  2. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  3. Electron mobility of self-assembled and dislocation free InN nanorods grown on GaN nano wall network template

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tangi, Malleswararao; De, Arpan; Ghatak, Jay

    2016-05-28

    A kinetically controlled two-step growth process for the formation of an array of dislocation free high mobility InN nanorods (NRs) on GaN nanowall network (NWN) by Molecular Beam Epitaxy is demonstrated here. The epitaxial GaN NWN is formed on c-sapphire under nitrogen rich conditions, and then changing the source from Ga to In at appropriate substrate temperature yields the nucleation of a self assembled spontaneous m-plane side faceted-InN NR. By HRTEM, the NRs are shown to be dislocation-free and have a low band gap value of 0.65 eV. Hall measurements are carried out on a single InN NR along with J-Vmore » measurements that yield mobility values as high as ≈4453 cm{sup 2}/V s and the carrier concentration of ≈1.1 × 10{sup 17} cm{sup −3}, which are unprecedented in the literature for comparable InN NR diameters.« less

  4. Study on GaN nanostructures: Growth and the suppression of the yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, Ting; Chen, Fei; Ji, Xiaohong; Zhang, Qinyuan

    2018-07-01

    GaN nanostructures were synthesized via a simple chemical vapor deposition using Ga2O3 and NH3 as precursors. Structural and morphological properties were systematically characterized by field emission scanning electron microscopy, X-ray diffractometer, transmission electron microscopy, and Raman spectroscopy. The configuration of GaN nanostructures was found to be strongly dependent on the growth temperature and the NH3 flow rate. Photoluminescence analysis revealed that all the fabricated GaN NSs exhibited a strong ultra-violet emission (∼364 nm), and the yellow emission of GaN nanorods can be suppressed at appropriate III/V ratio. The suppression of the yellow emission was attributed to the low density of surface or the VGa defect. The work demonstrates that the GaN nanostructures have potential applications in the optoelectronic and nanoelectronic devices.

  5. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  6. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  7. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    PubMed

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  8. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  9. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  10. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  11. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  12. Strong geometrical effects in submillimeter selective area growth and light extraction of GaN light emitting diodes on sapphire

    DOE PAGES

    Tanaka, Atsunori; Chen, Renjie; Jungjohann, Katherine L.; ...

    2015-11-27

    Advanced semiconductor devices often utilize structural and geometrical effects to tailor their characteristics and improve their performance. Our detailed understanding of such geometrical effects in the epitaxial selective area growth of GaN on sapphire substrates is reported here, and we utilize them to enhance light extraction from GaN light emitting diodes. Systematic size and spacing effects were performed side-by-side on a single 2” sapphire substrate to minimize experimental sampling errors for a set of 144 pattern arrays with circular mask opening windows in SiO 2. We show that the mask opening diameter leads to as much as 4 times increasemore » in the thickness of the grown layers for 20 μm spacings and that spacing effects can lead to as much as 3 times increase in thickness for a 350 μm dot diameter. We also observed that the facet evolution in comparison with extracted Ga adatom diffusion lengths directly influences the vertical and lateral overgrowth rates and can be controlled with pattern geometry. Lastly, such control over the facet development led to 2.5 times stronger electroluminescence characteristics from well-faceted GaN/InGaN multiple quantum well LEDs compared to non-faceted structures.« less

  13. Analysis of channel confined selective area growth in evolutionary growth of GaN on SiO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leung, Benjamin; Tsai, Miao-Chan; Song, Jie

    2015-09-01

    Here, we analyze the chemical vapor deposition of semiconductor crystals by selective area growth in a non-planar geometry. Specifically, the growth process in laterally and vertically confined masks forming single-crystal GaN on SiO2 by metal-organic chemical vapor deposition is considered in detail. A textured AlN seed is used to initiate growth of oriented GaN selectively through the mask, allowing the reduction of degrees of freedom by the evolutionary grain selection process. As shown by measurements of growth rates within the mask, the sub micron length scale of the channel opening is comparable to the mean free path of precursors inmore » the gas phase, resulting in transport characteristics that can be described by an intermediate flow regime between continuum and free-molecular. Mass transport is modeled through kinetic theory to explain the growth rate enhancements of more than a factor of two by changes in reactor pressure. The growth conditions that enable the modification of nucleation density within the channel are then discussed, and are measured by electron-back scatter diffraction of the nucleated grains on the AlN seed. Finally, the selectivity behavior using the low fill factor masks needed in these configurations has been optimized by control of precursor flow rates and the H2 enhanced etching of the polycrystalline GaN nuclei.« less

  14. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  15. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  16. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  17. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  18. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    PubMed

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  19. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  20. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  1. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  2. Properties of GaN grown on sapphire substrates

    NASA Technical Reports Server (NTRS)

    Crouch, R. K.; Debnam, W. J.; Fripp, A. L.

    1978-01-01

    Epitaxial growth of GaN on sapphire substrates using an open-tube growth furnace has been carried out to study the effects of substrate orientation and transfer gas upon the properties of the layers. It has been found that for the (0001) substrates, surface appearance was virtually independent of carrier gas and of doping levels. For the (1(-1)02) substrates surface faceting was greatly reduced when He was used as a transfer gas as opposed to H2. Faceting was also reduced when the GaN was doped with Zn, and the best surfaces for the (1(-1)02) substrates were obtained in a Zn-doped run using He as the transfer gas. The best sample in terms of electrical properties for the (1(-1)02) substrate had a mobility greater than 400 sq cm/V per sec and a carrier concentration of about 10 to the 17th per cu cm. This sample was undoped and used He as the transfer gas. The best (0001) sample was also grown undoped with He as the transfer gas and had a mobility of 300 sq cm/V per sec and a carrier concentration of 1 x 10 to the 18th per cu cm.

  3. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  4. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    PubMed

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  5. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers

    PubMed Central

    2012-01-01

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm. PMID:23134721

  6. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  7. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  8. Exciton emission of quasi-2D InGaN in GaN matrix grown by molecular beam epitaxy

    PubMed Central

    Ma, Dingyu; Rong, Xin; Zheng, Xiantong; Wang, Weiying; Wang, Ping; Schulz, Tobias; Albrecht, Martin; Metzner, Sebastian; Müller, Mathias; August, Olga; Bertram, Frank; Christen, Jürgen; Jin, Peng; Li, Mo; Zhang, Jian; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Ge, Weikun; Shen, Bo; Wang, Xinqiang

    2017-01-01

    We investigate the emission from confined excitons in the structure of a single-monolayer-thick quasi-two-dimensional (quasi-2D) InxGa1−xN layer inserted in GaN matrix. This quasi-2D InGaN layer was successfully achieved by molecular beam epitaxy (MBE), and an excellent in-plane uniformity in this layer was confirmed by cathodoluminescence mapping study. The carrier dynamics have also been investigated by time-resolved and excitation-power-dependent photoluminescence, proving that the recombination occurs via confined excitons within the ultrathin quasi-2D InGaN layer even at high temperature up to ~220 K due to the enhanced exciton binding energy. This work indicates that such structure affords an interesting opportunity for developing high-performance photonic devices. PMID:28417975

  9. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  10. Instrumentation for Epitaxial Growth of Complex Oxides

    DTIC Science & Technology

    2015-12-17

    synthesis of complex oxide heterostructures. A RF oxygen plasma source was acquired to increase the oxidizing ability of the growth environment, an...improvement that will prove critical in stabilizing materials with high oxidization states. The plasma source and accompanying electronics were purchased...2014 14-Aug-2015 Approved for Public Release; Distribution Unlimited Final Report: Instrumentation for Epitaxial Growth of Complex Oxides The views

  11. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  12. Superconductivity and tunneling-junctions in epitaxial Nb2N/AlN/GaN heterojunctions

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Han, Yimo; Khalsa, Guru; Vishwanath, Suresh; Katzer, Scott; Nepal, Neeraj; Downey, Brian; Muller, David; Meyer, David; Xing, Grace; Jena, Debdeep; ECE Collaboration; AEP Collaboration; MSE Collaboration; NRL Collaboration

    We have discovered that ultrathin highly crystalline Nb2N layers grown epitaxially (by MBE) on SiC and integrated with AlN and GaN heterostructures are high-quality superconductors with transition temperatures from 9-13 K. The out-of-plane critical magnetic fields are found to be 14 Tesla range, and the critical current density is 4*1E5 A/cm2 at 5 K. Preliminary in-plane magnetotransport measurements on 4 nm thin films indicate a significantly high critical magnetic field exceeding 40 T. Since Nb2N superconducting layers can be epitaxially integrated with GaN, AlN, and AlGaN, we also demonstrate Nb2N superconductivity in a layer located beneath an N-polar GaN high-electron-mobility transistor (HEMT) heterostructure that uses a 2DEG channel as a microwave amplifier; such a demonstration illustrates the potential emergence of a new paradigm where an all-epitaxial III-N/Nb2N platform could serve as the basis for microwave qubits to power quantum computation as well as quantum communications.

  13. Growth condition optimization and mobility enhancement through prolonging the GaN nuclei coalescence process of AlGaN/AlN/GaN structure

    NASA Astrophysics Data System (ADS)

    He, Xiao-Guang; Zhao, De-Gang; Jiang, De-Sheng; Zhu, Jian-Jun; Chen, Ping; Liu, Zong-Shun; Le, Ling-Cong; Yang, Jing; Li, Xiao-Jing; Zhang, Shu-Ming; Yang, Hui

    2015-09-01

    AlGaN/AlN/GaN structures are grown by metalorganic vapor phase epitaxy on sapphire substrates. Influences of AlN interlayer thickness, AlGaN barrier thickness, and Al composition on the two-dimensional electron gas (2DEG) performance are investigated. Lowering the V/III ratio and enhancing the reactor pressure at the initial stage of the high-temperature GaN layer growth will prolong the GaN nuclei coalescence process and effectively improve the crystalline quality and the interface morphology, diminishing the interface roughness scattering and improving 2DEG mobility. AlGaN/AlN/GaN structure with 2DEG sheet density of 1.19 × 1013 cm-2, electron mobility of 2101 cm2·V-1·s-1, and square resistance of 249 Ω is obtained. Project support by the National Natural Science Foundation of China (Grant Nos. 61474110, 61377020, 61376089, 61223005, and 61176126), the National Science Fund for Distinguished Young Scholars, China (Grant No. 60925017), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).

  14. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  15. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2015-01-06

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  16. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2013-02-19

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  19. Kinetic modeling of microscopic processes during electron cyclotron resonance microwave plasma-assisted molecular beam epitaxial growth of GaN/GaAs-based heterostructures

    NASA Astrophysics Data System (ADS)

    Bandić, Z. Z.; Hauenstein, R. J.; O'Steen, M. L.; McGill, T. C.

    1996-03-01

    Microscopic growth processes associated with GaN/GaAs molecular beam epitaxy (MBE) are examined through the introduction of a first-order kinetic model. The model is applied to the electron cyclotron resonance microwave plasma-assisted MBE (ECR-MBE) growth of a set of δ-GaNyAs1-y/GaAs strained-layer superlattices that consist of nitrided GaAs monolayers separated by GaAs spacers, and that exhibit a strong decrease of y with increasing T over the range 540-580 °C. This y(T) dependence is quantitatively explained in terms of microscopic anion exchange, and thermally activated N surface-desorption and surface-segregation processes. N surface segregation is found to be significant during GaAs overgrowth of GaNyAs1-y layers at typical GaN ECR-MBE growth temperatures, with an estimated activation energy Es˜0.9 eV. The observed y(T) dependence is shown to result from a combination of N surface segregation/desorption processes.

  20. A new system for sodium flux growth of bulk GaN. Part I: System development

    NASA Astrophysics Data System (ADS)

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Albrithen, Hamad; Suihkonen, Sami; Nakamura, Shuji; Speck, James S.

    2016-12-01

    Though several methods exist to produce bulk crystals of gallium nitride (GaN), none have been commercialized on a large scale. The sodium flux method, which involves precipitation of GaN from a sodium-gallium melt supersaturated with nitrogen, offers potentially lower cost production due to relatively mild process conditions while maintaining high crystal quality. We successfully developed a novel apparatus for conducting crystal growth of bulk GaN using the sodium flux method which has advantages with respect to prior reports. A key task was to prevent sodium loss or migration from the growth environment while permitting N2 to access the growing crystal. We accomplished this by implementing a reflux condensing stem along with a reusable capsule containing a hermetic seal. The reflux condensing stem also enabled direct monitoring of the melt temperature, which has not been previously reported for the sodium flux method. Furthermore, we identified and utilized molybdenum and the molybdenum alloy TZM as a material capable of directly containing the corrosive sodium-gallium melt. This allowed implementation of a crucible-free system, which may improve process control and potentially lower crystal impurity levels. Nucleation and growth of parasitic GaN ("PolyGaN") on non-seed surfaces occurred in early designs. However, the addition of carbon in later designs suppressed PolyGaN formation and allowed growth of single crystal GaN. Growth rates for the (0001) Ga face (+c-plane) were up to 14 μm/h while X-ray omega rocking (ω-XRC) curve full width half-max values were 731″ for crystals grown using a later system design. Oxygen levels were high, >1019 atoms/cm3, possibly due to reactor cleaning and handling procedures.

  1. General control of transition-metal-doped GaN nanowire growth: toward understanding the mechanism of dopant incorporation.

    PubMed

    Stamplecoskie, Kevin G; Ju, Ling; Farvid, Shokouh S; Radovanovic, Pavle V

    2008-09-01

    We report the first synthesis and characterization of cobalt- and chromium-doped GaN nanowires (NWs), and compare them to manganese-doped GaN NWs. Samples were synthesized by chemical vapor deposition method, using cobalt(II) chloride and chromium(III) chloride as dopant precursors. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant ions have the identical effect on GaN NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (DeltaCFSE) in their gas-phase intermediates and in substitutionally doped GaN NWs. Using iron(III) chloride and cobalt(II) acetate as dopant precursors we show that the doping concentration dependence on DeltaCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. This work further demonstrates a general and rational control of GaN NW growth using transition-metal impurities.

  2. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  3. Influences of growth parameters on the reaction pathway during GaN synthesis

    NASA Astrophysics Data System (ADS)

    Zhang, Zhi; Liu, Zhongyi; Fang, Haisheng

    2018-01-01

    Gallium nitride (GaN) film growth is a complicated physical and chemical process including fluid flow, heat transfer, species transport and chemical reaction. Study of the reaction mechanism, i.e., the reaction pathway, is important for optimizing the growth process in the actual manufacture. In the paper, the growth pathway of GaN in a closed-coupled showerhead metal-organic chemical vapor deposition (CCS-MOCVD) reactor is investigated in detail using computational fluid dynamics (CFD). Influences of the process parameters, such as the chamber pressure, the inlet temperature, the susceptor temperature and the pre-exponential factor, on the reaction pathway are examined. The results show that increases of the chamber pressure or the inlet temperature, as well as reductions of the susceptor temperature or the pre-exponential factor lead to the adduct route dominating the growth. The deposition rate contributed by the decomposition route, however, can be enhanced dramatically by increasing the inlet temperature, the susceptor temperature and the pre-exponential factor.

  4. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  5. Curvature and bow of bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foronda, Humberto M.; Young, Erin C.; Robertson, Christian A.

    2016-07-21

    We investigate the bow of free standing (0001) oriented hydride vapor phase epitaxy grown GaN substrates and demonstrate that their curvature is consistent with a compressive to tensile stress gradient (bottom to top) present in the substrates. The origin of the stress gradient and the curvature is attributed to the correlated inclination of edge threading dislocation (TD) lines away from the [0001] direction. A model is proposed and a relation is derived for bulk GaN substrate curvature dependence on the inclination angle and the density of TDs. The model is used to analyze the curvature for commercially available GaN substratesmore » as determined by high resolution x-ray diffraction. The results show a close correlation between the experimentally determined parameters and those predicted from theoretical model.« less

  6. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  7. Stacking fault related luminescence in GaN nanorods.

    PubMed

    Forsberg, M; Serban, A; Poenaru, I; Hsiao, C-L; Junaid, M; Birch, J; Pozina, G

    2015-09-04

    Optical and structural properties are presented for GaN nanorods (NRs) grown in the [0001] direction on Si(111) substrates by direct-current reactive magnetron sputter epitaxy. Transmission electron microscopy (TEM) reveals clusters of dense stacking faults (SFs) regularly distributed along the c-axis. A strong emission line at ∼3.42 eV associated with the basal-plane SFs has been observed in luminescence spectra. The optical signature of SFs is stable up to room temperatures with the activation energy of ∼20 meV. Temperature-dependent time-resolved photoluminescence properties suggest that the recombination mechanism of the 3.42 eV emission can be understood in terms of multiple quantum wells self-organized along the growth axis of NRs.

  8. An atomistic mechanism study of GaN step-flow growth in vicinal m-plane orientations

    DOE PAGES

    Liu, Zhun; Wang, Ru-Zhi; Zapol, Peter

    2016-10-12

    We present elucidation of homoepitaxial growth mechanisms on vicinal non-polar surfaces of GaN that is highly important for gaining an understanding of and control thin film surface morphology and properties. Using first-principles calculations, we study the step-flow growth in m-plane GaN based on atomic row nucleation and kink propagation kinetics. Ga–N dimer adsorption onto the m-plane is energetically more favorable than that of Ga and N isolated adatoms. Therefore, we have treated the dimers as the dominant growth species attached to the step edges. By calculating the free energies of sequentially attached Ga–N dimers, we have elucidated that the a-stepmore » edge kink growth proceeds by parallel attachment rather than by across the step edge approach. We found a series of favorable configurations of kink propagation and calculated the free energy and nucleation barriers for kink evolution on five types of step edges (a, +c, -c, +a + c, and -a - c). By changing the chemical potential μGa and the excess chemical potential Δμ, the growth velocities at the five types of edges are controlled by the corresponding kink pair nucleation barrier E* in their free energy profiles. To explore the kink-flow growth instability observed at different Ga/N flux ratios, calculations of kink pairs on the incompact -c and +c-step edges are further performed to study their formation energies. Variations of these step edge morphologies with a tuned chemical environment are consistent with previous experimental observations, including stable diagonal ±a ± c-direction steps. In conclusion, our work provides a first-principles approach to explore step growth and surface morphology of the vicinal m-plane GaN, which is applicable to analyze and control the step-flow growth of other binary thin films.« less

  9. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  10. Hexagonal Nanopyramidal Prisms of Nearly Intrinsic InN on Patterned GaN Nanowire Arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Golam Sarwar, A. T. M.; Leung, Benjamin; Wang, George T.

    By using multiple growth steps that separate the nucleation and growth processes, we show that nearly intrinsic InN single nanocrystals of high optical quality can be formed on patterned GaN nanowire arrays by molecular beam epitaxy. The InN nanostructures form into well-defined hexagonal prisms with pyramidal tops. Micro-photoluminescence (μ-PL) is carried out at low temperature (LT: 28.2 K) and room temperature (RT: 285 K) to gauge the relative material quality of the InN nanostructures. Nanopyramidal prisms grown using a three-step growth method are found to show superior quantum efficiency. In conclusion, excitation and temperature dependent μ-PL demonstrates the very highmore » quality and nearly intrinsic nature of the ordered InN nanostructure arrays.« less

  11. Hexagonal Nanopyramidal Prisms of Nearly Intrinsic InN on Patterned GaN Nanowire Arrays

    DOE PAGES

    Golam Sarwar, A. T. M.; Leung, Benjamin; Wang, George T.; ...

    2018-01-04

    By using multiple growth steps that separate the nucleation and growth processes, we show that nearly intrinsic InN single nanocrystals of high optical quality can be formed on patterned GaN nanowire arrays by molecular beam epitaxy. The InN nanostructures form into well-defined hexagonal prisms with pyramidal tops. Micro-photoluminescence (μ-PL) is carried out at low temperature (LT: 28.2 K) and room temperature (RT: 285 K) to gauge the relative material quality of the InN nanostructures. Nanopyramidal prisms grown using a three-step growth method are found to show superior quantum efficiency. In conclusion, excitation and temperature dependent μ-PL demonstrates the very highmore » quality and nearly intrinsic nature of the ordered InN nanostructure arrays.« less

  12. Epitaxial growth mechanisms of graphene and effects of substrates

    NASA Astrophysics Data System (ADS)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  13. The influence of MOVPE growth conditions on the shell of core-shell GaN microrod structures

    NASA Astrophysics Data System (ADS)

    Schimpke, Tilman; Avramescu, Adrian; Koller, Andreas; Fernando-Saavedra, Amalia; Hartmann, Jana; Ledig, Johannes; Waag, Andreas; Strassburg, Martin; Lugauer, Hans-Jürgen

    2017-05-01

    A core-shell geometry is employed for most next-generation, three-dimensional opto-electric devices based on III-V semiconductors and grown by metal organic vapor phase epitaxy (MOVPE). Controlling the shape of the shell layers is fundamental for device optimization, however no detailed analysis of the influence of growth conditions has been published to date. We study homogeneous arrays of gallium nitride core-shell microrods with height and diameter in the micrometer range and grown in a two-step selective area MOVPE process. Changes in shell shape and homogeneity effected by deliberately altered shell growth conditions were accurately assessed by digital analysis of high-resolution scanning electron microscope images. Most notably, two temperature regimes could be established, which show a significantly different behavior with regard to material distribution. Above 900 °C of wafer carrier temperature, the shell thickness along the growth axis of the rods was very homogeneous, however variations between vicinal rods increase. In contrast, below 830 °C the shell thickness is higher close to the microrod tip than at the base of the rods, while the lateral homogeneity between neighboring microrods is very uniform. This temperature effect could be either amplified or attenuated by changing the remaining growth parameters such as reactor pressure, structure distance, gallium precursor, carrier gas composition and dopant materials. Possible reasons for these findings are discussed with respect to GaN decomposition as well as the surface and gas phase diffusion of growth species, leading to an improved control of the functional layers in next-generation 3D V-III devices.

  14. Deep traps in n-type GaN epilayers grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamyczek, P.; Placzek-Popko, E.; Zielony, E.

    2014-01-14

    In this study, we present the results of investigations on Schottky Au-GaN diodes by means of conventional DLTS and Laplace DLTS methods within the temperature range of 77 K–350 K. Undoped GaN layers were grown using the plasma-assisted molecular beam epitaxy technique on commercial GaN/sapphire templates. The quality of the epilayers was studied by micro-Raman spectroscopy (μ-RS) which proved the hexagonal phase and good crystallinity of GaN epilayers as well as a slight strain. The photoluminescence spectrum confirmed a high crystal quality by intense excitonic emission but it also exhibited a blue emission band of low intensity. DLTS signal spectra revealed themore » presence of four majority traps: two high-temperature and two low-temperature peaks. Using the Laplace DLTS method and Arrhenius plots, the apparent activation energy and capture cross sections were obtained. For two high-temperature majority traps, they were equal to E{sub 1} = 0.65 eV, σ{sub 1} = 8.2 × 10{sup −16} cm{sup 2} and E{sub 2} = 0.58 eV, σ{sub 2} = 2.6 × 10{sup −15} cm{sup 2} whereas for the two low-temperature majority traps they were equal to E{sub 3} = 0.18 eV, σ{sub 3} = 9.7 × 10{sup −18} cm{sup 2} and E{sub 4} = 0.13 eV, σ{sub 4} = 9.2 × 10{sup −18} cm{sup 2}. The possible origin of the traps is discussed and the results are compared with data reported elsewhere.« less

  15. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  16. Growth of GaN single crystals by a Ca- and Ba-added Na flux method

    NASA Astrophysics Data System (ADS)

    Ukegawa, H.; Konishi, Y.; Fujimori, T.; Miyoshi, N.; Imade, M.; Yoshimura, M.; Kitaoka, Y.; Sasaki, T.; Mori, Y.

    2011-02-01

    GaN substrates are desirable for fabricating ultra-violet LEDs and LDs, and high-power and high-frequency transistors. High-quality GaN single crystals can be obtained by using Na flux method, but the growth habit of bulk crystals must be controlled. In this study, we investigated the effects of additives (Ca, Ba) on the growth habit and impurity concentration in the crystals. The aspect ratio (c/a) of the crystals was increased by increasing the amount of additives, showing that the growth habit could be changed from the pyramidal shape to the prism shape. Ba concentration was below the detection limit (1x1015 atoms/cm3).

  17. Selective-area growth of GaN nanocolumns on Si(111) substrates for application to nanocolumn emitters with systematic analysis of dislocation filtering effect of nanocolumns

    NASA Astrophysics Data System (ADS)

    Kishino, Katsumi; Ishizawa, Shunsuke

    2015-06-01

    The growth of highly uniform arrays of GaN nanocolumns with diameters from 122 to 430 nm on Si (111) substrates was demonstrated. The employment of GaN film templates with flat surfaces (root mean square surface roughness of 0.84 nm), which were obtained using an AlN/GaN superlattice (SL) buffer on Si, contributed to the high-quality selective-area growth of nanocolumns using a thin Ti mask of 5 nm thickness by rf-plasma-assisted molecular beam epitaxy. Although the GaN template included a large number of dislocations (dislocation density ˜1011 cm-2), the dislocation filtering effect of nanocolumns was enhanced with decreasing nanocolumn diameters (D). Systematic transmission electron microscopy (TEM) observation enabled us to explain the dependence of the dislocation propagation behavior in nanocolumns on the nanocolumn diameter for the first time. Plan-view TEM analysis was performed for nanocolumns with D = 120-324 nm by slicing the nanocolumns horizontally at a height of ˜300 nm above their bottoms and dislocation propagation through the nanocolumns was analyzed by the cross-sectional TEM observation of nanocolumns with D ˜ 200 nm. It was clarified that dislocations were effectively filtered in the bottom 300 nm region of the nanocolumns, the dislocation density of the nanocolumns decreased with decreasing D, and for narrow nanocolumns with D < 200 nm, dislocation-free crystals were obtained in the upper part of the nanocolumns. The dramatic improvement in the emission properties of GaN nanocolumns observed with decreasing diameter is discussed in relation to the decreased dislocation density. The laser action of InGaN/GaN-based nanocolumn arrays with a nanocolumn diameter of 170 nm and a period of 200 nm on Si under optical excitation was obtained with an emission wavelength of 407 nm. We also fabricated red-emitting InGaN-based nanocolumn light-emitting diodes on Si that operated at a wavelength of 652 nm, demonstrating vertical conduction through the Al

  18. Modeling and Optimization for Epitaxial Growth: Transport and Growth Studies

    DTIC Science & Technology

    1999-01-01

    effect . It is expressed as the sum of these two components j i jC i jT i where jC i and jT i denote the concentration driven and thermally driven...improve manufacturing effectiveness for epitaxial growth of silicon and silicon-germanium (Si-Ge) thin films on a silicon wafer. Growth takes place in the...non-uniformity to compensate for the effects of other phenomena such as reactant depletion, gas heating and gas phase reactions, thermal diffusion of

  19. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  20. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  1. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    NASA Astrophysics Data System (ADS)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  2. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  3. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  4. Growth Temperature Dependence of Morphology of GaN Single Crystals in the Na-Li-Ca Flux Method

    NASA Astrophysics Data System (ADS)

    Wu, Xi; Hao, Hangfei; Li, Zhenrong; Fan, Shiji; Xu, Zhuo

    2018-02-01

    In this paper, the effect of growth temperature on the morphology and transparency of the GaN crystals obtained by the Li-Ca-added Na Flux method was studied. Addition of Li-Ca was attempted to control the growth habit and further improve transparency of GaN crystals. The samples with wurtzite structure of GaN were confirmed by the x-ray powder diffraction analysis. GaN single crystal with maximum size of about 6 mm was grown at 750°C. As the growth temperature was increased from 700°C to 850°C, the morphology of the crystals changed from pyramid to prism, and their surfaces became smooth. It was found that high growth temperature was beneficial to obtain a transparent crystal, but the evaporation of sodium would suppress its further growth. The E 2 (high) mode in the Raman spectra was at 568 cm-1, and the full-width at half-maximum values of this peak for the crystals obtained at 700°C, 750°C, 800°C, and 850°C were 7.5 cm-1, 10.3 cm-1, 4.4 cm-1, and 4.0 cm-1, respectively. It indicates that all the crystals are stress free and the transparent crystal grown at high temperature has high structural quality or low impurity concentrations.

  5. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    NASA Astrophysics Data System (ADS)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  6. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  7. InN island shape and its dependence on growth condition of molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cao, Y. G.; Xie, M. H.; Liu, Y.; Ng, Y. F.; Wu, H. S.; Tong, S. Y.

    2003-12-01

    During molecular-beam epitaxy of InN films on GaN(0001) surface, three-dimensional (3D) islands are observed following an initial wetting layer formation. Depending on deposition condition, the 3D islands take different shapes. Pyramidal islands form when excess nitrogen fluxes are used, whereas pillar-shaped islands are obtained when excess indium fluxes are employed. The pillar-shaped islands are identified to represent the equilibrium shape, whereas the pyramidal ones are limited by kinetics. As the size of islands increases, their aspect ratio shows a decreasing trend, which is attributed to a gradual relaxation of strain in the layer by defects.

  8. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  9. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  10. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  11. Hydrogen Surfactant Effect on ZnO/GaN Heterostructures Growth

    NASA Astrophysics Data System (ADS)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    To grow high quality heterostructures based on ZnO and GaN, growth conditions that favor the layer by layer (Frank-Van der Merwe) growth mode have to be applied. However, if A wets B, B would not wet A without special treatments. A famous example is the epitaxial growth of Si/Ge/Si heterostructure with the help of arsenic surfactant in the late 1980s. It has been confirmed by the previous experiments and our calculations that poor crystal quality and 3D growth mode were obtained when GaN grown on ZnO polar surfaces while high quality ZnO was achieved on (0001) and (000-1)-oriented GaN. During the standard OMVPE growth processes, hydrogen is a common impurity and hydrogen-involved surface reconstructions have been well investigated experimentally and theoretically elsewhere. Due to the above facts, we proposed key growth strategies by using hydrogen as a surfactant to achieve ideal growth mode for GaN on ZnO (000-1) surface. This novel strategy may for the first time make the growth of high quality GaN single crystal on ZnO substrate possible. This surfactant effect is expected to largely improve the crystal quality and the efficiency of ZnO/GaN super lattices or other heterostructure devices. Part of the computing resources was provided by the High Performance Cluster Computing Centre, Hong Kong Baptist University. This work was supported by the start-up funding and direct Grant with the Project code of 4053134 and 3132748 at CUHK.

  12. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  13. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  14. Selective area growth and characterization of GaN nanocolumns, with and without an InGaN insertion, on semi-polar (11–22) GaN templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bengoechea-Encabo, A.; Albert, S.; Barbagini, F.

    The aim of this work is the selective area growth (SAG) of GaN nanocolumns, with and without an InGaN insertion, by molecular beam epitaxyon semi-polar (11–22) GaN templates. The high density of stacking faults present in the template is strongly reduced after SAG. A dominant sharp photoluminescence emission at 3.473 eV points to high quality strain-free material. When embedding an InGaN insertion into the ordered GaN nanostructures, very homogeneous optical properties are observed, with two emissions originating from different regions of each nanostructure, most likely related to different In contents on different crystallographic planes.

  15. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  16. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  17. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  18. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  19. Columnar and subsurface silicide growth with novel molecular beam epitaxy techniques

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Pike, W. T.

    1992-01-01

    We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800 C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.

  20. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  1. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/V<1). The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1) and metal rich growth regime (III/V≥1), respectively. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  2. Measurement of second order susceptibilities of GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.

    2005-03-01

    Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.

  3. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  4. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  5. Epitaxial growth and characterization of Si/NiSi 2/Si(111) heterostructures

    NASA Astrophysics Data System (ADS)

    Rizzi, Angela; Förster, A.; Lüth, H.; Slijkerman, W.

    1989-04-01

    Si/NiSi 2/Si(111) heterostructures are grown under UHV conditions. The well known "template" method is used to produce the epitaxial NiSi 2 interlayer. On top of the suicide, the silicon epitaxial growth is obtained by means of gas phase reaction of SiH 4 at a surface temperature of 500° C. The Si growth rate is strongly enhanced by predissociation of SiH 4 using a hot tungsten filament in the vicinity of the surface. The single steps of the growth are followed in-situ by means of AES, HREELS and LEED analysis. Ex-situ high resolution RBS analysis is also applied for characterization.

  6. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  7. Properties of Epitaxial GaN on Refractory Metal Substrates

    DTIC Science & Technology

    2007-02-28

    Rowland Aymont Technology, Inc., Scotia, New York 12302 Jihyun Kim College of Engineering, Korea University, Seoul 136-701, South Korea Mohammad Fatemi...M. Li, D. Wang, C. Ahyi, C.-C. Tin, J. Williams, and M. Park , Appl. Phys. Lett. 88, 113509 2001. FIG. 4. I-V characteristic of the GaN film on 111

  8. Tunable Solid-State Quantum Memory Using Rare-Earth-Ion-Doped Crystal, Nd(3+):GaN

    DTIC Science & Technology

    2017-04-01

    by plasma-assisted molecular beam epitaxy in a modular Gen II reactor using liquid gallium, solid Nd, and a nitrogen plasma. The photoluminescence (PL...provide a tunable memory. To vary the applied field, we designed and grew a series of Nd-doped GaN p-i-n structures, strain- balanced superlattice...27 Fig. 23 Electric field vs. GaN well/ AlxGa(1-x)N barrier thickness for strain- balanced superlattice (SBSL) structures with

  9. Ga flux dependence of Er-doped GaN luminescent thin films

    NASA Astrophysics Data System (ADS)

    Lee, D. S.; Steckl, A. J.

    2002-02-01

    Er-doped GaN thin films have been grown on (111) Si substrates with various Ga fluxes in a radio frequency plasma molecular beam epitaxy system. Visible photoluminescence (PL) and electroluminescence (EL) emission at 537/558 nm and infrared (IR) PL emission at 1.5 μm from GaN:Er films exhibited strong dependence on the Ga flux. Both visible and IR PL and visible EL increase with the Ga flux up to the stoichiometric growth condition, as determined by growth rate saturation. Beyond this condition, all luminescence levels abruptly dropped to the detection limit with increasing Ga flux. The Er concentration, measured by secondary ion mass spectroscopy and Rutherford backscattering, decreases with increasing Ga flux under N-rich growth conditions and remains constant above the stoichiometric growth condition. X-ray diffraction indicated that the crystalline quality of the GaN:Er film was improved with increasing Ga flux up to stoichiometric growth condition and then saturated. Er ions in the films grown under N-rich conditions appear much more optically active than those in the films grown under Ga-rich conditions.

  10. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    PubMed

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  11. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  12. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  13. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  14. Kinetic Monte Carlo simulations of GaN homoepitaxy on c- and m-plane surfaces

    DOE PAGES

    Xu, Dongwei; Zapol, Peter; Stephenson, G. Brian; ...

    2017-04-12

    The surface orientation can have profound effects on the atomic-scale processes of crystal growth and is essential to such technologies as GaN-based light-emitting diodes and high-power electronics. We investigate the dependence of homoepitaxial growth mechanisms on the surface orientation of a hexagonal crystal using kinetic Monte Carlo simulations. To model GaN metal-organic vapor phase epitaxy, in which N species are supplied in excess, only Ga atoms on a hexagonal close-packed (HCP) lattice are considered. The results are thus potentially applicable to any HCP material. Growth behaviors on c-plane (0001) and m-plane (011¯0) surfaces are compared. We present a reciprocal spacemore » analysis of the surface morphology, which allows extraction of growth mode boundaries and direct comparison with surface X-ray diffraction experiments. For each orientation, we map the boundaries between 3-dimensional, layer-by-layer, and step flow growth modes as a function of temperature and growth rate. Two models for surface diffusion are used, which produce different effective Ehrlich-Schwoebel step-edge barriers and different adatom diffusion anisotropies on m-plane surfaces. Simulation results in agreement with observed GaN island morphologies and growth mode boundaries are obtained. These indicate that anisotropy of step edge energy, rather than adatom diffusion, is responsible for the elongated islands observed on m-plane surfaces. As a result, island nucleation spacing obeys a power-law dependence on growth rate, with exponents of –0.24 and –0.29 for the m- and c-plane, respectively.« less

  15. Kinetic Monte Carlo simulations of GaN homoepitaxy on c- and m-plane surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Dongwei; Zapol, Peter; Stephenson, G. Brian

    The surface orientation can have profound effects on the atomic-scale processes of crystal growth and is essential to such technologies as GaN-based light-emitting diodes and high-power electronics. We investigate the dependence of homoepitaxial growth mechanisms on the surface orientation of a hexagonal crystal using kinetic Monte Carlo simulations. To model GaN metal-organic vapor phase epitaxy, in which N species are supplied in excess, only Ga atoms on a hexagonal close-packed (HCP) lattice are considered. The results are thus potentially applicable to any HCP material. Growth behaviors on c-plane (0001) and m-plane (011¯0) surfaces are compared. We present a reciprocal spacemore » analysis of the surface morphology, which allows extraction of growth mode boundaries and direct comparison with surface X-ray diffraction experiments. For each orientation, we map the boundaries between 3-dimensional, layer-by-layer, and step flow growth modes as a function of temperature and growth rate. Two models for surface diffusion are used, which produce different effective Ehrlich-Schwoebel step-edge barriers and different adatom diffusion anisotropies on m-plane surfaces. Simulation results in agreement with observed GaN island morphologies and growth mode boundaries are obtained. These indicate that anisotropy of step edge energy, rather than adatom diffusion, is responsible for the elongated islands observed on m-plane surfaces. As a result, island nucleation spacing obeys a power-law dependence on growth rate, with exponents of –0.24 and –0.29 for the m- and c-plane, respectively.« less

  16. X-ray probe of GaN thin films grown on InGaN compliant substrates

    NASA Astrophysics Data System (ADS)

    Xu, Xiaoqing; Li, Yang; Liu, Jianming; Wei, Hongyuan; Liu, Xianglin; Yang, Shaoyan; Wang, Zhanguo; Wang, Huanhua

    2013-04-01

    GaN thin films grown on InGaN compliant substrates were characterized by several X-ray technologies: X-ray reciprocal space mapping (RSM), grazing incidence X-ray diffraction (GIXRD), and X-ray photoemission spectrum (XPS). Narrow Lorentz broadening and stress free state were observed for GaN grown on InGaN compliant substrate, while mosaic structure and large tensile stress were observed at the presence of residual indium atoms. RSM disclosed the mosaicity, and the GIXRD was conducted to investigate the depth dependences of crystal quality and strain states. XPS depth profile of indium contents indicated that residual indium atoms deteriorated the crystal quality of GaN not only by producing lattice mismatch at the interface of InGaN and GaN but also by diffusing into GaN overlayers. Accordingly, two solutions were proposed to improve the efficiency of self-patterned lateral epitaxial overgrowth method. This research goes a further step in resolving the urgent substrate problem in GaN fabrication.

  17. Growth and characterization of epitaxial silver indium diselenide

    NASA Astrophysics Data System (ADS)

    Pena Martin, Pamela

    Photovoltaics (solar cells) are a key player in the renewable energy frontier, and will become increasingly important as their cost per watt continues to drop, especially if fossil fuel costs increase. One particularly promising photovoltaic technology is based on chalcopyrite-structure semiconductors. Within the chalcopyrite compounds the highest efficiency thin film solar cell absorber material to date is Cu(In,Ga)Se2 (CIGS). While current efficiency records are over 21% for single-junction cells, there is still room for improvement. Replacing some of the Cu with Ag has been shown to be beneficial in CIGS devices. However, the Ag- containing chalcopyrites are still relatively unknown in terms of their growth mechanism, energetics, and surface atomic and electronic properties. These are best inferred through study of epitaxial films, yet they have little mention in literature and have not been the subject of a detailed study. This work describes the growth of epitaxial AgInSe2 (AIS) on GaAs substrates, studying the morphology, structure, and surface properties to understand how growth takes place. It also seeks to experimentally determine the surface electronic and atomic structure at the atomic scale to gain insight into the part of the material that forms the heterojunction that collects photon energy in the device. Finally, this work seeks to compare and contrast these findings with what is known about CIGS to determine where similarities and, more importantly, the differences may lie. This study has found that single phase tetragonal AIS can be epitaxially grown on GaAs, as illustrated by x-ray diffraction (XRD), transmission electron microscope (TEM), and surface morphology data. Like CIGS, the close packed polar (112) planes have the lowest energy. The morphology points to a difference in step dynamics, leading to less faceted, straight edged island shapes compared to CIGS. Epitaxial temperature as a function of growth direction shows a different trend in

  18. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Fabrication of lateral lattice-polarity-inverted GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Katayama, Ryuji; Kuge, Yoshihiro; Kondo, Takashi; Onabe, Kentaro

    2007-04-01

    Fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0 0 0 1) using a radio-frequency plasma enhanced molecular beam epitaxy is demonstrated. Its microscopic properties, which are closely related to the local polarity distribution, such as surface potentials, piezoelectric polarizations and residual carrier concentrations were investigated by Kelvin force microscopy and micro-Raman scattering. The successful inversion from Ga-polarity to N-polarity of GaN in a specific domain and its higher crystal perfection had been confirmed clearly by these microscopic analyses. The results were also fairly consistent with that of KOH etching experiments, which suggest the applicability of these processes to the fabrication of photonic nanostructures composed of nitride semiconductors.

  20. Bulk Group-III Nitride Crystal Growth in Supercritical Ammonia-Sodium Solutions

    NASA Astrophysics Data System (ADS)

    Griffiths, Steven Herbert

    Gallium nitride (GaN) and its alloys with indium nitride (InGaN) and aluminum nitride (AlGaN), collectively referred to as Group-III Nitride semiconductors, have enabled white solid-state lighting (SSL) sources and power electronic devices. While these technologies have already made a lasting, positive impact on society, improvements in design and efficiency are anticipated by shifting from heteroepitaxial growth on foreign substrates (such as sapphire, Si, SiC, etc.) to homoepitaxial growth on native, bulk GaN substrates. Bulk GaN has not supplanted foreign substrate materials due to the extreme conditions required to achieve a stoichiometric GaN melt (temperatures and pressures in excess of 2200°C and 6 GPa, respectively). The only method used to produce bulk GaN on an industrial scale is hydride vapor phase epitaxy (HVPE), but the high cost of gaseous precursors and relatively poor crystal quality have limited the adoption of this technology. A solution growth technique known as the ammonothermal method has attracted interest from academia and industry alike for its ability to produce bulk GaN boules of exceedingly high crystal quality. The ammonothermal method employs supercritical ammonia (NH3) solutions to dissolve, transport, and crystallize GaN. However, ammonothermal growth pressures are still relatively high (˜200 MPa), which has thus far prevented the acquisition of fundamental crystal growth knowledge needed to efficiently (i.e. through data-driven approaches) advance the field. This dissertation focused on addressing the gaps in the literature through two studies employing in situ fluid temperature analysis. The first study focused on identifying the solubility of GaN in supercritical NH3-Na solutions. The design and utilization of in situ and ex situ monitoring equipment enabled the first reports of the two-phase nature of supercritical NH3-Na solutions, and of Ga-alloying of Ni-containing autoclave components. The effects of these error sources on

  1. Growth and characterization of III-V epitaxial films

    NASA Astrophysics Data System (ADS)

    Tripathi, A.; Adamski, J.

    1991-11-01

    Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.

  2. Advanced in-situ control for III-nitride RF power device epitaxy

    NASA Astrophysics Data System (ADS)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  3. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  4. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  5. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  6. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  7. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  8. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  9. Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.

    PubMed

    Wilson, M; Barrientos-Palomo, S N; Stevens, P C; Mitchell, N L; Oswald, G; Nagaraja, C M; Badyal, J P S

    2018-01-31

    Plasmachemical deposition is a substrate-independent method for the conformal surface functionalization of solid substrates. Structurally well-defined pulsed plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal-organic frameworks (MOFs) at room temperature. For the case of microporous [Zn (benzene-1,4-dicarboxylate)-(4,4'-bipyridine) 0.5 ] (MOF-508), the MOF-508a polymorph containing two interpenetrating crystal lattice frameworks undergoes orientated Volmer-Weber growth and displays CO 2 gas capture behavior at atmospheric concentrations in proportion to the number of epitaxially grown MOF-508 layers.

  10. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  11. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  12. The use of heterogeneous and epitaxial nucleants to promote the growth of protein crystals

    NASA Technical Reports Server (NTRS)

    Mcpherson, Alexander; Shlichta, P.

    1988-01-01

    Fifty different mineral samples were tested as potential heterogeneous or epitaxial nucleants for four commonly crystallized proteins. It was found, using conventional protein crystallization techniques, that for each protein there was a set of mineral substrates that promoted nucleation of crystals at lower critical levels of supersaturation than required for spontaneous growth. In at least one case, the growth of lysozyme on the mineral apophyllite, it was shown by lattice analysis and X-ray diffraction that the nucleation and growth of the protein crystal on the mineral was likely to be truly epitaxial.

  13. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  14. 2D scaling behavior of nanotextured GaN surfaces: A case study of hillocked and terraced surfaces

    NASA Astrophysics Data System (ADS)

    Mutta, Geeta Rani; Carapezzi, Stefania

    2018-07-01

    The 2D scaling properties of GaN surfaces have been studied by means of the 2D height-height correlation function (HHCF). The GaN layers under investigation presented exemplar morphologies, generated by distinct growth methods: a molecular beam epitaxy (MBE) grown surface decorated by hillocks and a metal organic vapor phase epitaxy (MOVPE) grown surface with terraced structure. The 2D statistical analysis of these surfaces has allowed assessing quantitatively the degree of morphological variability along all the different directions across each surface, their corresponding roughness exponents and correlation lengths. A scaling anisotropy as well as correlation length anisotropy has been detected for both hillocked and terraced surfaces. Especially, a marked dependence of correlation length from the direction across the terraced surface has been observed. Additionally, the terraced surfaces showed the lower root mean square (RMS) roughness value and at the same time, the lower roughness exponent value. This could appear as a contradiction, given that a low RMS value is associated to a smooth surface, and usually the roughness exponent is interpreted as a "measure" of the smoothness of the surface, the smoother the surface, the higher (approaching the unity) is the roughness exponent. Our case study is an experimental demonstration in which the roughness exponent should be, more appropriately, interpreted as a quantification of how the roughness changes with length scale.

  15. High In-content InGaN nano-pyramids: Tuning crystal homogeneity by optimized nucleation of GaN seeds

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Gustafsson, Anders; Lenrick, Filip; Lindgren, David; Hultin, Olof; Wallenberg, L. Reine; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-01-01

    Uniform arrays of submicron hexagonal InGaN pyramids with high morphological and material homogeneity, reaching an indium composition of 20%, are presented in this work. The pyramids were grown by selective area metal-organic vapor phase epitaxy and nucleated from small openings in a SiN mask. The growth selectivity was accurately controlled with diffusion lengths of the gallium and indium species, more than 1 μm on the SiN surface. High material homogeneity of the pyramids was achieved by inserting a precisely formed GaN pyramidal seed prior to InGaN growth, leading to the growth of well-shaped InGaN pyramids delimited by six equivalent {" separators="| 10 1 ¯ 1 } facets. Further analysis reveals a variation in the indium composition to be mediated by competing InGaN growth on two types of crystal planes, {" separators="| 10 1 ¯ 1 } and (0001). Typically, the InGaN growth on {" separators="| 10 1 ¯ 1 } planes is much slower than on the (0001) plane. The formation of the (0001) plane and the growth of InGaN on it were found to be dependent on the morphology of the GaN seeds. We propose growth of InGaN pyramids seeded by {" separators="| 10 1 ¯ 1 }-faceted GaN pyramids as a mean to avoid InGaN material grown on the otherwise formed (0001) plane, leading to a significant reduction of variations in the indium composition in the InGaN pyramids. The InGaN pyramids in this work can be used as a high-quality template for optoelectronic devices having indium-rich active layers, with a potential of reaching green, yellow, and red emissions for LEDs.

  16. Electron band bending of polar, semipolar and non-polar GaN surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartoš, I.; Romanyuk, O., E-mail: romanyuk@fzu.cz; Houdkova, J.

    2016-03-14

    The magnitudes of the surface band bending have been determined by X-ray photoelectron spectroscopy for polar, semipolar, and non-polar surfaces of wurtzite GaN crystals. All surfaces have been prepared from crystalline GaN samples grown by the hydride-vapour phase epitaxy and separated from sapphire substrates. The Ga 3d core level peak shifts have been used for band bending determination. Small band bending magnitudes and also relatively small difference between the band bendings of the surfaces with opposite polarity have been found. These results point to the presence of electron surface states of different amounts and types on surfaces of different polaritymore » and confirm the important role of the electron surface states in compensation of the bound surface polarity charges in wurtzite GaN crystals.« less

  17. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    NASA Astrophysics Data System (ADS)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  18. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces

    NASA Astrophysics Data System (ADS)

    Gruber, J.; Zhou, X. W.; Jones, R. E.; Lee, S. R.; Tucker, G. J.

    2017-05-01

    We investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and ( 11 2 ¯ 0 ) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of InxGa1-xN-alloy compositions (0 ≤ x ≤ 0.4) and homologous growth temperatures [0.50 ≤ T/T*m(x) ≤ 0.90], where T*m(x) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar ( 11 2 ¯ 0 ) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. While the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.

  19. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  20. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  1. Luminescent N-polar (In,Ga)N/GaN quantum wells achieved by plasma-assisted molecular beam epitaxy at temperatures exceeding 700 °C

    NASA Astrophysics Data System (ADS)

    Chèze, C.; Feix, F.; Lähnemann, J.; Flissikowski, T.; Kryśko, M.; Wolny, P.; Turski, H.; Skierbiszewski, C.; Brandt, O.

    2018-01-01

    Previously, we found that N-polar (In,Ga)N/GaN quantum wells prepared on freestanding GaN substrates by plasma-assisted molecular beam epitaxy at conventional growth temperatures of about 650 °C do not exhibit any detectable luminescence even at 10 K. In the present work, we investigate (In,Ga)N/GaN quantum wells grown on Ga- and N-polar GaN substrates at a constant temperature of 730 °C . This exceptionally high temperature results in a vanishing In incorporation for the Ga-polar sample. In contrast, quantum wells with an In content of 20% and abrupt interfaces are formed on N-polar GaN. Moreover, these quantum wells exhibit a spatially uniform green luminescence band up to room temperature, but the intensity of this band is observed to strongly quench with temperature. Temperature-dependent photoluminescence transients show that this thermal quenching is related to a high density of nonradiative Shockley-Read-Hall centers with large capture coefficients for electrons and holes.

  2. Nitrogen-polar core-shell GaN light-emitting diodes grown by selective area metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Wang, Xue; Fündling, Sönke; Erenburg, Milena; Ledig, Johannes; Wei, Jiandong; Wehmann, Hergo H.; Waag, Andreas; Bergbauer, Werner; Mandl, Martin; Strassburg, Martin; Trampert, Achim; Jahn, Uwe; Riechert, Henning; Jönen, Holger; Hangleiter, Andreas

    2012-07-01

    Homogeneous nitrogen-polar GaN core-shell light emitting diode (LED) arrays were fabricated by selective area growth on patterned substrates. Transmission electron microscopy measurements prove the core-shell structure of the rod LEDs. Depending on the growth facets, the InGaN/GaN multi-quantum wells (MQWs) show different dimensions and morphology. Cathodoluminescence (CL) measurements reveal a MQWs emission centered at about 415 nm on sidewalls and another emission at 460 nm from top surfaces. CL line scans on cleaved rod also indicate the core-shell morphology. Finally, an internal quantum efficiency of about 28% at room temperature was determined by an all-optical method on a LED array.

  3. Atomic scale morphology, growth behaviour and electronic properties of semipolar {101[overline]3} GaN surfaces.

    PubMed

    Kioseoglou, J; Kalesaki, E; Lymperakis, L; Karakostas, Th; Komninou, Ph

    2013-01-30

    First-principles calculations relating to the atomic structure and electronic properties of {101[overline]3} GaN surfaces reveal significant differentiations between the two polarity orientations. The (101[overline]3) surface exhibits a remarkable morphological stability, stabilizing a metallic structure (Ga adlayer) over the entire range of the Ga chemical potential. In contrast, the semiconducting, cleaved surface is favoured on (101[overline]3[overline]) under extremely and moderately N-rich conditions, a Ga bilayer is stabilized under corresponding Ga-rich conditions and various transitions between metallic reconstructions take place in intermediate growth stoichiometries. Efficient growth schemes for smooth, two-dimensional GaN layers and the isolation of {101[overline]3} material from parasitic orientations are identified.

  4. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  5. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  6. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  7. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  8. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  9. Kinematical calculations of RHEED intensity oscillations during the growth of thin epitaxial films

    NASA Astrophysics Data System (ADS)

    Daniluk, Andrzej

    2005-08-01

    A practical computing algorithm working in real time has been developed for calculating the reflection high-energy electron diffraction (RHEED) from the molecular beam epitaxy (MBE) growing surface. The calculations are based on the use of kinematical diffraction theory. Simple mathematical models are used for the growth simulation in order to investigate the fundamental behaviors of reflectivity change during the growth of thin epitaxial films prepared using MBE. Program summaryTitle of program:GROWTH Catalogue identifier:ADVL Program summary URL:http://cpc.cs.qub.ac.uk/summaries/ADVL Program obtainable from: CPC Program Library, Queen's University of Belfast, N. Ireland Distribution format: tar.gz Computer for which the program is designed and others on which is has been tested:Pentium-based PC Operating systems or monitors under which the program has been tested:Windows 9x, XP, NT Programming language used:Object Pascal Memory required to execute with typical data:more than 1 MB Number of bits in a word: 64 bits Number of processors used: 1 Number of lines in distributed program, including test data, etc.: 10 989 Number of bytes in distributed program, including test data, etc.:103 048 Nature of the physical problem:Reflection high-energy electron diffraction (RHEED) is a very useful technique for studying growth and surface analysis of thin epitaxial structures prepared using the molecular beam epitaxy (MBE). The simplest approach to calculating the RHEED intensity during the growth of thin epitaxial films is the kinematical diffraction theory (often called kinematical approximation), in which only a single scattering event is taken into account. The biggest advantage of this approach is that we can calculate RHEED intensity in real time. Also, the approach facilitates intuitive understanding of the growth mechanism and surface morphology [P.I. Cohen, G.S. Petrich, P.R. Pukite, G.J. Whaley, A.S. Arrott, Surf. Sci. 216 (1989) 222]. Method of solution:Epitaxial

  10. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  11. GaN microwires as optical microcavities: whispering gallery modes Vs Fabry-Perot modes.

    PubMed

    Coulon, Pierre-Marie; Hugues, Maxime; Alloing, Blandine; Beraudo, Emmanuel; Leroux, Mathieu; Zuniga-Perez, Jesus

    2012-08-13

    GaN microwires grown by metalorganic vapour phase epitaxy and with radii typically on the order of 1-5 micrometers exhibit a number of resonances in their photoluminescence spectra. These resonances include whispering gallery modes and transverse Fabry-Perot modes. A detailed spectroscopic study by polarization-resolved microphotoluminescence, in combination with electron microscopy images, has enabled to differentiate both kinds of modes and determined their main spectral properties. Finally, the dispersion of the ordinary and extraordinary refractive indices of strain-free GaN in the visible-UV range has been obtained thanks to the numerical simulation of the observed modes.

  12. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  13. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    NASA Astrophysics Data System (ADS)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  14. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  15. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  16. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  17. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  18. Phase degradation in BxGa1-xN films grown at low temperature by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; Allerman, Andrew A.; Lee, Stephen R.

    2017-04-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of BxGa1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750-900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to 7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stacking faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at 362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. Only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.

  19. Aaron Ptak | NREL

    Science.gov Websites

    doping of III-Nitride materials grown by molecular beam epitaxy (MBE). He joined NREL after graduation in (0001) GaN Growth by Radio Frequency Plasma-Assisted Molecular Beam Epitaxy, A.J. Ptak, M.R. Millecchia . Phys. Lett. 77, 2479 (2000). Magnesium Incorporation in GaN Grown by rf-Plasma Assisted Molecular Beam

  20. Anharmonic phonon decay in cubic GaN

    NASA Astrophysics Data System (ADS)

    Cuscó, R.; Domènech-Amador, N.; Novikov, S.; Foxon, C. T.; Artús, L.

    2015-08-01

    We present a Raman-scattering study of optical phonons in zinc-blende (cubic) GaN for temperatures ranging from 80 to 750 K. The experiments were performed on high-quality, cubic GaN films grown by molecular-beam epitaxy on GaAs (001) substrates. The observed temperature dependence of the optical phonon frequencies and linewidths is analyzed in the framework of anharmonic decay theory, and possible decay channels are discussed in the light of density-functional-theory calculations. The longitudinal-optical (LO) mode relaxation is found to occur via asymmetric decay into acoustic phonons, with an appreciable contribution of higher-order processes. The transverse-optical mode linewidth shows a weak temperature dependence and its frequency downshift is primarily determined by the lattice thermal expansion. The LO phonon lifetime is derived from the observed Raman linewidth and an excellent agreement with previous theoretical predictions is found.

  1. A Kinetic Model for GaAs Growth by Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Simon, John; Jain, Nikhil

    2016-11-21

    Precise control of the growth of III-V materials by hydride vapor phase epitaxy (HVPE) is complicated by the fact that the growth rate depends on the concentrations of nearly all inputs to the reactor and also the reaction temperature. This behavior is in contrast to metalorganic vapor phase epitaxy (MOVPE), which in common practice operates in a mass transport limited regime where growth rate and alloy composition are controlled almost exclusively by flow of the Group III precursor. In HVPE, the growth rate and alloy compositions are very sensitive to temperature and reactant concentrations, which are strong functions of themore » reactor geometry. HVPE growth, particularly the growth of large area materials and devices, will benefit from the development of a growth model that can eventually be coupled with a computational fluid dynamics (CFD) model of a specific reactor geometry. In this work, we develop a growth rate law using a Langmuir-Hinshelwood (L-H) analysis, fitting unknown parameters to growth rate data from the literature that captures the relevant kinetic and thermodynamic phenomena of the HVPE process. We compare the L-H rate law to growth rate data from our custom HVPE reactor, and develop quantitative insight into reactor performance, demonstrating the utility of the growth model.« less

  2. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  3. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE PAGES

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R; ...

    2017-08-12

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  4. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  5. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  6. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  7. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    NASA Astrophysics Data System (ADS)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (< 200 nm) for the MBE-grown TMDs reported in the literature thus far is unsuitable for high-performance device applications. In this dissertation, the synthesis of TMDs by MBE and their implementation in device structures were investigated. van der Waals epitaxial growth of these TMDs (HfSe2, WTe2, WSe2, WTex Se2-x), due to the relaxed interactions at the interface, have been demonstrated on large lattice-mismatched substrates without strain and misfit dislocations. The fundamental nucleation and growth behavior of WSe2 was investigated through a detailed experimental design, combined with on-lattice, diffusion-based first principles kinetic modeling. Over one order of magnitude improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2

  8. First results for custom-built low-temperature (4.2 K) scanning tunneling microscope/molecular beam epitaxy and pulsed laser epitaxy system designed for spin-polarized measurements

    NASA Astrophysics Data System (ADS)

    Foley, Andrew; Alam, Khan; Lin, Wenzhi; Wang, Kangkang; Chinchore, Abhijit; Corbett, Joseph; Savage, Alan; Chen, Tianjiao; Shi, Meng; Pak, Jeongihm; Smith, Arthur

    2014-03-01

    A custom low-temperature (4.2 K) scanning tunneling microscope system has been developed which is combined directly with a custom molecular beam epitaxy facility (and also including pulsed laser epitaxy) for the purpose of studying surface nanomagnetism of complex spintronic materials down to the atomic scale. For purposes of carrying out spin-polarized STM measurements, the microscope is built into a split-coil, 4.5 Tesla superconducting magnet system where the magnetic field can be applied normal to the sample surface; since, as a result, the microscope does not include eddy current damping, vibration isolation is achieved using a unique combination of two stages of pneumatic isolators along with an acoustical noise shield, in addition to the use of a highly stable as well as modular `Pan'-style STM design with a high Q factor. First 4.2 K results reveal, with clear atomic resolution, various reconstructions on wurtzite GaN c-plane surfaces grown by MBE, including the c(6x12) on N-polar GaN(0001). Details of the system design and functionality will be presented.

  9. The impact of substrate selection for the controlled growth of graphene by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schumann, T.; Lopes, J. M. J.; Wofford, J. M.; Oliveira, M. H.; Dubslaff, M.; Hanke, M.; Jahn, U.; Geelhaar, L.; Riechert, H.

    2015-09-01

    We examine how substrate selection impacts the resulting film properties in graphene growth by molecular beam epitaxy (MBE). Graphene growth on metallic as well as dielectric templates was investigated. We find that MBE offers control over the number of atomic graphene layers regardless of the substrate used. High structural quality could be achieved for graphene prepared on Ni (111) films which were epitaxially grown on MgO (111). For growth either on Al2O3 (0001) or on (6√3×6√3)R30°-reconstructed SiC (0001) surfaces, graphene with a higher density of defects is obtained. Interestingly, despite their defective nature, the layers possess a well defined epitaxial relation to the underlying substrate. These results demonstrate the feasibility of MBE as a technique for realizing the scalable synthesis of this two-dimensional crystal on a variety of substrates.

  10. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  11. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces

    DOE PAGES

    Gruber, J.; Zhou, X. W.; Jones, R. E.; ...

    2017-05-15

    Here, we investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and (11more » $$\\bar{2}$$0) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of InxGa1-xN-alloy compositions (0 ≤ x ≤ 0.4) and homologous growth temperatures [0.50 ≤ T/T* m(x) ≤ 0.90], where T* m(x) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar (11$$\\bar{2}$$0) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. Finally, while the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.« less

  12. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces.

    PubMed

    Gruber, J; Zhou, X W; Jones, R E; Lee, S R; Tucker, G J

    2017-05-21

    We investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and ([Formula: see text]) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of In x Ga 1-x N-alloy compositions (0 ≤  x  ≤ 0.4) and homologous growth temperatures [0.50 ≤  T/T * m ( x ) ≤ 0.90], where T * m ( x ) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar ([Formula: see text]) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. While the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.

  13. Big-Data RHEED analysis for understanding epitaxial film growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in-situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED image, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the dataset are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of RHEED image sequence.more » This approach is illustrated for growth of LaxCa1-xMnO3 films grown on etched (001) SrTiO3 substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the assymetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.« less

  14. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  15. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    NASA Astrophysics Data System (ADS)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the <1 1 0> steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  16. Twin-mediated epitaxial growth of highly lattice-mismatched Cu/Ag core-shell nanowires.

    PubMed

    Weng, Wei-Lun; Hsu, Chin-Yu; Lee, Jheng-Syun; Fan, Hsin-Hsin; Liao, Chien-Neng

    2018-05-31

    Lattice-mismatch is an important factor for the heteroepitaxial growth of core-shell nanostructures. A large lattice-mismatch usually leads to a non-coherent interface or a polycrystalline shell layer. In this study, a conformal Ag layer is coated on Cu nanowires with dense nanoscale twin boundaries through a galvanic replacement reaction. Despite a large lattice mismatch between Ag and Cu (∼12.6%), the Ag shell replicates the twinning structure in Cu nanowires and grows epitaxially on the nanotwinned Cu nanowire. A twin-mediated growth mechanism is proposed to explain the epitaxy of high lattice-mismatch bimetallic systems in which the misfit dislocations are accommodated by coherent twin boundaries.

  17. Energy bands and acceptor binding energies of GaN

    NASA Astrophysics Data System (ADS)

    Xia, Jian-Bai; Cheah, K. W.; Wang, Xiao-Liang; Sun, Dian-Zhao; Kong, Mei-Ying

    1999-04-01

    The energy bands of zinc-blende and wurtzite GaN are calculated with the empirical pseudopotential method, and the pseudopotential parameters for Ga and N atoms are given. The calculated energy bands are in agreement with those obtained by the ab initio method. The effective-mass theory for the semiconductors of wurtzite structure is established, and the effective-mass parameters of GaN for both structures are given. The binding energies of acceptor states are calculated by solving strictly the effective-mass equations. The binding energies of donor and acceptor are 24 and 142 meV for the zinc-blende structure, 20 and 131, and 97 meV for the wurtzite structure, respectively, which are consistent with recent experimental results. It is proposed that there are two kinds of acceptor in wurtzite GaN. One kind is the general acceptor such as C, which substitutes N, which satisfies the effective-mass theory. The other kind of acceptor includes Mg, Zn, Cd, etc., the binding energy of these acceptors is deviated from that given by the effective-mass theory. In this report, wurtzite GaN is grown by the molecular-beam epitaxy method, and the photoluminescence spectra were measured. Three main peaks are assigned to the donor-acceptor transitions from two kinds of acceptors. Some of the transitions were identified as coming from the cubic phase of GaN, which appears randomly within the predominantly hexagonal material.

  18. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  19. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns

    PubMed Central

    2011-01-01

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials. PMID:22168918

  20. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns.

    PubMed

    Barbagini, Francesca; Bengoechea-Encabo, Ana; Albert, Steven; Martinez, Javier; Sanchez García, Miguel Angel; Trampert, Achim; Calleja, Enrique

    2011-12-14

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials.

  1. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effectmore » results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.« less

  2. A crystallographic investigation of GaN nanostructures by reciprocal space mapping in a grazing incidence geometry.

    PubMed

    Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo; Lee, Dong Ryeol; Lee, Hyun-Hwi

    2009-05-27

    Reciprocal space mapping with a two-dimensional (2D) area detector in a grazing incidence geometry was applied to determine crystallographic orientations of GaN nanostructures epitaxially grown on a sapphire substrate. By using both unprojected and projected reciprocal space mapping with a proper coordinate transformation, the crystallographic orientations of GaN nanostructures with respect to that of a substrate were unambiguously determined. In particular, the legs of multipods in the wurtzite phase were found to preferentially nucleate on the sides of tetrahedral cores in the zinc blende phase.

  3. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  4. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    NASA Astrophysics Data System (ADS)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  5. Molecular-beam heteroepitaxial growth and characterization of wide-band-gap semiconductor films and devices

    NASA Astrophysics Data System (ADS)

    Piquette, Eric Charles

    The thesis consists of two parts. Part I describes work on the molecular beam epitaxial (MBE) growth of GaN, AlN, and AlxGa 1-xN alloys, as well as efforts in the initial technical development and demonstration of nitride-based high power electronic devices. The major issues pertaining to MBE growth are discussed, including special requirements of the growth system, substrates, film nucleation, n - and p-type doping, and the dependence of film quality on growth parameters. The GaN films were characterized by a variety of methods, including high resolution x-ray diffraction, photoluminescence, and Hall effect measurement. It is found that the film polarity and extended defect density as well as quality of photoluminescence and electrical transport properties depend crucially on how the nitride layer is nucleated on the substrate and how the subsequent film surface morphology evolves, which can be controlled by the growth conditions. A technique is proposed and demonstrated that utilizes the control of morphology evolution to reduce defect density and improve the structural quality of MBE GaN films. In addition to growth, the design and processing of high voltage GaN Schottky diodes is presented, as well as an experimental study of sputter-deposited ohmic and rectifying metal contacts to GaN. Simple models for high power devices, based on materials properties such as minority carrier diffusion length and critical electric breakdown field, are used to estimate the voltage standoff capability, current carrying capacity, and maximum operating frequency of unipolar and bipolar GaN power devices. The materials and transport properties of GaN pertinent to high power device design were measured experimentally. High voltage Schottky rectifiers were fabricated which verify the impressive electric breakdown field of GaN (2--5 MV/cm). Electron beam induced current (EBIC) experiments were also conducted to measure the minority carrier diffusion length for both electrons and

  6. High kappa Dielectrics on InGaAs and GaN: Growth, Interfacial Structural Studies, and Surface Fermi Level Unpinning

    DTIC Science & Technology

    2010-12-24

    nano-thick Al2O3, HfO2, and Ga2O3 (Gd2O3)/ InGaAs (and GaN) using high-resolution x-ray reflectivity using in-situ/ex-situ high-resolution synchrotron...aligned inversion-channel In0.75Ga0.25As MOSFETs using MBE- grown Al2O3/ Ga2O3 (Gd2O3) Chips integrating high κ’s/InGaAs and /Ge onto Si substrates have...using molecular beam epitaxy (MBE)-Al2O3/ Ga2O3 (Gd2O3) [GGO] and atomic layer deposited (ALD)-Al2O3, with gate lengths (LG) of 1 μm and 0.4 μm

  7. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  8. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  9. Magnesium acceptor in gallium nitride. I. Photoluminescence from Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Ghimire, P.; Demchenko, D. O.

    2018-05-01

    Defect-related photoluminescence (PL) is analyzed in detail for n -type, p -type, and semi-insulating Mg-doped GaN grown by different techniques. The ultraviolet luminescence (UVL) band is the dominant PL band in conductive n -type and p -type GaN:Mg samples grown by hydride vapor phase epitaxy (HVPE) and molecular beam epitaxy. The UVL band in undoped and Mg-doped GaN samples is attributed to the shallow M gGa acceptor with the ionization energy of 223 meV. In semi-insulating GaN:Mg samples, very large shifts of the UVL band (up to 0.6 eV) are observed with variation of temperature or excitation intensity. The shifts are attributed to diagonal transitions, likely due to potential fluctuations or near-surface band bending. The blue luminescence (B LMg ) band is observed only in GaN:Mg samples grown by HVPE or metalorganic chemical vapor deposition when the concentration of Mg exceeds 1019c m-3 . The B LMg band is attributed to electron transitions from an unknown deep donor to the shallow M gGa acceptor. Basic properties of the observed PL are explained with a phenomenological model.

  10. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  11. Experimental evidence of Ga-vacancy induced room temperature ferromagnetic behavior in GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roul, Basanta; Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    We have grown Ga deficient GaN epitaxial films on (0001) sapphire substrate by plasma-assisted molecular beam epitaxy and report the experimental evidence of room temperature ferromagnetic behavior. The observed yellow emission peak in room temperature photoluminescence spectra and the peak positioning at 300 cm{sup -1} in Raman spectra confirms the existence of Ga vacancies. The x-ray photoelectron spectroscopic measurements further confirmed the formation of Ga vacancies; since the N/Ga is found to be >1. The ferromagnetism is believed to originate from the polarization of the unpaired 2p electrons of N surrounding the Ga vacancy.

  12. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  13. Anomalous Epitaxial Growth in Thermally Sprayed YSZ and LZ Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-Jun

    2017-08-01

    Thermally sprayed coatings are essentially layered materials, and lamellar interfaces are of great importance to coatings' performances. In the present study, to investigate the microstructures and defect features at thermally sprayed coating interfaces, homoepitaxial 8 mol.% yttria-stabilized zirconia (YSZ) and heteroepitaxial lanthanum zirconia (LZ) films were fabricated. The epitaxial interfaces were examined by high-resolution transmission electron microscope (HR-TEM) in detail. As a result, we report, for the first time, an anomalous incommensurate homoepitaxial growth with mismatch-induced dislocations in thermally sprayed YSZ splats to create a homointerface. We also find the anomalous heteroepitaxial growth in thermally sprayed LZ splats. The mechanism of the anomalous incommensurate growth was analyzed in detail. Essentially, it is a pseudo-heteroepitaxy because of the lattice mismatch between the film and the locally heated substrate, as the locally heated substrate is significantly strained by its cold surroundings. Moreover, the super-high-density dislocations were found in the interfacial region, which resulted from sufficient thermal fluctuations and extremely rapid cooling rates. Both the anomalous lattice mismatch and super-high-density dislocations lead to weak interfaces and violent cracking in thermally sprayed coatings. These were also the essential differences between the conventional and the present epitaxy by thermal spray technique.

  14. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  15. Universal description of III-V/Si epitaxial growth processes

    NASA Astrophysics Data System (ADS)

    Lucci, I.; Charbonnier, S.; Pedesseau, L.; Vallet, M.; Cerutti, L.; Rodriguez, J.-B.; Tournié, E.; Bernard, R.; Létoublon, A.; Bertru, N.; Le Corre, A.; Rennesson, S.; Semond, F.; Patriarche, G.; Largeau, L.; Turban, P.; Ponchet, A.; Cornet, C.

    2018-06-01

    Here, we experimentally and theoretically clarify III-V/Si crystal growth processes. Atomically resolved microscopy shows that monodomain three-dimensional islands are observed at the early stages of AlSb, AlN, and GaP epitaxy on Si, independently of misfit. It is also shown that complete III-V/Si wetting cannot be achieved in most III-V/Si systems. Surface/interface contributions to the free-energy variations are found to be prominent over strain relief processes. We finally propose a general and unified description of III-V/Si growth processes, including a description of the formation of antiphase boundaries.

  16. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I.

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffersmore » also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.« less

  17. Systematic Study of p-type Doping and Related Defects in III-Nitrides: Pathway toward a Nitride HBT

    DTIC Science & Technology

    2012-11-20

    InGaN growth where an intermediate regime does not exist.40 Considering GaN molecular - beam epitaxy (MBE) growth phase diagrams such as those...1009 (2007). 44 S. D. Burnham, Improved Understanding and Control of Magnesium-Doped Gallium Nitride by Plasma Assisted Molecular Beam Epitaxy , in...reported using a modified form of molecular beam epitaxy (MBE) called Metal-Modulated Epitaxy (MME).11, 12 The details of this shuttered technique

  18. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  19. Development of SiC Large Tapered Crystal Growth

    NASA Technical Reports Server (NTRS)

    Neudeck, Phil

    2011-01-01

    Research Focus Area: Power Electronics, Temperature Tolerant Devices. Demonstrate initial feasibility of totally new "Large Tapered Crystal" (LTC) process for growing vastly improved large-diameter wide-band gap wafers. Addresses Targets: The goal of this research is to experimentally investigate and demonstrate feasibility of the key unproven LTC growth processes in SiC. Laser-assisted growth of long SiC fiber seeds. Radial epitaxial growth enlargement of seeds into large SiC boules. Uniqueness and Impacts open a new technology path to large-diameter SiC and GaN wafers with 1000-fold defect density improvement at 2-4 fold lower cost. Leapfrog improvement in wide band gap power device capability and cost.

  20. Numerical simulations of epitaxial growth process in MOVPE reactor as a tool for design of modern semiconductors for high power electronics

    NASA Astrophysics Data System (ADS)

    Skibinski, Jakub; Caban, Piotr; Wejrzanowski, Tomasz; Kurzydlowski, Krzysztof J.

    2014-10-01

    In the present study numerical simulations of epitaxial growth of gallium nitride in Metal Organic Vapor Phase Epitaxy reactor AIX-200/4RF-S is addressed. Epitaxial growth means crystal growth that progresses while inheriting the laminar structure and the orientation of substrate crystals. One of the technological problems is to obtain homogeneous growth rate over the main deposit area. Since there are many agents influencing reaction on crystal area such as temperature, pressure, gas flow or reactor geometry, it is difficult to design optimal process. According to the fact that it's impossible to determine experimentally the exact distribution of heat and mass transfer inside the reactor during crystal growth, modeling is the only solution to understand the process precisely. Numerical simulations allow to understand the epitaxial process by calculation of heat and mass transfer distribution during growth of gallium nitride. Including chemical reactions in numerical model allows to calculate the growth rate of the substrate and estimate the optimal process conditions for obtaining the most homogeneous product.

  1. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  2. Arsenic Flux Dependence of Island Nucleation in InAs(001) Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Gyure, Mark; Grosse, Frank; Barvosa-Carter, Bill; Ross, Richard; Ratsch, Christian; Owen, James; Zinck, Jenna

    2001-03-01

    In spite of years of research on the epitaxial growth of III-V semiconductor materials, very little is known about basic growth mechanisms. In particular, the effects of As flux on the growth of GaAs and InAs is hardly understood at all even though, for InAs, the effects are clearly noticeable in the regime of interest for device growth. We have investigated the initial stages of InAs(001) epitaxial growth by combining high resolution kinetic Monte Carlo simulations based on ab initio density functional theory and scanning tunneling microscopy. With increasing As pressure, we find that the island number density decreases, consistent with similar recent data for GaAs, but inconsistent with at least one theoretical argument [1] and much of conventional wisdom regarding group III adatom diffusion in the presence of As. We identify the relevant growth mechanisms that depend on the As pressure and find that a higher As deposition rate leads to a decrease in the In adatom density during growth due to a higher incorporation rate for In at island and step edges. This reduces island nucleation and leads to a lower island density. This same mechanism also explains previously observed As flux trends for the step flow transition temperature. [1] J. Tersoff, M.D. Johnson and B.G. Orr, Phys. Rev. Lett. 78, 282 (1997)

  3. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  4. Electric field dynamics in nitride structures containing quaternary alloy (Al, In, Ga)N

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Borysiuk, J., E-mail: jolanta.borysiuk@ifpan.edu.pl; Faculty of Physics, University of Warsaw, Pasteura 5, 02-093 Warsaw; Sakowski, K.

    2016-07-07

    Molecular beam epitaxy growth and basic physical properties of quaternary AlInGaN layers, sufficiently thick for construction of electron blocking layers (EBL), embedded in ternary InGaN layers are presented. Transmission electron microscopy (TEM) measurement revealed good crystallographic structure and compositional uniformity of the quaternary layers contained in other nitride layers, which are typical for construction of nitride based devices. The AlInGaN layer was epitaxially compatible to InGaN matrix, strained, and no strain related dislocation creation was observed. The strain penetrated for limited depth, below 3 nm, even for relatively high content of indium (7%). For lower indium content (0.6%), the strain wasmore » below the detection limit by TEM strain analysis. The structures containing quaternary AlInGaN layers were studied by time dependent photoluminescence (PL) at different temperatures and excitation powers. It was shown that PL spectra contain three peaks: high energy donor bound exciton peak from the bulk GaN (DX GaN) and the two peaks (A and B) from InGaN layers. No emission from quaternary AlInGaN layers was observed. An accumulation of electrons on the EBL interface in high-In sample and formation of 2D electron gas (2DEG) was detected. The dynamics of 2DEG was studied by time resolved luminescence revealing strong dependence of emission energy on the 2DEG concentration. Theoretical calculations as well as power-dependence and temperature-dependence analysis showed the importance of electric field inside the structure. At the interface, the field was screened by carriers and could be changed by illumination. From these measurements, the dynamics of electric field was described as the discharge of carriers accumulated on the EBL.« less

  5. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  6. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    NASA Astrophysics Data System (ADS)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  7. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  8. Pyramidal defects in highly Mg-doped GaN: atomic structure and influence on optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Leroux, M.; Vennéguès, P.; Dalmasso, S.; de Mierry, P.; Lorenzini, P.; Damilano, B.; Beaumont, B.; Gibart, P.; Massies, J.

    2004-07-01

    A detailed transmission electron microscopy study is performed on the pyramidal inversion domains that appear in highly Mg-doped GaN grown by metalorganics vapor phase epitaxy or by the high-pressure, high-temperature method. From a comparison between high resolution images of the inversion domain boundaries and simulations using different atomic models, we conclude that both basal and inclined domain boundaries are likely formed of a monomolecular layer of the definite compound Mg{3}N{2}. We show that, due to their high concentration, the formation of these defects may account for auto-compensation in Mg-doped GaN. We also show that the local band bending induced by the polarity inversion due to these defects can be at the origin of the blue luminescence of highly Mg-doped GaN, always observed when nanometric pyramidal inversion domains are also present.

  9. Effects of reaction-kinetic parameters on modeling reaction pathways in GaN MOVPE growth

    NASA Astrophysics Data System (ADS)

    Zhang, Hong; Zuo, Ran; Zhang, Guoyi

    2017-11-01

    In the modeling of the reaction-transport process in GaN MOVPE growth, the selections of kinetic parameters (activation energy Ea and pre-exponential factor A) for gas reactions are quite uncertain, which cause uncertainties in both gas reaction path and growth rate. In this study, numerical modeling of the reaction-transport process for GaN MOVPE growth in a vertical rotating disk reactor is conducted with varying kinetic parameters for main reaction paths. By comparisons of the molar concentrations of major Ga-containing species and the growth rates, the effects of kinetic parameters on gas reaction paths are determined. The results show that, depending on the values of the kinetic parameters, the gas reaction path may be dominated either by adduct/amide formation path, or by TMG pyrolysis path, or by both. Although the reaction path varies with different kinetic parameters, the predicted growth rates change only slightly because the total transport rate of Ga-containing species to the substrate changes slightly with reaction paths. This explains why previous authors using different chemical models predicted growth rates close to the experiment values. By varying the pre-exponential factor for the amide trimerization, it is found that the more trimers are formed, the lower the growth rates are than the experimental value, which indicates that trimers are poor growth precursors, because of thermal diffusion effect caused by high temperature gradient. The effective order for the contribution of major species to growth rate is found as: pyrolysis species > amides > trimers. The study also shows that radical reactions have little effect on gas reaction path because of the generation and depletion of H radicals in the chain reactions when NH2 is considered as the end species.

  10. High Temperature Annealing of MBE-grown Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Contreras, S.; Konczewicz, L.; Peyre, H.; Juillaguet, S.; Khalfioui, M. Al; Matta, S.; Leroux, M.; Damilano, B.; Brault, J.

    2017-06-01

    In this report, are shown the results of high temperature resistivity and Hall Effect studies of Mg-doped GaN epilayers. The samples studied were grown on (0001) (c-plane) sapphire by molecular beam epitaxy and 0.5 μm GaN:Mg layers have been achieved on low temperature buffers of GaN (30 nm) and AlN ( 150 nm). The experiments were carried out in the temperature range from 300 K up to 900 K. Up to about 870 K a typical thermally activated conduction process has been observed with the activation energy value EA = 215 meV. However, for higher temperatures, an annealing effect is observed in all the investigated samples. The increase of the free carrier concentration as a function of time leads to an irreversible decrease of sample resistivity of more than 60%.

  11. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  12. Radiation sensors based on GaN microwires

    NASA Astrophysics Data System (ADS)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  13. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  14. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  15. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio

    2007-02-09

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface.

  16. Hetero-Orientation Epitaxial Growth of TiO2 Splats on Polycrystalline TiO2 Substrate

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-Jun

    2018-05-01

    In the present study, the effect of titania (TiO2) substrate grain size and orientation on the epitaxial growth of TiO2 splat was investigated. Interestingly, the splat presented comparable grain size with that of substrate, indicating the hereditary feature of grain size. In addition, hetero- and homo-orientation epitaxial growth was observed at deposition temperatures below 400 °C and above 500 °C, respectively. The preferential growth of high-energy (001) face was also observed at low deposition temperatures (≤ 400 °C), which was found to result from dynamic nonequilibrium effect during the thermal spray deposition. Moreover, thermal spray deposition paves the way for a new approach to prepare high-energy (001) facets of TiO2 crystals.

  17. Summary of in situ epitaxial nucleation and growth measurements. [for semiconducting single crystal PbSe films

    NASA Technical Reports Server (NTRS)

    Poppa, H.; Moorhead, R. D.; Heinemann, K.

    1974-01-01

    In situ nucleation and growth measurements of Ag and Au on single-crystal PbSe thin films were made using a transmission electron microscope. Properties studied were polymorphism, crystalline perfection, and the stoichiometric composition of the initial and the autoepitaxially thickened PbSe substrates. The quantitative nucleation and cluster growth measurements were limited to low-saturation conditions. The epitaxial orientations are discussed, and evidence is presented as to the stage of deposition at which the epitaxial order for Ag is introduced. Strong substrate/overgrowth interaction manifested itself by alloying and interdiffusion.

  18. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  19. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods.

    PubMed

    Mohammadi, F; Schmitzer, H; Kunert, G; Hommel, D; Ge, J; Duscher, G; Langbein, W; Wagner, H P

    2017-12-15

    We studied the emission of bare and aluminum quinoline (Alq 3 )/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ∼1.5 μm length and ∼250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq 3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq 3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq 3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  20. Identification of the primary compensating defect level responsible for determining blocking voltage of vertical GaN power diodes

    DOE PAGES

    King, M. P.; Kaplar, R. J.; Dickerson, J. R.; ...

    2016-10-31

    Electrical performance and characterization of deep levels in vertical GaN P-i-N diodes grown on low threading dislocation density (~10 4 –10 6 cm –2) bulk GaN substrates are investigated. The lightly doped n drift region of these devices is observed to be highly compensated by several prominent deep levels detected using deep level optical spectroscopy at E c-2.13, 2.92, and 3.2 eV. A combination of steady-state photocapacitance and lighted capacitance-voltage profiling indicates the concentrations of these deep levels to be N t = 3 × 10 12, 2 × 10 15, and 5 × 10 14 cm –3, respectively. Themore » E c-2.92 eV level is observed to be the primary compensating defect in as-grown n-type metal-organic chemical vapor deposition GaN, indicating this level acts as a limiting factor for achieving controllably low doping. The device blocking voltage should increase if compensating defects reduce the free carrier concentration of the n drift region. Understanding the incorporation of as-grown and native defects in thick n-GaN is essential for enabling large V BD in the next-generation wide-bandgap power semiconductor devices. Furthermore, controlling the as-grown defects induced by epitaxial growth conditions is critical to achieve blocking voltage capability above 5 kV.« less

  1. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  2. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  3. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  4. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  5. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE PAGES

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; ...

    2016-11-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  6. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  7. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  8. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    NASA Astrophysics Data System (ADS)

    Chen, Tai-Chou Papo

    high temperatures (800˜1050°C) in order to increase the solubility of nitrogen into the free Al on the surface of the growing film. The films were found to have smooth surface morphology with narrow on-axis X-ray diffraction (XRD) rocking curves and relatively broad off-axis XRD rocking curves attributed to the lack of a buffer layer during the film growth. The device aspect of this work involves the material formation and the device fabrication of Indium Gallium Nitride (InGaN) based LEDs on textured GaN templates produced spontaneously by either hydride vapor phase epitaxy (HVPE) or using a method of natural lithography and reactive ion etching. This part of the work includes the film deposition and characterization of InGaNJGaN quantum wells on smooth and textured GaN template.

  9. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    NASA Astrophysics Data System (ADS)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  10. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  11. Epitaxial graphene growth on FIB patterned 3C-SiC nanostructures on Si (111): reducing milling damage.

    PubMed

    Amjadipour, Mojtaba; MacLeod, Jennifer; Lipton-Duffin, Josh; Iacopi, Francesca; Motta, Nunzio

    2017-08-25

    Epitaxial growth of graphene on SiC is a scalable procedure that does not require any further transfer step, making this an ideal platform for graphene nanostructure fabrication. Focused ion beam (FIB) is a very promising tool for exploring the reduction of the lateral dimension of graphene on SiC to the nanometre scale. However, exposure of graphene to the Ga + beam causes significant surface damage through amorphisation and contamination, preventing epitaxial graphene growth. In this paper we demonstrate that combining a protective silicon layer with FIB patterning implemented prior to graphene growth can significantly reduce the damage associated with FIB milling. Using this approach, we successfully achieved graphene growth over 3C-SiC/Si FIB patterned nanostructures.

  12. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Erofeev, E. V., E-mail: erofeev@micran.ru; Fedin, I. V.; Kutkov, I. V.

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping levelmore » makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.« less

  13. In situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) at temperatures below 150 C

    NASA Technical Reports Server (NTRS)

    Nieh, C. W.; Lin, T. L.

    1989-01-01

    This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.

  14. Free-carrier mobility in GaN in the presence of dislocation walls

    NASA Astrophysics Data System (ADS)

    Farvacque, J.-L.; Bougrioua, Z.; Moerman, I.

    2001-03-01

    The free-carrier mobility versus carrier density in n-type GaN grown by low-pressure metal-organic vapor- phase epitaxy on a sapphire substrate experiences a particular behavior that consists of the appearance of a sharp transition separating a low- from a high-mobility regime. This separation appears as soon as the carrier density exceeds a critical value that depends on the growth process. Using low-field electrical transport simulations, we show that this particular mobility behavior cannot be simply interpreted in terms of dislocation scattering or trapping mechanisms, but that it is also controlled by the collective effect of dislocation walls (the columnar structure). As the free-carrier density increases, the more efficient screening properties result in the transition from a barrier-controlled mobility regime to a pure-diffusion-process-controlled mobility regime. The model permits us to reproduce the experimental mobility collapse quantitatively.

  15. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  16. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  17. Epitaxial Growth of Aligned and Continuous Carbon Nanofibers from Carbon Nanotubes.

    PubMed

    Lin, Xiaoyang; Zhao, Wei; Zhou, Wenbin; Liu, Peng; Luo, Shu; Wei, Haoming; Yang, Guangzhi; Yang, Junhe; Cui, Jie; Yu, Richeng; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Zhou, Weiya; Zhao, Weisheng; Fan, Shoushan; Jiang, Kaili

    2017-02-28

    Exploiting the superior properties of nanomaterials at macroscopic scale is a key issue of nanoscience. Different from the integration strategy, "additive synthesis" of macroscopic structures from nanomaterial templates may be a promising choice. In this paper, we report the epitaxial growth of aligned, continuous, and catalyst-free carbon nanofiber thin films from carbon nanotube films. The fabrication process includes thickening of continuous carbon nanotube films by gas-phase pyrolytic carbon deposition and further graphitization of the carbon layer by high-temperature treatment. As-fabricated nanofibers in the film have an "annual ring" cross-section, with a carbon nanotube core and a graphitic periphery, indicating the templated growth mechanism. The absence of a distinct interface between the carbon nanotube template and the graphitic periphery further implies the epitaxial growth mechanism of the fiber. The mechanically robust thin film with tunable fiber diameters from tens of nanometers to several micrometers possesses low density, high electrical conductivity, and high thermal conductivity. Further extension of this fabrication method to enhance carbon nanotube yarns is also demonstrated, resulting in yarns with ∼4-fold increased tensile strength and ∼10-fold increased Young's modulus. The aligned and continuous features of the films together with their outstanding physical and chemical properties would certainly promote the large-scale applications of carbon nanofibers.

  18. Molecular beam epitaxy of graphene on ultra-smooth nickel: growth mode and substrate interactions

    NASA Astrophysics Data System (ADS)

    Wofford, J. M.; Oliveira, M. H., Jr.; Schumann, T.; Jenichen, B.; Ramsteiner, M.; Jahn, U.; Fölsch, S.; Lopes, J. M. J.; Riechert, H.

    2014-09-01

    Graphene is grown by molecular beam epitaxy using epitaxial Ni films on MgO(111) as substrates. Raman spectroscopy and scanning tunneling microscopy reveal the graphene films to have few crystalline defects. While the layers are ultra-smooth over large areas, we find that Ni surface features lead to local non-uniformly thick graphene inclusions. The influence of the Ni surface structure on the position and morphology of these inclusions strongly suggests that multilayer graphene on Ni forms at the interface of the first complete layer and metal substrate in a growth-from-below mechanism. The interplay between Ni surface features and graphene growth behavior may facilitate the production of films with spatially resolved multilayer inclusions through engineered substrate surface morphology.

  19. III-nitride integration on ferroelectric materials of lithium niobate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Lee, Kyoung-Keun; Madison, Shannon M.; Henderson, Walter; Ralph, Stephen E.; Doolittle, W. Alan

    2005-10-01

    Integration of III-nitride electrical devices on the ferroelectric material lithium niobate (LiNbO3) has been demonstrated. As a ferroelectric material, lithium niobate has a polarization which may provide excellent control of the polarity of III-nitrides. However, while high temperature, 1000°C, thermal treatments produce atomically smooth surfaces, improving adhesion of GaN epitaxial layers on lithium niobate, repolarization of the substrate in local domains occurs. These effects result in multi domains of mixed polarization in LiNbO3, producing inversion domains in subsequent GaN epilayers. However, it is found that AlN buffer layers suppress inversion domains of III-nitrides. Therefore, two-dimensional electron gases in AlGaN /GaN heterojunction structures are obtained. Herein, the demonstration of the monolithic integration of high power devices with ferroelectric materials presents possibilities to control LiNbO3 modulators on compact optoelectronic/electronic chips.

  20. Effect of strain on gallium nitride and gallium indium arsenide nitride growth and doping

    NASA Astrophysics Data System (ADS)

    G. S., Sudhir

    GaN and the related (Al,In)N materials are currently used in manufacturing optoelectronic and electronic devices. However, the efficiency of these devices is limited due to lack of high structural quality and of low resistive p-type GaN. The GaN thin films are under strain during growth due to the large lattice mismatch, thermal expansion difference, and low growth temperature. Developing a better understanding of the effect of strain on the properties of thin films is important in furthering our knowledge of thin film growth affecting the performance of III-nitride based devices. Pulsed laser deposition was used to grow thin films of AlN and GaN on sapphire substrates. It is shown that the structure and surface morphology of layers are controlled by the nitrogen partial pressure during the growth. Through these nitrogen pressure related effects, thin films with microstructure ranging from crystalline to amorphous can be produced. A minimal surface root mean square roughness of 0.7 nm for amorphous AlN is obtained which compares well with the substrate roughness of 0.5 nm. Incorporation of impurities changes the lattice constants of thin films of GaN deposited on basal plane sapphire by molecular beam epitaxy. Both Mg (1017 cm-3) and Zn (3 x 10 20 cm-3) doping were found to expand the c lattice parameter by +0.38 x 10-2 and +0.62 x 10 -2, respectively. Oxygen up to concentrations 9 x 10 21 cm-3 is shown to replace nitrogen in GaN thin films reducing the c parameter only by a small amount. Incorporation of Si leads to a large decrease of the c parameter, which can not be attributed to the different size of Ga and Si. It is suggested that doping alters the film stoichiometry by a predicted Fermi level dependence of defect formation energies and thereby, lattice parameters and stress. A proper buffer layer design is shown to increase the incorporation of Mg by two orders of magnitude Finally, the balance of lattice parameter change caused by dopant and native point