Sample records for gan films deposited

  1. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  2. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  3. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  4. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  5. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    NASA Astrophysics Data System (ADS)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  6. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  7. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  8. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  9. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  10. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  11. Structural and magnetic properties of ultra-thin Fe films on metal-organic chemical vapour deposited GaN(0001)

    NASA Astrophysics Data System (ADS)

    Kim, Jun-Young; Ionescu, Adrian; Mansell, Rhodri; Farrer, Ian; Oehler, Fabrice; Kinane, Christy J.; Cooper, Joshaniel F. K.; Steinke, Nina-Juliane; Langridge, Sean; Stankiewicz, Romuald; Humphreys, Colin J.; Cowburn, Russell P.; Holmes, Stuart N.; Barnes, Crispin H. W.

    2017-01-01

    Structural and magnetic properties of 1-10 nm thick Fe films deposited on GaN(0001) were investigated. In-situ reflecting high energy electron diffraction images indicated a α-Fe(110)/GaN(0001) growth of the 3D Volmer-Weber type. The α-Fe(110) X-ray diffraction peak showed a 1° full-width at half-maximum, indicating ≈20 nm grain sizes. A significant reduction in Fe atomic moment from its bulk value was observed for films thinner than 4 nm. Both GaN/Fe interface roughness and Fe film coercivity increased with Fe thickness, indicating a possible deterioration of Fe crystalline quality. Magnetic anisotropy was mainly uniaxial for all films while hexagonal anisotropies appeared for thicknesses higher than 3.7 nm.

  12. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  13. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  14. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  15. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  16. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  17. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  18. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  19. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  20. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  1. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  2. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  3. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  4. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  5. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  6. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  7. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  8. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  9. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  10. Metal-organic chemical vapor deposition of N-polar InN quantum dots and thin films on vicinal GaN

    NASA Astrophysics Data System (ADS)

    Lund, Cory; Catalano, Massimo; Wang, Luhua; Wurm, Christian; Mates, Thomas; Kim, Moon; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2018-02-01

    N-polar InN layers were deposited using MOCVD on GaN-on-sapphire templates which were miscut 4° towards the GaN m-direction. For thin layers, quantum dot-like features were spontaneously formed to relieve the strain between the InN and GaN layers. As the thickness was increased, the dots elongated along the step direction before growing outward perpendicular to the step direction and coalescing to form a complete InN layer. XRD reciprocal space maps indicated that the InN films relaxed upon quantum dot formation after nominally 1 nm thick growth, resulting in 5-7 nm tall dots with diameters around 20-50 nm. For thicker layers above 10 nm, high electron mobilities of up to 706 cm2/V s were measured using Hall effect measurements indicating high quality layers.

  11. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  12. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    PubMed

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  13. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  14. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  15. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  16. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  17. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  18. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  19. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  20. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  1. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    NASA Astrophysics Data System (ADS)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  2. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  3. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  4. Selected Growth of Cubic and Hexagonal GaN Epitaxial Films on Polar MgO(111)

    NASA Astrophysics Data System (ADS)

    Lazarov, V. K.; Zimmerman, J.; Cheung, S. H.; Li, L.; Weinert, M.; Gajdardziska-Josifovska, M.

    2005-06-01

    Selected molecular beam epitaxy of zinc blende (111) or wurtzite (0001) GaN films on polar MgO(111) is achieved depending on whether N or Ga is deposited first. The cubic stacking is enabled by nitrogen-induced polar surface stabilization, which yields a metallic MgO(111)-(1×1)-ON surface. High-resolution transmission electron microscopy and density functional theory studies indicate that the atomically abrupt semiconducting GaN(111)/MgO(111) interface has a Mg-O-N-Ga stacking, where the N atom is bonded to O at a top site. This specific atomic arrangement at the interface allows the cubic stacking to more effectively screen the substrate and film electric dipole moment than the hexagonal stacking, thus stabilizing the zinc blende phase even though the wurtzite phase is the ground state in the bulk.

  5. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  6. Synthesis of galium nitride thin films using sol-gel dip coating method

    NASA Astrophysics Data System (ADS)

    Hamid, Maizatul Akmam Ab; Ng, Sha Shiong

    2017-12-01

    In this research, gallium nitride (GaN) thin film were grown on silicon (Si) substrate by a low-cost sol-gel dip coating deposition method. The GaN precursor solution was prepared using gallium (III) nitrate hydrate powder, ethanol and diethanolamine as a starting material, solvent and surfactant respectively. The structural, morphological and optical characteristics of the deposited GaN thin film were investigated. Field-emission scanning electron microscopy observations showed that crack free and dense grains GaN thin films were formed. Energy dispersive X-ray analysis confirmed that the oxygen content in the deposited films was low. X-ray diffraction results revealed that deposited GaN thin films have hexagonal wurtzite structure.

  7. Melioration of Optical and Electrical Performance of Ga-N Codoped ZnO Thin Films

    NASA Astrophysics Data System (ADS)

    Narayanan, Nripasree; Deepak, N. K.

    2018-06-01

    Transparent and conducting p-type zinc oxide (ZnO) thin films doped with gallium (Ga) and nitrogen (N) simultaneously were deposited on glass substrates by spray pyrolysis technique. Phase composition analysis by X-ray diffraction confirmed the polycrystallinity of the films with pure ZnO phase. Energy dispersive X-ray analysis showed excellent incorporation of N in the ZnO matrix by means of codoping. The optical transmittance of N monodoped film was poor but got improved with Ga-N codoping and also resulted in the enhancement of optical energy gap. Hole concentration increased with codoping and consequently, lower resistivity and high stability were obtained.

  8. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    NASA Astrophysics Data System (ADS)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  9. Poole-Frenkel effect on electrical characterization of Al-doped ZnO films deposited on p-type GaN

    NASA Astrophysics Data System (ADS)

    Huang, Bohr-Ran; Liao, Chung-Chi; Ke, Wen-Cheng; Chang, Yuan-Ching; Huang, Hao-Ping; Chen, Nai-Chuan

    2014-03-01

    This paper presents the electrical properties of Al-doped ZnO (AZO) films directly grown on two types of p-type GaN thin films. The low-pressure p-GaN thin films (LP-p-GaN) exhibited structural properties of high-density edge-type threading dislocations (TDs) and compensated defects (i.e., nitrogen vacancy). Compared with high-pressure p-GaN thin films (HP-p-GaN), X-ray photoemission spectroscopy of Ga 3d core levels indicated that the surface Fermi-level shifted toward the higher binding-energy side by approximately 0.7 eV. The high-density edge-type TDs and compensated defects enabled surface Fermi-level shifting above the intrinsic Fermi-level, causing the surface of LP-p-GaN thin films to invert to n-type semiconductor. A highly nonlinear increase in leakage current regarding reverse-bias voltage was observed for AZO/LP-p-GaN. The theoretical fits for the reverse-bias voltage region indicated that the field-assisted thermal ionization of carriers from defect associated traps, which is known as the Poole-Frenkel effect, dominated the I-V behavior of AZO/LP-p-GaN. The fitting result estimated the trap energy level at 0.62 eV below the conduction band edge. In addition, the optical band gap increased from 3.50 eV for as-deposited AZO films to 3.62 eV for 300 °C annealed AZO films because of the increased carrier concentration. The increasing Fermi-level of the 300 °C annealed AZO films enabled the carrier transport to move across the interface into the LP-p-GaN thin films without any thermal activated energy. Thus, the Ohmic behavior of AZO contact can be achieved directly on the low-pressure p-GaN films at room temperature.

  10. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  11. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  12. Injection Laser Using Rare Earth Doped GaN Thin Films for Visible and Infrared Applications

    DTIC Science & Technology

    2010-05-01

    system is used to measure the conductivity of GaN thin films in Nanolab. Undoped GaN thin films are usually n-type conductive with the electron ...being investigated for potential applications in optical communication and displays, due to the wide and direct energy bandgap of GaN resulting in low...enhancement on Eu3+ PL intensity. The electrical properties of GaN:RE thin films were changed from high resistive to

  13. Codoping characteristics of Zn with Mg in GaN

    NASA Astrophysics Data System (ADS)

    Kim, K. S.; Han, M. S.; Yang, G. M.; Youn, C. J.; Lee, H. J.; Cho, H. K.; Lee, J. Y.

    2000-08-01

    The doping characteristics of Mg-Zn codoped GaN films grown by metalorganic chemical vapor deposition are investigated. By means of the concept of Mg-Zn codoping technique, we have grown p-GaN showing a low electrical resistivity (0.72 Ω cm) and a high hole concentration (8.5×1017cm-3) without structural degradation of the film. It is thought that the codoping of Zn atoms with Mg raises the Mg activation ratio by reducing the hydrogen solubility in p-GaN. In addition, the measured specific contact resistance of Mg-Zn codoped GaN film is 5.0×10-4 Ω cm2, which is one order of magnitude lower than that of Mg doped only GaN film (1.9×10-3 Ω cm2).

  14. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  15. Poole-Frenkel effect on electrical characterization of Al-doped ZnO films deposited on p-type GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Bohr-Ran; Liao, Chung-Chi; Ke, Wen-Cheng, E-mail: wcke@saturn.yzu.edu.tw

    2014-03-21

    This paper presents the electrical properties of Al-doped ZnO (AZO) films directly grown on two types of p-type GaN thin films. The low-pressure p-GaN thin films (LP-p-GaN) exhibited structural properties of high-density edge-type threading dislocations (TDs) and compensated defects (i.e., nitrogen vacancy). Compared with high-pressure p-GaN thin films (HP-p-GaN), X-ray photoemission spectroscopy of Ga 3d core levels indicated that the surface Fermi-level shifted toward the higher binding-energy side by approximately 0.7 eV. The high-density edge-type TDs and compensated defects enabled surface Fermi-level shifting above the intrinsic Fermi-level, causing the surface of LP-p-GaN thin films to invert to n-type semiconductor. A highlymore » nonlinear increase in leakage current regarding reverse-bias voltage was observed for AZO/LP-p-GaN. The theoretical fits for the reverse-bias voltage region indicated that the field-assisted thermal ionization of carriers from defect associated traps, which is known as the Poole-Frenkel effect, dominated the I-V behavior of AZO/LP-p-GaN. The fitting result estimated the trap energy level at 0.62 eV below the conduction band edge. In addition, the optical band gap increased from 3.50 eV for as-deposited AZO films to 3.62 eV for 300 °C annealed AZO films because of the increased carrier concentration. The increasing Fermi-level of the 300 °C annealed AZO films enabled the carrier transport to move across the interface into the LP-p-GaN thin films without any thermal activated energy. Thus, the Ohmic behavior of AZO contact can be achieved directly on the low-pressure p-GaN films at room temperature.« less

  16. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  17. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  18. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  19. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  20. Electrochemical fabrication and optoelectronic properties of hybrid heterostructure of CuPc/porous GaN

    NASA Astrophysics Data System (ADS)

    Peng, Fei; Qin, Shuang-Jiao; Hu, Li-Feng; Wang, Juan-Ye; Yang, Jia-Mei; Chen, Xue-Qing; Pan, Ge-Bo

    2016-05-01

    A new hybrid heterostructure of p-type copper phthalocyanine (CuPc) and n-type porous GaN (PGaN) has been fabricated by electrophoretic deposition. The influence of CuPc concentration, electric field intensity, and deposition time on the growth of CuPc film has been explored. The as-prepared CuPc films are made of numerous nanorods. The X-ray diffraction (XRD) spectra revealed that the CuPc films are the β phase and amorphous type on pristine and porous GaN, respectively. Moreover, the prototype devices were fabricated on the basis of the CuPc/PGaN heterostructures. The devices exhibited excellent photodetector performance under ultraviolet (UV) light illumination.

  1. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  2. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  3. Piezo-phototronic effect on electroluminescence properties of p-type GaN thin films.

    PubMed

    Hu, Youfan; Zhang, Yan; Lin, Long; Ding, Yong; Zhu, Guang; Wang, Zhong Lin

    2012-07-11

    We present that the electroluminescence (EL) properties of Mg-doped p-type GaN thin films can be tuned by the piezo-phototronic effect via adjusting the minority carrier injection efficiency at the metal-semiconductor (M-S) interface by strain induced polarization charges. The device is a metal-semiconductor-metal structure of indium tin oxide (ITO)-GaN-ITO. Under different straining conditions, the changing trend of the transport properties of GaN films can be divided into two types, corresponding to the different c-axis orientations of the films. An extreme value was observed for the integral EL intensity under certain applied strain due to the adjusted minority carrier injection efficiency by piezoelectric charges introduced at the M-S interface. The external quantum efficiency of the blue EL at 430 nm was changed by 5.84% under different straining conditions, which is 1 order of magnitude larger than the change of the green peak at 540 nm. The results indicate that the piezo-phototronic effect has a larger impact on the shallow acceptor states related EL process than on the one related to the deep acceptor states in p-type GaN films. This study has great significance on the practical applications of GaN in optoelectronic devices under a working environment where mechanical deformation is unavoidable such as for flexible/printable light emitting diodes.

  4. Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties

    DTIC Science & Technology

    2010-04-01

    observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b

  5. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  6. Investigation on the compensation effect of residual carbon impurities in low temperature grown Mg doped GaN films

    NASA Astrophysics Data System (ADS)

    Yang, J.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Liu, Z. S.; Le, L. C.; Li, X. J.; He, X. G.; Liu, J. P.; Zhang, S. M.; Wang, H.; Zhu, J. J.; Yang, H.

    2014-04-01

    The influence of unintentionally doped carbon impurities on electrical resistivity and yellow luminescence (YL) of low-temperature (LT) grown Mg doped GaN films is investigated. It is found that the resistivity of Mg doped GaN films are closely related to the residual carbon impurity concentration, which may be attributed to the compensation effect of carbon impurities. The carbon impurity may preferentially form deep donor complex CN-ON resulting from its relatively low formation energy. This complex is an effective compensate center for MgGa acceptors as well as inducing YL in photoluminescence spectra. Thus, the low resistivity LT grown p-type GaN films can be obtained only when the residual carbon impurity concentration is sufficiently low, which can explain why LT P-GaN films with lower resistivity were obtained more easily when relatively higher pressure, temperature, or NH3/TMGa flow rate ratio were used in the LT grown Mg doped GaN films reported in earlier reports.

  7. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  8. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery.

    PubMed

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-10-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10(15) cm(-3), by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  9. Photochemical Modification of Single Crystalline GaN Film Using n-Alkene with Different Carbon Chain Lengths as Biolinker.

    PubMed

    Wang, Chun; Zhuang, Hao; Huang, Nan; Heuser, Steffen; Schlemper, Christoph; Zhai, Zhaofeng; Liu, Baodan; Staedler, Thorsten; Jiang, Xin

    2016-06-14

    As a potential material for biosensing applications, gallium nitride (GaN) films have attracted remarkable attention. In order to construct GaN biosensors, a corresponding immobilization of biolinkers is of great importance in order to render a surface bioactive. In this work, two kinds of n-alkenes with different carbon chain lengths, namely allylamine protected with trifluoroacetamide (TFAAA) and 10-aminodec-1-ene protected with trifluoroacetamide (TFAAD), were used to photochemically functionalize single crystalline GaN films. The successful linkage of both TFAAA and TFAAD to the GaN films is confirmed by time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurement. With increased UV illumination time, the intensity of the secondary ions corresponding to the linker molecules initially increases and subsequently decreases in both cases. Based on the SIMS measurements, the maximum coverage of TFAAA is achieved after 14 h of UV illumination, while only 2 h is required in the case of TFAAD to reach the situation of a fully covered GaN surface. This finding leads to the conclusion that the reaction rate of TFAAD is significantly higher compared to TFAAA. Measurements by atomic force microscopy (AFM) indicate that the coverage of GaN films by a TFAAA layer leads to an increased surface roughness. The atomic terraces, which are clearly observable for the pristine GaN films, disappear once the surface is fully covered by a TFAAA layer. Such TFAAA layers will feature a homogeneous surface topography even for reaction times of 24 h. In contrast to this, TFAAD shows strong cross-polymerization on the surface, this is confirmed by optical microscopy. These results demonstrate that TFAAA is a more suitable candidate as biolinker in context of the GaN surfaces due to its improved controllability.

  10. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    NASA Astrophysics Data System (ADS)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  11. Highly crystalline MoS{sub 2} thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Serrao, Claudy R.; You, Long; Gadgil, Sushant

    2015-02-02

    Highly crystalline thin films of MoS{sub 2} were prepared over large area by pulsed laser deposition down to a single monolayer on Al{sub 2}O{sub 3} (0001), GaN (0001), and SiC-6H (0001) substrates. X-ray diffraction and selected area electron diffraction studies show that the films are quasi-epitaxial with good out-of-plane texture. In addition, the thin films were observed to be highly crystalline with rocking curve full width half maxima of 0.01°, smooth with a RMS roughness of 0.27 nm, and uniform in thickness based on Raman spectroscopy. From transport measurements, the as-grown films were found to be p-type.

  12. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  13. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    NASA Astrophysics Data System (ADS)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  14. Partially filled intermediate band of Cr-doped GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sonoda, S.

    2012-05-14

    We investigated the band structure of sputtered Cr-doped GaN (GaCrN) films using optical absorption, photoelectron yield spectroscopy, and charge transport measurements. It was found that an additional energy band is formed in the intrinsic band gap of GaN upon Cr doping, and that charge carriers in the material move in the inserted band. Prototype solar cells showed enhanced short circuit current and open circuit voltage in the n-GaN/GaCrN/p-GaN structure compared to the GaCrN/p-GaN structure, which validates the proposed concept of an intermediate-band solar cell.

  15. Refractive index of erbium doped GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alajlouni, S.; Sun, Z. Y.; Li, J.

    2014-08-25

    GaN is an excellent host for erbium (Er) to provide optical emission in the technologically important as well as eye-safe 1540 nm wavelength window. Er doped GaN (GaN:Er) epilayers were synthesized on c-plane sapphire substrates using metal organic chemical vapor deposition. By employing a pulsed growth scheme, the crystalline quality of GaN:Er epilayers was significantly improved over those obtained by conventional growth method of continuous flow of reaction precursors. X-ray diffraction rocking curve linewidths of less than 300 arc sec were achieved for the GaN (0002) diffraction peak, which is comparable to the typical results of undoped high quality GaN epilayers andmore » represents a major improvement over previously reported results for GaN:Er. Spectroscopic ellipsometry was used to determine the refractive index of the GaN:Er epilayers in the 1540 nm wavelength window and a linear dependence on Er concentration was found. The observed refractive index increase with Er incorporation and the improved crystalline quality of the GaN:Er epilayers indicate that low loss GaN:Er optical waveguiding structures are feasible.« less

  16. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  17. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  18. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  19. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  20. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  1. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  2. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  3. Influence of hydrogen impurities on p-type resistivity in Mg-doped GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jing; Zhao, Degang, E-mail: dgzhao@red.semi.ac.cn; Jiang, Desheng

    2015-03-15

    The effects of hydrogen impurities on p-type resistivity in Mg-doped GaN films were investigated. It was found that hydrogen impurities may have the dual role of passivating Mg{sub Ga} acceptors and passivating donor defects. A decrease in p-type resistivity when O{sub 2} is introduced during the postannealing process is attributed to the fact that annealing in an O{sub 2}-containing environment can enhance the dissociation of Mg{sub Ga}-H complexes as well as the outdiffusion of H atoms from p-GaN films. However, low H concentrations are not necessarily beneficial in Mg-doped GaN films, as H atoms may also be bound at donormore » species and passivate them, leading to the positive effect of reduced compensation.« less

  4. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    NASA Astrophysics Data System (ADS)

    Grave, Daniel A.

    keeping a large adatom diffusion length on the film surface. Crystallographic texture evolution in the Gd2O3 films was investigated for different substrate types. At high rates, it was shown that films deposited on different substrates (quartz, silicon, sapphire, and GaN) all had similar theta-2theta diffraction patterns, suggesting that films grew similarly on different substrates due to the low adatom mobility. However, significant differences in texture were observed for films deposited at low rates (< 1 A/s) and high temperature (650°C) on different substrates. For evaluation of in-plane texture in the Gd2O 3 films, pole figure analysis was performed. Mixed phase films deposited at high rates and low temperature showed weak out-of-plane texture and random in-plane texture. Mixed phase films deposited at high temperatures possessed a fiber texture (strong out-of-plane texture), but lacked the necessary adatom mobility to develop in-plane texture. For single phase cubic films grown under low rates of deposition, out-of-plane texture was observed on quartz substrates. However, weak and strong in-plane textures were observed for sapphire and GaN substrates, respectively. The use of ion bombardment resulted in the formation of moderate biaxial texture for films grown on quartz. For films grown on sapphire, a very strong biaxial texture was achieved with ion bombardment which adds additional energy to the system. The effects of processing on the structure, composition, and interfacial chemistry of the Gd2O3 films were investigated. The results showed that films primarily adhered to the Structure-Zone models with a few exceptions. The deviation from the Structure-Zone model was explained by the combined effects of columnar growth, shadowing, and adatom mobility. At low deposition temperatures, decreasing oxygen flow resulted in increased film density due to higher adatom mobility. Films deposited at this temperature were characterized by small (10-15 nm) nanocrystalline

  5. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE PAGES

    Parameshwaran, Vijay; Clemens, Bruce

    2016-08-17

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  6. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parameshwaran, Vijay; Clemens, Bruce

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  7. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  8. X-ray probe of GaN thin films grown on InGaN compliant substrates

    NASA Astrophysics Data System (ADS)

    Xu, Xiaoqing; Li, Yang; Liu, Jianming; Wei, Hongyuan; Liu, Xianglin; Yang, Shaoyan; Wang, Zhanguo; Wang, Huanhua

    2013-04-01

    GaN thin films grown on InGaN compliant substrates were characterized by several X-ray technologies: X-ray reciprocal space mapping (RSM), grazing incidence X-ray diffraction (GIXRD), and X-ray photoemission spectrum (XPS). Narrow Lorentz broadening and stress free state were observed for GaN grown on InGaN compliant substrate, while mosaic structure and large tensile stress were observed at the presence of residual indium atoms. RSM disclosed the mosaicity, and the GIXRD was conducted to investigate the depth dependences of crystal quality and strain states. XPS depth profile of indium contents indicated that residual indium atoms deteriorated the crystal quality of GaN not only by producing lattice mismatch at the interface of InGaN and GaN but also by diffusing into GaN overlayers. Accordingly, two solutions were proposed to improve the efficiency of self-patterned lateral epitaxial overgrowth method. This research goes a further step in resolving the urgent substrate problem in GaN fabrication.

  9. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  10. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  11. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Ueno, Kohei; Fudetani, Taiga; Arakawa, Yasuaki; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-12-01

    We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD) technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm-3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V-1 s-1 at a carrier concentration of 3.9 × 1020 cm-3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  12. Ga2O3 and GaN nanocrystalline film: reverse micelle assisted solvothermal synthesis and characterization.

    PubMed

    Sinha, Godhuli; Ganguli, Dibyendu; Chaudhuri, Subhadra

    2008-03-01

    Gallium oxide (beta-Ga2O3) nanoparticles were successfully deposited on quartz glass substrates using sodium bis(2-ethylhexyl) sulfosuccinate (AOT)/n-hexane/ethylene glycol monomethyl ether (EGME) reverse micelle-mediated solvothermal process with different omega values. The mean diameter of Ga2O3 particles was approximately 2-3 nm and found to be approximately independent of omega values of the reverse micelles. However, when the Ga2O3 nanocrystalline films were nitrided at 900 degrees C under flowing NH3 atmosphere for 1 h, the mean diameter of the resulted gallium nitride (wurtzite-GaN) nanoparticles varied from 3-9 nm. Both nanocrystalline films of Ga2O3 and GaN were characterized by X-ray diffraction (XRD), transmission electron microscopy (TEM), Fourier transform infrared (FTIR) spectroscopy, UV-vis spectroscopy and photoluminescence in order to study their chemical and physical properties explicitly.

  13. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  14. Transmission electron microscopy study of microstructural properties and dislocation characterization in the GaN film grown on the cone-shaped patterned Al2O3 substrate.

    PubMed

    Park, Jung Sik; Yang, Jun-Mo; Park, Kyung Jin; Park, Yun Chang; Yoo, Jung Ho; Jeong, Chil Seong; Park, Jucheol; He, Yinsheng; Shin, Keesam

    2014-02-01

    Growing a GaN film on a patterned Al2O3 substrate is one of the methods of reducing threading dislocations (TDs), which can significantly deteriorate the performance of GaN-based LEDs. In this study, the microstructural details of the GaN film grown on a cone-shaped patterned Al2O3 substrate were investigated using high-resolution transmission electron microscopy and weak-beam dark-field techniques. Various defects such as misfit dislocations (MDs), recrystallized GaN (R-GaN) islands and nano-voids were observed on the patterned Al2O3 surfaces, i.e. the flat surface (FS), the inclined surface (IS) and the top surface (TS), respectively. Especially, the crystallographic orientation of R-GaN between the GaN film and the inclined Al2O3 substrate was identified as $[\\overline 1 2\\overline 1 0]_{{\\rm GaN}} \\hbox{//}[\\overline 1 101]_{{\\rm R - GaN} \\,{\\rm on}\\,{\\rm IS}} \\hbox{//}[\\overline 1 100]_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $, $(\\overline 1 012)_{{\\rm GaN}} \\hbox{//}(1\\overline 1 02)_{{\\rm R - Ga}\\,{\\rm Non}\\,{\\rm IS}} \\hbox{//}(\\overline {11} 26)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $. In addition, a rotation by 9° between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0002)_{{\\rm GaN}} $ and between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0006)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $ was found to reduce the lattice mismatch between the GaN film and the Al2O3 substrate. Many TDs in the GaN film were observed on the FS and TS of Al2O3. However, few TDs were observed on the IS. Most of the TDs generated from the FS of Al2O3 were bent to the inclined facet rather than propagating to the GaN surface, resulting in a reduction in the dislocation density. Most of the TDs generated from the TS of Al2O3 were characterized as edge dislocations.

  15. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  16. Measurement of second order susceptibilities of GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.

    2005-03-01

    Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.

  17. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  18. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  19. Understanding luminescence properties of grain boundaries in GaN thin films and their atomistic origin

    NASA Astrophysics Data System (ADS)

    Yoo, Hyobin; Yoon, Sangmoon; Chung, Kunook; Kang, Seoung-Hun; Kwon, Young-Kyun; Yi, Gyu-Chul; Kim, Miyoung

    2018-03-01

    We report our findings on the optical properties of grain boundaries in GaN films grown on graphene layers and discuss their atomistic origin. We combine electron backscatter diffraction with cathodoluminescence to directly correlate the structural defects with their optical properties, enabling the high-precision local luminescence measurement of the grain boundaries in GaN films. To further understand the atomistic origin of the luminescence properties, we carefully probed atomic core structures of the grain boundaries by exploiting aberration-corrected scanning transmission electron microscopy. The atomic core structures of grain boundaries show different ordering behaviors compared with those observed previously in threading dislocations. Energetics of the grain boundary core structures and their correlation with electronic structures were studied by first principles calculation.

  20. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  1. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  2. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  3. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  4. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  5. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  6. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  7. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  8. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  9. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  10. Epitaxy of Zn{sub 2}TiO{sub 4} (1 1 1) thin films on GaN (0 0 1)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiao, Chu-Yun; Wu, Jhih-Cheng; Shih, Chuan-Feng, E-mail: cfshih@mail.ncku.edu.tw

    2013-03-15

    Highlights: ► High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by sputtering. ► Oxygen atmosphere and post heat-treatment annealing effectively enhanced epitaxy. ► The epitaxial Zn{sub 2}TiO{sub 4} modifies the dielectric properties of ceramic oxide. - Abstract: High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by rf-sputtering. Grazing-angle, powder, and pole-figure X-ray diffractometries (XRD) were performed to identify the crystallinity and the preferred orientation of the Zn{sub 2}TiO{sub 4} films. Lattice image at the Zn{sub 2}TiO{sub 4} (1 1 1)/GaN (0 0 1) interface was obtained by high-resolutionmore » transmission-electron microscopy (HR-TEM). An oxygen atmosphere in sputtering and post heat-treatment using rapid thermal annealing effectively enhanced the epitaxy. The epitaxial relationship was determined from the XRD and HR-TEM results: (111){sub Zn{sub 2TiO{sub 4}}}||(001){sub GaN}, (202{sup ¯}){sub Zn{sub 2TiO{sub 4}}}||(110){sub GaN},and[21{sup ¯}1{sup ¯}]{sub Zn{sub 2TiO{sub 4}}}||[01{sup ¯}10]{sub GaN}. Finally, the relative permittivity, interfacial trap density and the flat-band voltage of the Zn{sub 2}TiO{sub 4} based capacitor were ∼18.9, 8.38 × 10{sup 11} eV{sup −1} cm{sup −2}, and 1.1 V, respectively, indicating the potential applications of the Zn{sub 2}TiO{sub 4} thin film to the GaN-based metal-oxide-semiconductor capacitor.« less

  11. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    NASA Astrophysics Data System (ADS)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  12. Preparation of p-type GaN-doped SnO2 thin films by e-beam evaporation and their applications in p-n junction

    NASA Astrophysics Data System (ADS)

    Lv, Shuliang; Zhou, Yawei; Xu, Wenwu; Mao, Wenfeng; Wang, Lingtao; Liu, Yong; He, Chunqing

    2018-01-01

    Various transparent GaN-doped SnO2 thin films were deposited on glass substrates by e-beam evaporation using GaN:SnO2 targets of different GaN weight ratios. It is interesting to find that carrier polarity of the thin films was converted from n-type to p-type with increasing GaN ratio higher than 15 wt.%. The n-p transition in GaN-doped SnO2 thin films was explained for the formation of GaSn and NO with increasing GaN doping level in the films, which was identified by Hall measurement and XPS analysis. A transparent thin film p-n junction was successfully fabricated by depositing p-type GaN:SnO2 thin film on SnO2 thin film, and a low leakage current (6.2 × 10-5 A at -4 V) and a low turn-on voltage of 1.69 V were obtained for the p-n junction.

  13. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  14. Study of Doped ZnO Films Synthesized by Combining Vapor Gases and Pulsed Laser Deposition

    NASA Technical Reports Server (NTRS)

    Zhu, Shen; Su, Ching-Hua; Lehoczky, Sandor L.; George, M. A.

    2000-01-01

    The properties and structure of the ZnO material are similar to those of the GaN. Since an excitonic binding energy of ZnO is about 60 meV, it has strong potential for excitonic lasing at the room temperature. This makes synthesizing ZnO films for applications attractive. However, there are several hurdles in fabricating electro-optical devices from ZnO. One of those is in growing doped p-type ZnO films. Although techniques have been developed for the doping of both p-type and n-type ZnO, this remains an area that can be improved. In this presentation, we will report the experimental results of using both thermal vapor and pulsed laser deposition to grow doped ZnO films. The films are deposited on (0001) sapphire, (001) Si and quartz substrates by ablating a ZnO target. The group III and V elements are introduced into the growth chamber using inner gases. Films are characterized by x-ray diffraction, scanning probe microscopy, energy dispersive spectroscopy, Auger electron spectroscopy, and electrical measurements. The full width at half maximum of theta rocking curves for epitaxial films is less than 0.5 deg. In textured films, it rises to several degrees. Film surface morphology reveals an island growth pattern, but the size and density of these islands vary with the composition of the reactive gases. The electrical resistivity also changes with the doped elements. The relationship between the doping elements, gas composition, and film properties will be discussed.

  15. Ga flux dependence of Er-doped GaN luminescent thin films

    NASA Astrophysics Data System (ADS)

    Lee, D. S.; Steckl, A. J.

    2002-02-01

    Er-doped GaN thin films have been grown on (111) Si substrates with various Ga fluxes in a radio frequency plasma molecular beam epitaxy system. Visible photoluminescence (PL) and electroluminescence (EL) emission at 537/558 nm and infrared (IR) PL emission at 1.5 μm from GaN:Er films exhibited strong dependence on the Ga flux. Both visible and IR PL and visible EL increase with the Ga flux up to the stoichiometric growth condition, as determined by growth rate saturation. Beyond this condition, all luminescence levels abruptly dropped to the detection limit with increasing Ga flux. The Er concentration, measured by secondary ion mass spectroscopy and Rutherford backscattering, decreases with increasing Ga flux under N-rich growth conditions and remains constant above the stoichiometric growth condition. X-ray diffraction indicated that the crystalline quality of the GaN:Er film was improved with increasing Ga flux up to stoichiometric growth condition and then saturated. Er ions in the films grown under N-rich conditions appear much more optically active than those in the films grown under Ga-rich conditions.

  16. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  17. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  18. Predicted lattice-misfit stresses in a gallium-nitride (GaN) film

    NASA Astrophysics Data System (ADS)

    Suhir, E.; Yi, S.

    2017-02-01

    Effective, easy-to-use and physically meaningful analytical predictive models are developed for the evaluation the lattice-misfit stresses (LMS) in a semiconductor film grown on a circular substrate (wafer). The two-dimensional (plane-stress) theory-of-elasticity approximation (TEA) is employed. First of all, the interfacial shearing stresses are evaluated. These stresses might lead to the occurrence and growth of dislocations, as well as to possible delaminations (adhesive strength of the assembly) and the elevated stress and strain in the buffering material, if any (cohesive strength of the assembly). Second of all, the normal radial and circumferential (tangential) stresses acting in the film cross-sections are determined. These stresses determine the short- and long-term strength (fracture toughness) of the film material. It is shown that while the normal stresses in the semiconductor film are independent of its thickness, the interfacial shearing stresses increase with an increase in the induced force (not stress!) acting in the film cross-sections, and that this force increases with an increase in the film thickness. This leads, for a thick enough film, to the occurrence, growth and propagation of dislocations. These start at the assembly ends and propagate, when the film thickness increases, inwards the structure. The TEA data are compared with the results obtained using a simplified strength-of-materials approach (SMA). This approach considers, instead of an actual circular assembly, an elongated bi-material rectangular strip of unit width and of finite length equal to the wafer diameter. The analysis, although applicable to any semiconductor crystal growth (SCG) technology is geared in this analysis to the Gallium-Nitride (GaN) technology. The numerical example is carried out for a GaN film grown on a Silicon Carbide (SiC) substrate. It is concluded that the SMA model is acceptable for understanding the physics of the state of stress and for the prediction

  19. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  20. Ion beam sputter deposited diamond like films

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Rutledge, S. K.

    1982-01-01

    A single argon ion beam source was used to sputter deposit carbon films on fused silica, copper, and tantalum substrates under conditions of sputter deposition alone and sputter deposition combined with simultaneous argon ion bombardment. Simultaneously deposited and ion bombarded carbon films were prepared under conditions of carbon atom removal to arrival ratios of 0, 0.036, and 0.71. Deposition and etch rates were measured for films on fused silica substrates. Resulting characteristics of the deposited films are: electrical resistivity of densities of 2.1 gm/cu cm for sputter deposited films and 2.2 gm/cu cm for simultaneously sputter deposited and Ar ion bombarded films. For films approximately 1700 A thick deposited by either process and at 5550 A wavelength light the reflectance was 0.2, the absorptance was 0.7, the absorption coefficient was 67,000 cm to the -1 and the transmittance was 0.1.

  1. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  2. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    on the GaN films are presented. In the deposition GaP thin films by remote PECVD, trimethylgallium and in-situ generated phosphine precursors are employed as source gases which permits homo- and heteroepitaxial growth as substrate temperature of 590-620^ circC. Also, the growth kinetics of gallium phosphide is discussed. As in the case of GaN, the surface, structural, chemical, optical, and electrical properties are characterized and the results are discussed.

  3. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  4. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  5. Stress related aspects of GaN technology physics

    NASA Astrophysics Data System (ADS)

    Suhir, Ephraim

    2015-03-01

    Simple, easy-to-use and physically meaningful analytical models have been developed for the assessment of the combined effect of the lattice and thermal mismatch on the induced stresses in an elongated bi-material assembly, as well as on the thermal mismatch on the thermal stresses in a tri-material assembly, in which the lattice mismatched stresses are eliminated in one way or another. This could be done, e.g., by using a polished or an etched substrate. The analysis is carried out in application to Gallium Nitride (GaN)-Silicon Carbide (SiC) and GaN-diamond (C) filmsubstrate assemblies. The calculated data are obtained, assuming that no annealing or other stress reduction means is applied. The data agree reasonably well with the reported (available) in-situ measurements. The most important conclusion from the computed data is that even if a reasonably good lattice match takes place (as, e.g., in the case of a GaN film fabricated on a SiC substrate, when the mismatch strain is only about 3%) and, in addition, the temperature change (from the fabrication/growth temperature to the operation temperature) is significant (as high as 1000 °C), the thermal stresses are still considerably lower than the lattice-mismatch stresses. Although there are structural and technological means for further reduction of the lattice-mismatch stresses (e.g., by high temperature annealing or by providing one or more buffering layers, or by using patterned or porous substrates), there is still a strong incentive to eliminate completely the lattice mismatch stresses. This seems to be indeed possible, if polished or otherwise flattened (e.g., chemically etched) substrates and sputter deposited GaN film is employed. In such a case only thermal stresses remain, but even these could be reduced, if necessary, by using compliant buffering layers, including layers of variable compliance, or by introducing variable compliance into the properly engineered substrate. In any event, it is expected

  6. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  7. Influences of growth parameters on the reaction pathway during GaN synthesis

    NASA Astrophysics Data System (ADS)

    Zhang, Zhi; Liu, Zhongyi; Fang, Haisheng

    2018-01-01

    Gallium nitride (GaN) film growth is a complicated physical and chemical process including fluid flow, heat transfer, species transport and chemical reaction. Study of the reaction mechanism, i.e., the reaction pathway, is important for optimizing the growth process in the actual manufacture. In the paper, the growth pathway of GaN in a closed-coupled showerhead metal-organic chemical vapor deposition (CCS-MOCVD) reactor is investigated in detail using computational fluid dynamics (CFD). Influences of the process parameters, such as the chamber pressure, the inlet temperature, the susceptor temperature and the pre-exponential factor, on the reaction pathway are examined. The results show that increases of the chamber pressure or the inlet temperature, as well as reductions of the susceptor temperature or the pre-exponential factor lead to the adduct route dominating the growth. The deposition rate contributed by the decomposition route, however, can be enhanced dramatically by increasing the inlet temperature, the susceptor temperature and the pre-exponential factor.

  8. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  9. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  10. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  11. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  12. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  13. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  14. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  15. Analysis and characterization of Cu2CdSnS4 quaternary alloy nanostructures deposited on GaN

    NASA Astrophysics Data System (ADS)

    Odeh, Ali Abu; Al-Douri, Y.; Ameri, M.; Bouhemadou, A.

    2018-06-01

    Through using spin coating technique, Cu2CdSnS4 (CCTS) quaternary alloy nanostructures were successfully deposited on GaN substrate using a wide range of spin coating speeds; 1500, 2000, 2500, 3000 and 3500 RPM at annealing temperature 300 °C. The optical properties were investigated through UV-vis which revealed the changing of energy band gap as the spin coating speed increases, in addition, to verify specific models of refractive index and optical dielectric constant. The structural properties were studied by X-ray diffraction which indicated that the number and intensity of the peaks were changed as the spin coating speed changes. The morphological and topographical studies of CCTS were elaborated by field emission-scanning electron microscopy and atomic force microscopy. The obtained results suggest that CCTS nanostructures deposited on GaN substrate are very suitable for optoelectronic applications, that are in accordance with the available theoretical and experimental data.

  16. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  17. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  18. Low-cost growth of magnesium doped gallium nitride thin films by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, N. Mohd; Ng, S. S.

    2018-01-01

    Low-cost sol-gel spin coating growth of magnesium (Mg) doped gallium nitride (GaN) thin films with different concentrations of Mg was reported. The effects of the Mg concentration on the structural, surface morphology, elemental compositions, lattice vibrational, and electrical properties of the deposited films were investigated. X-ray diffraction results show that the Mg-doped samples have wurtzite structure with preferred orientation of GaN(002). The crystallite size decreases and the surface of the films with pits/pores were formed, while the crystalline quality of the films degraded as the Mg concentration increases from 2% to 6. %. All the Raman active phonon modes of the wurtzite GaN were observed while a broad peak attributed to the Mg-related lattice vibrational mode was detected at 669 cm-1. Hall effect results show that the resistivity of the thin films decreases while the hole concentration and hall mobility of thin films increases as the concentration of the Mg increases.

  19. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  20. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  1. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  2. Fast and slow UV-photoresponse in n-type GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rocha, R.; Koynov, S.; Brogueira, P.

    1999-07-01

    The photocurrent decay in n-type GaN films prepared by low-pressure chemical vapor deposition (LPCVD) was measured in the ms-to-s time range using steady-state UV light and in the {micro}s time regime using short high-power pulses from higher harmonics of a Nd:YAG laser. A power law time dependence is observed with exponents ranging from {minus}0.1 to {minus}0.3, which is an indication of a broad distribution of trapping states inside the band gap. Combining Hall effect results and the magnitude of the initial slope of the photocurrent decay they estimate a mobility-lifetime product of 2.1 x 10{sup {minus}4} cm{sup 2}/V for photogeneratedmore » electrons at times below a few {micro}s. Slow transients might be a handicap for applications of GaN in UV detectors.« less

  3. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  4. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  5. Effects of hydrogen treatment on ohmic contacts to p-type GaN films

    NASA Astrophysics Data System (ADS)

    Huang, Bohr-Ran; Chou, Chia-Hui; Ke, Wen-Cheng; Chou, Yi-Lun; Tsai, Chia-Lung; Wu, Meng-chyi

    2011-06-01

    This study investigated the effects of hydrogen (H 2) treatment on metal contacts to Mg-doped p-GaN films by Hall-effect measurement, current-voltage ( I- V) analyzer and X-ray photoemission spectra (XPS). The interfacial oxide layer on the p-GaN surface was found to be the main reason for causing the nonlinear I- V behavior of the untreated p-GaN films. The increased nitrogen vacancy (V N) density due to increased GaN decomposition rate at high-temperature hydrogen treatment is believed to form high density surface states on the surface of p-GaN films. Compared to untreated p-GaN films, the surface Fermi level determined by the Ga 2p core-level peak on 1000 °C H 2-treated p-GaN films lies about ˜2.1 eV closer to the conduction band edge (i.e., the surface inverted to n-type behavior). The reduction in barrier height due to the high surface state density pinned the surface Fermi level close to the conduction band edge, and allowed the electrons to easily flow over the barrier from the metal into the p-GaN films. Thus, a good ohmic contact was achieved on the p-GaN films by the surface inversion method.

  6. Chemistry of Non-Equilibrium Film Deposition.

    DTIC Science & Technology

    1985-12-01

    titanium isopropoxide mixed with water solutions of lanthanum and lead nitrate. The gels were dehydrated, then fired to 600C to remove all organics...OW- ’so IRO $Va. ame Thin films; titanium dioxide; -PuZT,- ion beam deposition; annealing,’ trnmiso electron microscopy. 4 - . - S \\AISST 0A ZT *Can...Deposition....... . ... *.... .. ... .. ..... .. . .... 2 C. Nonequilibrium Physical Deposition.................... 3 1. Titanium Oxide Films

  7. Deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1984-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or large planar surfaces.

  8. In0.15Ga0.85N visible-light metal-semiconductor-metal photodetector with GaN interlayers deposited by pulsed NH3

    NASA Astrophysics Data System (ADS)

    Wang, Hongxia; Zhang, Xiaohan; Wang, Hailong; Lv, Zesheng; Li, Yongxian; Li, Bin; Yan, Huan; Qiu, Xinjia; Jiang, Hao

    2018-05-01

    InGaN visible-light metal-semiconductor-metal photodetectors with GaN interlayers deposited by pulsed NH3 were fabricated and characterized. By periodically inserting the GaN thin interlayers, the surface morphology of InGaN active layer is improved and the phase separation is suppressed. At 5 V bias, the dark current reduced from 7.0 × 10-11 A to 7.0 × 10-13 A by inserting the interlayers. A peak responsivity of 85.0 mA/W was measured at 420 nm and 5 V bias, corresponding to an external quantum efficiency of 25.1%. The insertion of GaN interlayers also lead to a sharper spectral response cutoff.

  9. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  10. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  11. Electrical current flow at conductive nanowires formed in GaN thin films by a dislocation template technique

    NASA Astrophysics Data System (ADS)

    Amma, Shin-ichi; Tokumoto, Yuki; Edagawa, Keiichi; Shibata, Naoya; Mizoguchi, Teruyasu; Yamamoto, Takahisa; Ikuhara, Yuichi

    2010-05-01

    Conductive nanowires were fabricated in GaN thin film by selectively doping of Al along threading dislocations. Electrical current flow localized at the nanowires was directly measured by a contact mode atomic force microscope. The current flow at the nanowires was considered to be Frenkel-Poole emission mode, suggesting the existence of the deep acceptor level along the nanowires as a possible cause of the current flow. The results obtained in this study show the possibility for fabricating nanowires using pipe-diffusion at dislocations in solid thin films.

  12. Pulsed deposition of silicate films

    NASA Astrophysics Data System (ADS)

    He, W.; Solanki, R.; Conley, J. F.; Ono, Y.

    2003-09-01

    A sequential pulsed process is utilized for deposition of nonstoichiometric silicate films without employing an oxidizing agent. The metal precursors were HfCl4, AlCl3, and ZrCl4, as well as Hf(NO3)4 and the silicon source was tris(tert-butoxy)silanol. Unlike atomic layer deposition, the growth per cycle was several monolayers thick, where the enhancement in growth was due to a catalytic reaction. The bulk and electrical properties of these films are similar to those of silicon dioxide. Silicon carbide devices coated with these films show good insulating characteristics.

  13. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  14. Quantitative secondary ion mass spectrometric analysis of secondary ion polarity in GaN films implanted with oxygen

    NASA Astrophysics Data System (ADS)

    Hashiguchi, Minako; Sakaguchi, Isao; Adachi, Yutaka; Ohashi, Naoki

    2016-10-01

    Quantitative analyses of N and O ions in GaN thin films implanted with oxygen ions (16O+) were conducted by secondary ion mass spectrometry (SIMS). Positive (CsM+) and negative secondary ions extracted by Cs+ primary ion bombardment were analyzed for oxygen quantitative analysis. The oxygen depth profiles were obtained using two types of primary ion beams: a Gaussian-type beam and a broad spot beam. The oxygen peak concentrations in GaN samples were from 3.2 × 1019 to 7.0 × 1021 atoms/cm3. The depth profiles show equivalent depth resolutions in the two analyses. The intensity of negative oxygen ions was approximately two orders of magnitude higher than that of positive ions. In contrast, the O/N intensity ratio measured using CsM+ molecular ions was close to the calculated atomic density ratio, indicating that the SIMS depth profiling using CsM+ ions is much more effective for the measurements of O and N ions in heavy O-implanted GaN than that using negative ions.

  15. Correlation between mobility collapse and carbon impurities in Si-doped GaN grown by low pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaess, Felix; Mita, Seiji; Xie, Jingqiao; Reddy, Pramod; Klump, Andrew; Hernandez-Balderrama, Luis H.; Washiyama, Shun; Franke, Alexander; Kirste, Ronny; Hoffmann, Axel; Collazo, Ramón; Sitar, Zlatko

    2016-09-01

    In the low doping range below 1 × 1017 cm-3, carbon was identified as the main defect attributing to the sudden reduction of the electron mobility, the electron mobility collapse, in n-type GaN grown by low pressure metalorganic chemical vapor deposition. Secondary ion mass spectroscopy has been performed in conjunction with C concentration and the thermodynamic Ga supersaturation model. By controlling the ammonia flow rate, the input partial pressure of Ga precursor, and the diluent gas within the Ga supersaturation model, the C concentration in Si-doped GaN was controllable from 6 × 1019 cm-3 to values as low as 2 × 1015 cm-3. It was found that the electron mobility collapsed as a function of free carrier concentration, once the Si concentration closely approached the C concentration. Lowering the C concentration to the order of 1015 cm-3 by optimizing Ga supersaturation achieved controllable free carrier concentrations down to 5 × 1015 cm-3 with a peak electron mobility of 820 cm2/V s without observing the mobility collapse. The highest electron mobility of 1170 cm2/V s was obtained even in metalorganic vapor deposition-grown GaN on sapphire substrates by optimizing growth parameters in terms of Ga supersaturation to reduce the C concentration.

  16. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  17. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  18. Pulsed Laser Deposition of High Temperature Protonic Films

    NASA Technical Reports Server (NTRS)

    Dynys, Fred W.; Berger, M. H.; Sayir, Ali

    2006-01-01

    Pulsed laser deposition has been used to fabricate nanostructured BaCe(0.85)Y(0.15)O3- sigma) films. Protonic conduction of fabricated BaCe(0.85)Y(0.15)O(3-sigma) films was compared to sintered BaCe(0.85)Y(0.15)O(3-sigma). Sintered samples and laser targets were prepared by sintering BaCe(0.85)Y(0.15)O(3-sigma) powders derived by solid state synthesis. Films 1 to 8 micron thick were deposited by KrF excimer laser on porous Al2O3 substrates. Thin films were fabricated at deposition temperatures of 700 to 950 C at O2 pressures up to 200 mTorr using laser pulse energies of 0.45 - 0.95 J. Fabricated films were characterized by X-ray diffraction, electron microscopy and electrical impedance spectroscopy. Single phase BaCe(0.85)Y(0.15)O(3-sigma) films with a columnar growth morphology are observed with preferred crystal growth along the [100] or [001] direction. Results indicate [100] growth dependence upon laser pulse energy. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C to 900 C. Electrical conduction behavior was dependent upon film deposition temperature. Maximum conductivity occurs at deposition temperature of 900 oC; the electrical conductivity exceeds the sintered specimen. All other deposited films exhibit a lower electrical conductivity than the sintered specimen. Activation energy for electrical conduction showed dependence upon deposition temperature, it varied

  19. Algan/Gan Hemt By Magnetron Sputtering System

    NASA Astrophysics Data System (ADS)

    Garcia Perez, Roman

    In this thesis, the growth of the semiconductor materials AlGaN and GaN is achieved by magnetron sputtering for the fabrication of High Electron Mobility Transistors (HEMTs). The study of the deposited nitrides is conducted by spectroscopy, diffraction, and submicron scale microscope methods. The preparation of the materials is performed using different parameters in terms of power, pressure, temperature, gas, and time. Silicon (Si) and Sapphire (Al2O3) wafers are used as substrates. The chemical composition and surface topography of the samples are analyzed to calculate the materials atomic percentages and to observe the devices surface. The instruments used for the semiconductors characterization are X-ray Photoelectron Spectroscopy (XPS), X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), and Atomic Force Microscope (AFM). The project focused its attention on the reduction of impurities during the deposition, the controlled thicknesses of the thin-films, the atomic configuration of the alloy AlxGa1-xN, and the uniformity of the surfaces.

  20. Fully porous GaN p-n junction diodes fabricated by chemical vapor deposition.

    PubMed

    Bilousov, Oleksandr V; Carvajal, Joan J; Geaney, Hugh; Zubialevich, Vitaly Z; Parbrook, Peter J; Martínez, Oscar; Jiménez, Juan; Díaz, Francesc; Aguiló, Magdalena; O'Dwyer, Colm

    2014-10-22

    Porous GaN based LEDs produced by corrosion etching techniques demonstrated enhanced light extraction efficiency in the past. However, these fabrication techniques require further postgrown processing steps, which increases the price of the final system. Also, the penetration depth of these etching techniques is limited, and affects not only the semiconductor but also the other elements constituting the LED when applied to the final device. In this paper, we present the fabrication of fully porous GaN p-n junctions directly during growth, using a sequential chemical vapor deposition (CVD) process to produce the different layers that form the p-n junction. We characterized their diode behavior from room temperature to 673 K and demonstrated their ability as current rectifiers, thus proving the potential of these fully porous p-n junctions for diode and LEDs applications. The electrical and luminescence characterization confirm that high electronic quality porous structures can be obtained by this method, and we believe this investigation can be extended to other III-N materials for the development of white light LEDs, or to reduce reflection losses and narrowing the output light cone for improved LED external quantum efficiencies.

  1. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    NASA Astrophysics Data System (ADS)

    Shen, Huaxiang; Zhu, Guo-Zhen; Botton, Gianluigi A.; Kitai, Adrian

    2015-03-01

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality ( 0002 ) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by ( 0002 ) oriented wurtzite GaN and { 111 } oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H2 into Ar and/or N2 during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H2 into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted { 3 3 ¯ 02 } orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H2 into N2 due to the complex reaction between H2 and N2.

  2. Growth kinetics and characterizations of gallium nitride thin films by remote PECVD

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Bachmann, K. J.; Lucovsky, G.

    1993-01-01

    Thin films of GaN have been deposited at relatively low growth temperatures by remote plasma-enhanced chemical-vapor deposition (RPECVD), using a plasma excited NH3, and trimethylgallium (TMG), injected downstream from the plasma. The activation energy for GaN growth has been tentatively assigned to the dissociation of NH groups as the primary N-atom precursors in the surface reaction with adsorbed TMG, or TMG fragments. At high He flow rates, an abrupt increase in the growth rate is observed and corresponds to a change in the reaction mechanism attributed to the formation of atomic N. XRD reveals an increased tendency to ordered growth in the (0001) direction with increasing growth temperature, He flow rate, and RF plasma power. IR spectra show the fundamental lattice mode of GaN at 530 cm without evidence for vibrational modes of hydrocarbon groups.

  3. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  4. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    NASA Astrophysics Data System (ADS)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  5. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  6. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  7. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  8. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  9. Photoluminescence Study of Gallium Nitride Thin Films Obtained by Infrared Close Space Vapor Transport.

    PubMed

    Santana, Guillermo; de Melo, Osvaldo; Aguilar-Hernández, Jorge; Mendoza-Pérez, Rogelio; Monroy, B Marel; Escamilla-Esquivel, Adolfo; López-López, Máximo; de Moure, Francisco; Hernández, Luis A; Contreras-Puente, Gerardo

    2013-03-15

    Photoluminescence (PL) studies in GaN thin films grown by infrared close space vapor transport (CSVT-IR) in vacuum are presented in this work. The growth of GaN thin films was done on a variety of substrates like silicon, sapphire and fused silica. Room temperature PL spectra of all the GaN films show near band-edge emission (NBE) and a broad blue and green luminescence (BL, GL), which can be seen with the naked eye in a bright room. The sample grown by infrared CSVT on the silicon substrate shows several emission peaks from 2.4 to 3.22 eV with a pronounced red shift with respect to the band gap energy. The sample grown on sapphire shows strong and broad ultraviolet emission peaks (UVL) centered at 3.19 eV and it exhibits a red shift of NBE. The PL spectrum of GaN films deposited on fused silica exhibited a unique and strong blue-green emission peak centered at 2.38 eV. The presence of yellow and green luminescence in all samples is related to native defects in the structure such as dislocations in GaN and/or the presence of amorphous phases. We analyze the material quality that can be obtained by CSVT-IR in vacuum, which is a high yield technique with simple equipment set-up, in terms of the PL results obtained in each case.

  10. Photoluminescence Study of Gallium Nitride Thin Films Obtained by Infrared Close Space Vapor Transport

    PubMed Central

    Santana, Guillermo; de Melo, Osvaldo; Aguilar-Hernández, Jorge; Mendoza-Pérez, Rogelio; Monroy, B. Marel; Escamilla-Esquivel, Adolfo; López-López, Máximo; de Moure, Francisco; Hernández, Luis A.; Contreras-Puente, Gerardo

    2013-01-01

    Photoluminescence (PL) studies in GaN thin films grown by infrared close space vapor transport (CSVT-IR) in vacuum are presented in this work. The growth of GaN thin films was done on a variety of substrates like silicon, sapphire and fused silica. Room temperature PL spectra of all the GaN films show near band-edge emission (NBE) and a broad blue and green luminescence (BL, GL), which can be seen with the naked eye in a bright room. The sample grown by infrared CSVT on the silicon substrate shows several emission peaks from 2.4 to 3.22 eV with a pronounced red shift with respect to the band gap energy. The sample grown on sapphire shows strong and broad ultraviolet emission peaks (UVL) centered at 3.19 eV and it exhibits a red shift of NBE. The PL spectrum of GaN films deposited on fused silica exhibited a unique and strong blue-green emission peak centered at 2.38 eV. The presence of yellow and green luminescence in all samples is related to native defects in the structure such as dislocations in GaN and/or the presence of amorphous phases. We analyze the material quality that can be obtained by CSVT-IR in vacuum, which is a high yield technique with simple equipment set-up, in terms of the PL results obtained in each case. PMID:28809356

  11. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  12. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  13. Molecular-beam heteroepitaxial growth and characterization of wide-band-gap semiconductor films and devices

    NASA Astrophysics Data System (ADS)

    Piquette, Eric Charles

    The thesis consists of two parts. Part I describes work on the molecular beam epitaxial (MBE) growth of GaN, AlN, and AlxGa 1-xN alloys, as well as efforts in the initial technical development and demonstration of nitride-based high power electronic devices. The major issues pertaining to MBE growth are discussed, including special requirements of the growth system, substrates, film nucleation, n - and p-type doping, and the dependence of film quality on growth parameters. The GaN films were characterized by a variety of methods, including high resolution x-ray diffraction, photoluminescence, and Hall effect measurement. It is found that the film polarity and extended defect density as well as quality of photoluminescence and electrical transport properties depend crucially on how the nitride layer is nucleated on the substrate and how the subsequent film surface morphology evolves, which can be controlled by the growth conditions. A technique is proposed and demonstrated that utilizes the control of morphology evolution to reduce defect density and improve the structural quality of MBE GaN films. In addition to growth, the design and processing of high voltage GaN Schottky diodes is presented, as well as an experimental study of sputter-deposited ohmic and rectifying metal contacts to GaN. Simple models for high power devices, based on materials properties such as minority carrier diffusion length and critical electric breakdown field, are used to estimate the voltage standoff capability, current carrying capacity, and maximum operating frequency of unipolar and bipolar GaN power devices. The materials and transport properties of GaN pertinent to high power device design were measured experimentally. High voltage Schottky rectifiers were fabricated which verify the impressive electric breakdown field of GaN (2--5 MV/cm). Electron beam induced current (EBIC) experiments were also conducted to measure the minority carrier diffusion length for both electrons and

  14. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  15. Three-dimensional imaging of threading dislocations in GaN crystals using two-photon excitation photoluminescence

    NASA Astrophysics Data System (ADS)

    Tanikawa, Tomoyuki; Ohnishi, Kazuki; Kanoh, Masaya; Mukai, Takashi; Matsuoka, Takashi

    2018-03-01

    The three-dimensional imaging of threading dislocations in GaN films was demonstrated using two-photon excitation photoluminescence. The threading dislocations were shown as dark lines. The spatial resolutions near the surface were about 0.32 and 3.2 µm for the in-plane and depth directions, respectively. The threading dislocations with a density less than 108 cm-2 were resolved, although the aberration induced by the refractive index mismatch was observed. The decrease in threading dislocation density was clearly observed by increasing the GaN film thickness. This can be considered a novel method for characterizing threading dislocations in GaN films without any destructive preparations.

  16. Growth and characterization of GaN nanostructures under various ammoniating time with fabricated Schottky gas sensor based on Si substrate

    NASA Astrophysics Data System (ADS)

    Abdullah, Q. N.; Ahmed, A. R.; Ali, A. M.; Yam, F. K.; Hassan, Z.; Bououdina, M.; Almessiere, M. A.

    2018-05-01

    This paper presents the investigation of the influence of the ammoniating time of GaN nanowires (NWs) on the crystalline structure, surface morphology, and optical characteristics. Morphological analysis indicates the growth of good quality and high density of NWs with diameters around 50 nm and lengths up to tens of microns after ammoniating for 30 min. Structural analysis shows that GaN NWs have a typical hexagonal wurtzite crystal structure. Raman spectroscopy confirms the formation of GaN compound with the presence of compressive stress. Photoluminescence (PL) measurements revealed two band emissions, an UV and a broad visible emission. Hydrogen sensor was subsequently fabricated by depositing Pt Schottky contact onto GaN NWs film. The sensor response was measured at various H2 concentrations ranged from 200 up to 1200 ppm at room temperature. It was found that the response increases significantly for low H2 concentration (200-300 ppm) to reach about 50% then increases smoothly to reach 60% at 1200 ppm. The as-fabricated sensor possesses higher performances as compared to similar devices reported in the literature.

  17. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  18. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  19. SnS2 Thin Film Deposition by Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jaber, Abdallah Yahia; Alamri, Saleh Noaiman; Aida, Mohammed Salah

    2012-06-01

    Tin disulfide (SnS2) thin films have been synthesized using a simplified spray pyrolysis technique using a perfume atomizer. The films were deposited using two different solutions prepared by the dilution of SnCl2 and thiourea in distilled water and in methanol. The obtained films have a microcrystalline structure. The film deposited using methanol as the solvent is nearly stochiometric SnS2 with a spinel phase having a (001) preferential orientation. The film prepared with an aqueous solution is Sn-rich. Scanning electronic microscopy (SEM) images reveal that the film deposited with the aqueous solution is rough and is formed with large wires. However, the film deposited with methanol is dense and smooth. Conductivity measurements indicate that the aqueous solution leads to an n-type semiconductor, while methanol leads to a p-type semiconductor.

  20. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  1. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  2. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, A.R.; Auciello, O.

    1990-05-08

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams. 10 figs.

  3. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, Alan R.; Auciello, Orlando

    1990-01-01

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams.

  4. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  5. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  6. Silicon-on-insulator with hybrid orientations for heterogeneous integration of GaN on Si (100) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin

    2018-05-01

    Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).

  7. Deposition and characterization of ZnSe nanocrystalline thin films

    NASA Astrophysics Data System (ADS)

    Temel, Sinan; Gökmen, F. Özge; Yaman, Elif; Nebi, Murat

    2018-02-01

    ZnSe nanocrystalline thin films were deposited at different deposition times by using the Chemical Bath Deposition (CBD) technique. Effects of deposition time on structural, morphological and optical properties of the obtained thin films were characterized. X-ray diffraction (XRD) analysis was used to study the structural properties of ZnSe nanocrystalline thin films. It was found that ZnSe thin films have a cubic structure with a preferentially orientation of (111). The calculated average grain size value was about 28-30 nm. The surface morphology of these films was studied by the Field Emission Scanning Electron Microscope (FESEM). The surfaces of the thin films were occurred from small stacks and nano-sized particles. The band gap values of the ZnSe nanocrystalline thin films were determined by UV-Visible absorption spectrum and the band gap values were found to be between 2.65-2.86 eV.

  8. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    NASA Astrophysics Data System (ADS)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  9. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    NASA Astrophysics Data System (ADS)

    Bao, Quanhe; Chen, Chuanzhong; Wang, Diangang; Liu, Junming

    2008-11-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 43- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA.

  10. Residual stress in obliquely deposited MgF2 thin films.

    PubMed

    Jaing, Cheng-Chung; Liu, Ming-Chung; Lee, Cheng-Chung; Cho, Wen-Hao; Shen, Wei-Ting; Tang, Chien-Jen; Liao, Bo-Huei

    2008-05-01

    MgF(2) films with a columnar microstructure are obliquely deposited on glass substrates by resistive heating evaporation. The columnar angles of the films increases with the deposition angle. Anisotropic stress does not develop in the films with tilted columns. The residual stresses in the films depend on the deposition and columnar angles in a columnar microstructure.

  11. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  12. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  13. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  14. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  15. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1986-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter deposition are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq cm resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x 10 to the -6th/ohm cm for 300 angstrom film to 2.56 x 10 to the -1/ohm cm for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  16. Experimental evidence of Ga-vacancy induced room temperature ferromagnetic behavior in GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roul, Basanta; Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    We have grown Ga deficient GaN epitaxial films on (0001) sapphire substrate by plasma-assisted molecular beam epitaxy and report the experimental evidence of room temperature ferromagnetic behavior. The observed yellow emission peak in room temperature photoluminescence spectra and the peak positioning at 300 cm{sup -1} in Raman spectra confirms the existence of Ga vacancies. The x-ray photoelectron spectroscopic measurements further confirmed the formation of Ga vacancies; since the N/Ga is found to be >1. The ferromagnetism is believed to originate from the polarization of the unpaired 2p electrons of N surrounding the Ga vacancy.

  17. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy L. (Inventor)

    2007-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  18. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy (Inventor)

    2011-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  19. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  20. Oblique angle deposition-induced anisotropy in Co2FeAl films

    NASA Astrophysics Data System (ADS)

    Zhou, W.; Brock, J.; Khan, M.; Eid, K. F.

    2018-06-01

    A series of Co2FeAl Heusler alloy films, fabricated on Si/SiO2 substrates by magnetron sputtering-oblique angle deposition technique, have been investigated by magnetization and transport measurements. The morphology and magnetic anisotropy of the films strongly depended on the deposition angle. While the film deposited at zero degree (i.e. normal incidence) did not show any anisotropy, the films deposited at higher angles showed unusually strong in-plane anisotropy that increased with deposition angle. The enhanced anisotropy was well-reflected in the direction-dependent magnetization and the coercivity of the films that increased dramatically from 30 Oe to 490 Oe. In a similar vein, the electrical resistivity of the films also increased drastically, especially for deposition angles larger than 60°. These anisotropic effects and their relation to the morphology of the films are discussed.

  1. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    NASA Astrophysics Data System (ADS)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  2. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  3. Chemically Deposited Thin-Film Solar Cell Materials

    NASA Technical Reports Server (NTRS)

    Raffaelle, R.; Junek, W.; Gorse, J.; Thompson, T.; Harris, J.; Hehemann, D.; Hepp, A.; Rybicki, G.

    2005-01-01

    We have been working on the development of thin film photovoltaic solar cell materials that can be produced entirely by wet chemical methods on low-cost flexible substrates. P-type copper indium diselenide (CIS) absorber layers have been deposited via electrochemical deposition. Similar techniques have also allowed us to incorporate both Ga and S into the CIS structure, in order to increase its optical bandgap. The ability to deposit similar absorber layers with a variety of bandgaps is essential to our efforts to develop a multi-junction thin-film solar cell. Chemical bath deposition methods were used to deposit a cadmium sulfide (CdS) buffer layers on our CIS-based absorber layers. Window contacts were made to these CdS/CIS junctions by the electrodeposition of zinc oxide (ZnO). Structural and elemental determinations of the individual ZnO, CdS and CIS-based films via transmission spectroscopy, x-ray diffraction, x-ray photoelectron spectroscopy and energy dispersive spectroscopy will be presented. The electrical characterization of the resulting devices will be discussed.

  4. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  5. Cadmium sulfide thin films growth by chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  6. Pulsed laser deposition of niobium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farha, Ashraf Hassan, E-mail: ahass006@odu.edu; Elsayed-Ali, Hani E., E-mail: helsayed@odu.edu; Applied Research Center, Jefferson National Accelerator Facility, Newport News, VA 23606

    2015-12-04

    Niobium nitride (NbN{sub x}) films were grown on Nb and Si(100) substrates using pulsed laser deposition. NbN{sub x} films were deposited on Nb substrates using PLD with a Q-switched Nd:YAG laser (λ = 1064 nm, ∼40 ns pulse width, and 10 Hz repetition rate) at different laser fluences, nitrogen background pressures and deposition substrate temperatures. When all the fabrication parameters are fixed, except for the laser fluence, the surface roughness, nitrogen content, and grain size increase with increasing laser fluence. Increasing nitrogen background pressure leads to a change in the phase structure of the NbN{sub x} films from mixed β-Nb{sub 2}N and cubicmore » δ-NbN phases to single hexagonal β-Nb{sub 2}N. The substrate temperature affects the preferred orientation of the crystal structure. The structural and electronic, properties of NbN{sub x} deposited on Si(100) were also investigated. The NbN{sub x} films exhibited a cubic δ-NbN with a strong (111) orientation. A correlation between surface morphology, electronic, and superconducting properties was found. The observations establish guidelines for adjusting the deposition parameters to achieve the desired NbN{sub x} film morphology and phase.« less

  7. Growth, Structural, Electronic, and Magnetic Characterization of GaN, CrN, Fe Islands on CrN, and Fe/CrN Bilayer Thin Films

    NASA Astrophysics Data System (ADS)

    Alam, Khan

    As a part of my Ph.D research, initially I was involved in construction and calibration of an ultra-high vacuum thin film facility, and later on I studied structural, electronic, and magnetic properties of GaN, CrN, Fe/CrN bilayers, and Fe islands on CrN thin films. All of these films were grown by molecular beam epitaxy and characterized with a variety of state-of-the-art techniques including variable temperature reflection high energy electron diffraction, low temperature scanning tunneling microscopy and spectroscopy, variable temperature vibrating sample magnetometry, variable temperature neutron diffraction and reflectometry, variable temperature x-ray diffraction, x-ray reflectometry, Rutherford backscattering, Auger electron spectroscopy, and cross-sectional tunneling electron microscopy. The experimental results are furthermore understood by comparing with numerical calculations using generalized gradient approximation, local density approximation with Hubbard correction, Refl1D, and data analysis and visual environment program. In my first research project, I studied Ga gas adatoms on GaN surfaces. We discovered frozen-out gallium gas adatoms on atomically smooth c(6x12) GaN(0001¯) surface using low temperature scanning tunneling microscopy. We identified adsorption sites of the Ga adatoms on c(6x12) reconstructed surface. Their bonding is determined by measuring low unoccupied molecular orbital level. Absorption sites of the Ga gas adatoms on centered 6x12 are identified, and their asymmetric absorption on the chiral domains is investigated. In second project, I investigated magneto-structural phase transition in chromium nitride (CrN) thin films. The CrN thin films are grown by molecular beam epitaxy. Structural and magnetic transition are studied using variable temperature reflection high energy electron diffraction and variable temperature neutron diffraction. We observed a structural phase transition at the surface at 277+/-2 K, and a sharp, first

  8. Growth process for gallium nitride porous nanorods

    DOEpatents

    Wildeson, Isaac Harshman; Sands, Timothy David

    2015-03-24

    A GaN nanorod and formation method. Formation includes providing a substrate having a GaN film, depositing SiN.sub.x on the GaN film, etching a growth opening through the SiN.sub.x and into the GaN film, growing a GaN nanorod through the growth opening, the nanorod having a nanopore running substantially through its centerline. Focused ion beam etching can be used. The growing can be done using organometallic vapor phase epitaxy. The nanopore diameter can be controlled using the growth opening diameter or the growing step duration. The GaN nanorods can be removed from the substrate. The SiN.sub.x layer can be removed after the growing step. A SiO.sub.x template can be formed on the GaN film and the GaN can be grown to cover the SiO.sub.x template before depositing SiN.sub.x on the GaN film. The SiO.sub.x template can be removed after growing the nanorods.

  9. Substrate spacing and thin-film yield in chemical bath deposition of semiconductor thin films

    NASA Astrophysics Data System (ADS)

    Arias-Carbajal Reádigos, A.; García, V. M.; Gomezdaza, O.; Campos, J.; Nair, M. T. S.; Nair, P. K.

    2000-11-01

    Thin-film yield in the chemical bath deposition technique is studied as a function of separation between substrates in batch production. Based on a mathematical model, it is proposed and experimentally verified in the case of CdS thin films that the film thickness reaches an asymptotic maximum with increase in substrate separation. It is shown that at a separation less than 1 mm between substrates the yield, i.e. percentage in moles of a soluble cadmium salt deposited as a thin film of CdS, can exceed 50%. This behaviour is explained on the basis of the existence of a critical layer of solution near the substrate, within which the relevant ionic species have a higher probability of interacting with the thin-film layer than of contributing to precipitate formation. The critical layer depends on the solution composition and the temperature of the bath as well as the duration of deposition. An effective value for the critical layer thickness has been defined as half the substrate separation at which 90% of the maximum film thickness for the particular bath composition, bath temperature and duration of deposition is obtained. In the case of CdS thin films studied as an example, the critical layer is found to extend from 0.5 to 2.5 mm from the substrate surface, depending on the deposition conditions.

  10. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  11. Defect studies of thin ZnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Vlček, M.; Čížek, J.; Procházka, I.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Mosnier, J.-P.

    2014-04-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  12. Substrate-insensitive atomic layer deposition of plasmonic titanium nitride films

    DOE PAGES

    Yu, Ing-Song; Cheng, Hsyi-En; Chang, Chun-Chieh; ...

    2017-02-06

    The plasmonic properties of titanium nitride (TiN) films depend on the type of substrate when using typical deposition methods such as sputtering. We show atomic layer deposition (ALD) of TiN films with very weak dependence of plasmonic properties on the substrate, which also suggests the prediction and evaluation of plasmonic performance of TiN nanostructures on arbitrary substrates under a given deposition condition. Our results also observe that substrates with more nitrogen-terminated (N-terminated) surfaces will have significant impact on the deposition rate as well as the film plasmonic properties. Furthermore, we illustrate that the plasmonic properties of ALD TiN films canmore » be tailored by simply adjusting the deposition and/or post-deposition annealing temperatures. These characteristics and the capability of conformal coating make ALD TiN films on templates ideal for applications that require the fabrication of complex 3D plasmonic nanostructures.« less

  13. UV laser deposition of metal films by photogenerated free radicals

    NASA Technical Reports Server (NTRS)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  14. Influence of surface hydroxylation on 3-aminopropyltriethoxysilane growth mode during chemical functionalization of GaN Surfaces: an angle-resolved X-ray photoelectron spectroscopy Study.

    PubMed

    Arranz, A; Palacio, C; García-Fresnadillo, D; Orellana, G; Navarro, A; Muñoz, E

    2008-08-19

    A comparative study of the chemical functionalization of undoped, n- and p-type GaN layers grown on sapphire substrates by metal-organic chemical vapor deposition was carried out. Both types of samples were chemically functionalized with 3-aminopropyltriethoxysilane (APTES) using a well-established silane-based approach for functionalizing hydroxylated surfaces. The untreated surfaces as well as those modified by hydroxylation and APTES deposition were analyzed using angle-resolved X-ray photoelectron spectroscopy. Strong differences were found between the APTES growth modes on n- and p-GaN surfaces that can be associated with the number of available hydroxyl groups on the GaN surface of each sample. Depending on the density of surface hydroxyl groups, different mechanisms of APTES attachment to the GaN surface take place in such a way that the APTES growth mode changes from a monolayer to a multilayer growth mode when the number of surface hydroxyl groups is decreased. Specifically, a monolayer growth mode with a surface coverage of approximately 78% was found on p-GaN, whereas the formation of a dense film, approximately 3 monolayers thick, was observed on n-GaN.

  15. Soap-film coating: High-speed deposition of multilayer nanofilms

    PubMed Central

    Zhang, Renyun; Andersson, Henrik A.; Andersson, Mattias; Andres, Britta; Edlund, Håkan; Edström, Per; Edvardsson, Sverker; Forsberg, Sven; Hummelgård, Magnus; Johansson, Niklas; Karlsson, Kristoffer; Nilsson, Hans-Erik; Norgren, Magnus; Olsen, Martin; Uesaka, Tetsu; Öhlund, Thomas; Olin, Håkan

    2013-01-01

    The coating of thin films is applied in numerous fields and many methods are employed for the deposition of these films. Some coating techniques may deposit films at high speed; for example, ordinary printing paper is coated with micrometre-thick layers of clay at a speed of tens of meters per second. However, to coat nanometre thin films at high speed, vacuum techniques are typically required, which increases the complexity of the process. Here, we report a simple wet chemical method for the high-speed coating of films with thicknesses at the nanometre level. This soap-film coating technique is based on forcing a substrate through a soap film that contains nanomaterials. Molecules and nanomaterials can be deposited at a thickness ranging from less than a monolayer to several layers at speeds up to meters per second. We believe that the soap-film coating method is potentially important for industrial-scale nanotechnology. PMID:23503102

  16. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  17. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  18. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  19. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  20. Precursors for the polymer-assisted deposition of films

    DOEpatents

    McCleskey, Thomas M.; Burrell, Anthony K.; Jia, Quanxi; Lin, Yuan

    2013-09-10

    A polymer assisted deposition process for deposition of metal oxide films is presented. The process includes solutions of one or more metal precursor and soluble polymers having binding properties for the one or more metal precursor. After a coating operation, the resultant coating is heated at high temperatures to yield metal oxide films. Such films can be epitaxial in structure and can be of optical quality. The process can be organic solvent-free.

  1. Pulsed laser deposition of lithium niobate thin films

    NASA Astrophysics Data System (ADS)

    Canale, L.; Girault-Di Bin, C.; Cosset, F.; Bessaudou, A.; Celerier, A.; Decossas, J.-Louis; Vareille, J.-C.

    2000-12-01

    Pulsed laser deposition of Lithium Niobate thin films onto sapphire (0001) substrates is reported. Thin films composition and structure have been determined using Rutherford Backscattermg Spectroscopy (RBS) and X-ray diffraction ( XRD) experiments. The influe:nce of deposition parameters such as substrate temperature, oxygen pressure and target to substrate distance on the composition and the structure of the films has been studied. Deposition temperature is found to be an important parameter which enables us to grow LiNbO3 films without the Li deficient phase LiNb3O8. Nearly stoichiometric thin fihns have been obtained for an oxygen pressure of 0. 1 Ton and a substrate temperature of 800°C. Under optimized conditions the (001) preferential orientation of growth, suitable for most optical applications, has been obtained.

  2. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  3. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  4. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  5. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  6. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  7. Deposition of adherent Ag-Ti duplex films on ceramics in a multiple-cathode sputter deposition system

    NASA Technical Reports Server (NTRS)

    Honecy, Frank S.

    1992-01-01

    The adhesion of Ag films deposited on oxide ceramics can be increased by first depositing intermediate films of active metals such as Ti. Such duplex coatings can be fabricated in a widely used three target sputter deposition system. It is shown here that the beneficial effect of the intermediate Ti film can be defeated by commonly used in situ target and substrate sputter cleaning procedures which result in Ag under the Ti. Auger electron spectroscopy and wear testing of the coatings are used to develop a cleaning strategy resulting in an adherent film system.

  8. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  9. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  10. Studies of mist deposition for the formation of quantum dot CdSe films

    NASA Astrophysics Data System (ADS)

    Price, S. C.; Shanmugasundaram, K.; Ramani, S.; Zhu, T.; Zhang, F.; Xu, J.; Mohney, S. E.; Zhang, Q.; Kshirsagar, A.; Ruzyllo, J.

    2009-10-01

    Films of CdSe(ZnS) colloidal nanocrystalline quantum dots (NQDs) were deposited on bare silicon, glass and polymer coated silicon using mist deposition. This effort is a part of an exploratory investigation in which this deposition technique is studied for the first time as a method to form semiconductor NQD films. The process parameters, including deposition time, solution concentration and electric field, were varied to change the thickness of the deposited film. Blanket films and films deposited through a shadow mask were created to investigate the method's ability to pattern films during the deposition process. The differences between these deposition modes in terms of film morphology were observed. Overall, the results show that mist deposition of quantum dots is a viable method for creating thin, patterned quantum dot films using colloidal solution as the precursor. It is concluded that this technique shows very good promise for quantum dot (light emitting diode, LED) fabrication.

  11. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  12. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1985-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter depoairion are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq. cm. resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x to to the -6/ohm. cm. for 300 angstrom film to 2.56 x 10 to the -1/ohm. cm. for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  13. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    PubMed

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  14. Enhanced characteristics of blue InGaN /GaN light-emitting diodes by using selective activation to modulate the lateral current spreading length

    NASA Astrophysics Data System (ADS)

    Lin, Ray-Ming; Lu, Yuan-Chieh; Chou, Yi-Lun; Chen, Guo-Hsing; Lin, Yung-Hsiang; Wu, Meng-Chyi

    2008-06-01

    We have studied the characteristics of blue InGaN /GaN multiquantum-well light-emitting diodes (LEDs) after reducing the length of the lateral current path through the transparent layer through formation of a peripheral high-resistance current-blocking region in the Mg-doped GaN layer. To study the mechanism of selective activation in the Mg-doped GaN layer, we deposited titanium (Ti), gold (Au), Ti /Au, silver, and copper individually onto the Mg-doped GaN layer and investigated their effects on the hole concentration in the p-GaN layer. The Mg-doped GaN layer capped with Ti effectively depressed the hole concentration in the p-GaN layer by over one order of magnitude relative to that of the as-grown layer. This may suggest that high resistive regions are formed by diffusion of Ti and depth of high resistive region from the p-GaN surface depends on the capped Ti film thickness. Selective activation of the Mg-doped GaN layer could be used to modulate the length of the lateral current path. Furthermore, the external quantum efficiency of the LEDs was improved significantly after reducing the lateral current spreading length. In our best result, the external quantum efficiency was 52.3% higher (at 100mA) than that of the as-grown blue LEDs.

  15. Deposition and characterization of aluminum magnesium boride thin film coatings

    NASA Astrophysics Data System (ADS)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (< 3 x 10-6 Torr), accompanied by strong texture formation. Low vacuum level-as deposited AlMgB14 films have low hardness (10 GPa), but high vacuum level-as deposited AlMgB14 films exhibit an extremely high hardness (45 GPa - 51 GPa), and the higher deposition temperature results in still higher hardness

  16. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  17. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  18. Ultrashort pulse laser deposition of thin films

    DOEpatents

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  19. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  20. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  1. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    NASA Astrophysics Data System (ADS)

    Geng, Xuewen; Duan, Barrett K.; Grismer, Dane A.; Zhao, Liancheng; Bohn, Paul W.

    2013-06-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal-semiconductor interface.

  2. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  3. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  4. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  5. Deposition and characterization of molybdenum thin films using dc-plasma magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Majid, E-mail: majids@hotmail.com; Islam, Mohammad, E-mail: mohammad.islam@gmail.com

    2013-12-15

    Molebdenum (Mo) thin films were deposited on well-cleaned soda-lime glass substrates using DC-plasma magnetron sputtering. In the design of experiment deposition was optimized for maximum beneficial characteristics by monitoring effect of process variables such as deposition power (100–200 W). Their electrical, structural and morphological properties were analyzed to study the effect of these variables. The electrical resistivity of Mo thin films could be reduced by increasing deposition power. Within the range of analyzed deposition power, Mo thin films showed a mono crystalline nature and the crystallites were found to have an orientation along [110] direction. The surface morphology of thinmore » films showed that a highly dense micro structure has been obtained. The surface roughness of films increased with deposition power. The adhesion of Mo thin films could be improved by increasing the deposition power. Atomic force microscopy was used for the topographical study of the films and to determine the roughness of the films. X-ray diffractrometer and scanning electron microscopy analysis were used to investigate the crystallinity and surface morphology of the films. Hall effect measurement system was used to find resistivity, carrier mobility and carrier density of deposited films. The adhesion test was performed using scotch hatch tape adhesion test. Mo thin films prepared at deposition power of 200 W, substrate temperature of 23°C and Ar pressure of 0.0123 mbar exhibited a mono crystalline structure with an orientation along (110) direction, thickness of ∼550 nm and electrical resistivity value of 0.57 × 10{sup −4} Ω cm.« less

  6. Dynamics of threading dislocations in porous heteroepitaxial GaN films

    NASA Astrophysics Data System (ADS)

    Gutkin, M. Yu.; Rzhavtsev, E. A.

    2017-12-01

    Behavior of threading dislocations in porous heteroepitaxial gallium nitride (GaN) films has been studied using computer simulation by the two-dimensional discrete dislocation dynamics approach. A computational scheme, where pores are modeled as cross sections of cylindrical cavities, elastically interacting with unidirectional parallel edge dislocations, which imitate threading dislocations, is used. Time dependences of coordinates and velocities of each dislocation from dislocation ensembles under investigation are obtained. Visualization of current structure of dislocation ensemble is performed in the form of a location map of dislocations at any time. It has been shown that the density of appearing dislocation structures significantly depends on the ratio of area of a pore cross section to area of the simulation region. In particular, increasing the portion of pores surface on the layer surface up to 2% should lead to about a 1.5-times decrease of the final density of threading dislocations, and increase of this portion up to 15% should lead to approximately a 4.5-times decrease of it.

  7. Controlled growth of ordered nanopore arrays in GaN.

    PubMed

    Wildeson, Isaac H; Ewoldt, David A; Colby, Robert; Stach, Eric A; Sands, Timothy D

    2011-02-09

    High-quality, ordered nanopores in semiconductors are attractive for numerous biological, electrical, and optical applications. Here, GaN nanorods with continuous pores running axially through their centers were grown by organometallic vapor phase epitaxy. The porous nanorods nucleate on an underlying (0001)-oriented GaN film through openings in a SiN(x) template that are milled by a focused ion beam, allowing direct placement of porous nanorods. Nanopores with diameters ranging from 20-155 nm were synthesized with crystalline sidewalls.

  8. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  9. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  10. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  11. Methods for making deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1982-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or larger planar surfaces.

  12. Iron films deposited on porous alumina substrates

    NASA Astrophysics Data System (ADS)

    Yamada, Yasuhiro; Tanabe, Kenichi; Nishida, Naoki; Kobayashi, Yoshio

    2016-12-01

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 - 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  13. Inversion by metalorganic chemical vapor deposition from N- to Ga-polar gallium nitride and its application to multiple quantum well light-emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hosalli, A. M.; Van Den Broeck, D. M.; Bedair, S. M.

    2013-12-02

    We demonstrate a metalorganic chemical vapor deposition growth approach for inverting N-polar to Ga-polar GaN by using a thin inversion layer grown with high Mg flux. The introduction of this inversion layer allowed us to grow p-GaN films on N-polar GaN thin film. We have studied the dependence of hole concentration, surface morphology, and degree of polarity inversion for the inverted Ga-polar surface on the thickness of the inversion layer. We then use this approach to grow a light emitting diode structure which has the MQW active region grown on the advantageous N-polar surface and the p-layer grown on themore » inverted Ga-polar surface.« less

  14. Electrophoretic deposition of fluorescent Cu and Au sheets for light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Liu, Jiale; Wu, Zhennan; Li, Tingting; Zhou, Ding; Zhang, Kai; Sheng, Yu; Cui, Jianli; Zhang, Hao; Yang, Bai

    2015-12-01

    Electrophoretic deposition (EPD) is a conventional method for fabricating film materials from nanometer-sized building blocks, and exhibits the advantages of low-cost, high-efficiency, wide-range thickness adjustment, and uniform deposition. Inspired by the interest in the application of two-dimensional (2D) nanomaterials, the EPD technique has been recently extended to building blocks with 2D features. However, the studies are mainly focused on simplex building blocks. The utilization of multiplex building blocks is rarely reported. In this work, we demonstrate a controlled EPD of Cu and Au sheets, which are 2D assemblies of luminescent Cu and Au nanoclusters. Systematic investigations reveal that both the deposition efficiency and the thickness are determined by the lateral size of the sheets. For Cu sheets with a large lateral size, a high ζ-potential and strong face-to-face van der Waals interactions facilitate the deposition with high efficiency. However, for Au sheets, the small lateral size and ζ-potential limit the formation of a thick film. To solve this problem, the deposition dynamics are controlled by increasing the concentration of the Au sheets and adding acetone. This understanding permits the fabrication of a binary EPD film by the stepwise deposition of Cu and Au sheets, thus producing a luminescent film with both Cu green emission and Au red emission. A white light-emitting diode prototype with color coordinates (x, y) = (0.31, 0.36) is fabricated by employing the EPD film as a color conversion layer on a 365 nm GaN clip and further tuning the amount of deposited Cu and Au sheets.Electrophoretic deposition (EPD) is a conventional method for fabricating film materials from nanometer-sized building blocks, and exhibits the advantages of low-cost, high-efficiency, wide-range thickness adjustment, and uniform deposition. Inspired by the interest in the application of two-dimensional (2D) nanomaterials, the EPD technique has been recently extended to

  15. Analysis of light extraction efficiency enhancement for thin-film-flip-chip InGaN quantum wells light-emitting diodes with GaN micro-domes.

    PubMed

    Zhao, Peng; Zhao, Hongping

    2012-09-10

    The enhancement of light extraction efficiency for thin-film flip-chip (TFFC) InGaN quantum wells (QWs) light-emitting diodes (LEDs) with GaN micro-domes on n-GaN layer was studied. The light extraction efficiency of TFFC InGaN QWs LEDs with GaN micro-domes were calculated and compared to that of the conventional TFFC InGaN QWs LEDs with flat surface. The three dimensional finite difference time domain (3D-FDTD) method was used to calculate the light extraction efficiency for the InGaN QWs LEDs emitting at 460nm and 550 nm, respectively. The effects of the GaN micro-dome feature size and the p-GaN layer thickness on the light extraction efficiency were studied systematically. Studies indicate that the p-GaN layer thickness is critical for optimizing the TFFC LED light extraction efficiency. Significant enhancement of the light extraction efficiency (2.5-2.7 times for λ(peak) = 460nm and 2.7-2.8 times for λ(peak) = 550nm) is achievable from TFFC InGaN QWs LEDs with optimized GaN micro-dome diameter and height.

  16. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model.

    PubMed

    Li, Jian; Fei, Ze-Yuan; Xu, Yi-Feng; Wang, Jie; Fan, Bing-Feng; Ma, Xue-Jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  17. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    NASA Astrophysics Data System (ADS)

    Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  18. Short review on chemical bath deposition of thin film and characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  19. Optical signature of Mg-doped GaN: Transfer processes

    NASA Astrophysics Data System (ADS)

    Callsen, G.; Wagner, M. R.; Kure, T.; Reparaz, J. S.; Bügler, M.; Brunnmeier, J.; Nenstiel, C.; Hoffmann, A.; Hoffmann, M.; Tweedie, J.; Bryan, Z.; Aygun, S.; Kirste, R.; Collazo, R.; Sitar, Z.

    2012-08-01

    Mg doping of high quality, metal organic chemical vapor deposition grown GaN films results in distinct traces in their photoluminescence and photoluminescence excitation spectra. We analyze GaN:Mg grown on sapphire substrates and identify two Mg related acceptor states, one additional acceptor state and three donor states that are involved in the donor-acceptor pair band transitions situated at 3.26-3.29 eV in GaN:Mg. The presented determination of the donor-acceptor pair band excitation channels by photoluminescence excitation spectroscopy in conjunction with temperature-dependent photoluminescence measurements results in a direct determination of the donor and acceptor binding, localization, and activation energies, which is put into a broader context based on Haynes's rule. Furthermore, we analyze the biexponential decay dynamics of the photoluminescence signal of the acceptor and donor bound excitons. As all observed lifetimes scale with the localization energy of the donor and acceptor related bound excitons, defect and complex bound excitons can be excluded as their origin. Detailed analysis of the exciton transfer processes in the close energetic vicinity of the GaN band edge reveals excitation via free and bound excitonic channels but also via an excited state as resolved for the deepest localized Mg related acceptor bound exciton. For the two Mg acceptor states, we determine binding energies of 164 ± 5 and 195 ± 5 meV, which is in good agreement with recent density functional theory results. This observation confirms and quantifies the general dual nature of acceptor states in GaN based on the presented analysis of the photoluminescence and photoluminescence excitation spectra.

  20. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  1. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  2. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    NASA Astrophysics Data System (ADS)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  3. Self-limiting atomic layer deposition of conformal nanostructured silver films

    NASA Astrophysics Data System (ADS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-02-01

    The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  4. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  5. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  6. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  7. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  8. Cadmium sulphide (CdS) thin films deposited by chemical bath deposition (CBD) and dip coating techniques—a comparative study

    NASA Astrophysics Data System (ADS)

    Khimani, Ankurkumar J.; Chaki, Sunil H.; Malek, Tasmira J.; Tailor, Jiten P.; Chauhan, Sanjaysinh M.; Deshpande, M. P.

    2018-03-01

    The CdS thin films were deposited on glass slide substrates by Chemical Bath Deposition and dip coating techniques. The films thickness variation with deposition time showed maximum films deposition at 35 min for both the films. The energy dispersive analysis of x-ray showed both the films to be stoichiometric. The x-ray diffraction analysis confirmed the films possess hexagonal crystal structure. The transmission electron, scanning electron and optical microscopy study showed the films deposition to be uniform. The selected area electron diffraction exhibited ring patterns stating the films to be polycrystalline in nature. The atomic force microscopy images showed surface formed of spherical grains, hills and valleys. The recorded optical absorbance spectra analysis revealed the films possess direct optical bandgap having values of 2.25 eV for CBD and 2.40 eV for dip coating. The refractive index (η), extinction coefficient (k), complex dielectric constant (ε) and optical conductivity (σ 0) variation with wavelength showed maximum photon absorption till the respective wavelengths corresponding to the optical bandgap energy values. The recorded photoluminescence spectra showed two emission peaks. All the obtained results have been discussed in details.

  9. Low Temperature, Selective Atomic Layer Deposition of Nickel Metal Thin Films.

    PubMed

    Kerrigan, Marissa M; Klesko, Joseph P; Blakeney, Kyle J; Winter, Charles H

    2018-04-25

    We report the growth of nickel metal films by atomic layer deposition (ALD) employing bis(1,4-di- tert-butyl-1,3-diazadienyl)nickel and tert-butylamine as the precursors. A range of metal and insulating substrates were explored. An initial deposition study was carried out on platinum substrates. Deposition temperatures ranged from 160 to 220 °C. Saturation plots demonstrated self-limited growth for both precursors, with a growth rate of 0.60 Å/cycle. A plot of growth rate versus substrate temperature showed an ALD window from 180 to 195 °C. Crystalline nickel metal was observed by X-ray diffraction for a 60 nm thick film deposited at 180 °C. Films with thicknesses of 18 and 60 nm grown at 180 °C showed low root mean square roughnesses (<2.5% of thicknesses) by atomic force microscopy. X-ray photoelectron spectroscopies of 18 and 60 nm thick films deposited on platinum at 180 °C revealed ionizations consistent with nickel metal after sputtering with argon ions. The nickel content in the films was >97%, with low levels of carbon, nitrogen, and oxygen. Films deposited on ruthenium substrates displayed lower growth rates than those observed on platinum substrates. On copper substrates, discontinuous island growth was observed at ≤1000 cycles. Film growth was not observed on insulating substrates under any conditions. The new nickel metal ALD procedure gives inherently selective deposition on ruthenium and platinum from 160 to 220 °C.

  10. Graphene oxide assisted synthesis of GaN nanostructures for reducing cell adhesion.

    PubMed

    Yang, Rong; Zhang, Ying; Li, Jingying; Han, Qiusen; Zhang, Wei; Lu, Chao; Yang, Yanlian; Dong, Hongwei; Wang, Chen

    2013-11-21

    We report a general approach for the synthesis of large-scale gallium nitride (GaN) nanostructures by the graphene oxide (GO) assisted chemical vapor deposition (CVD) method. A modulation effect of GaN nanostructures on cell adhesion has been observed. The morphology of the GaN surface can be controlled by GO concentrations. This approach, which is based on the predictable choice of the ratio of GO to catalysts, can be readily extended to the synthesis of other materials with controllable nanostructures. Cell studies show that GaN nanostructures reduced cell adhesion significantly compared to GaN flat surfaces. The cell-repelling property is related to the nanostructure and surface wettability. These observations of the modulation effect on cell behaviors suggest new opportunities for novel GaN nanomaterial-based biomedical devices. We believe that potential applications will emerge in the biomedical and biotechnological fields.

  11. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  12. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  13. Low resistivity and low compensation ratio Ga-doped ZnO films grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Chen, Cheng-Yu; Hsiao, Li-Han; Chyi, Jen-Inn

    2015-09-01

    In this study, Ga-doped ZnO (GZO) thin films were deposited on GaN templates by using plasma-assisted molecular beam epitaxy. To obtain low resistivity GZO films, in-situ post-annealing under Zn overpressure was carried out to avoid the generation of acceptor-liked Zn vacancies. The resultant films showed optical transparency over 95% in the visible spectral range. By reducing the acceptor-like defects, GZO films with compensation ratio near 0.4 and resistivity simultaneously lower than 1×10-4 Ω cm have been successfully demonstrated.

  14. Unveiling structural, chemical and magnetic interfacial peculiarities in ε-Fe2O3/GaN (0001) epitaxial films.

    PubMed

    Ukleev, Victor; Suturin, Sergey; Nakajima, Taro; Arima, Taka-Hisa; Saerbeck, Thomas; Hanashima, Takayasu; Sitnikova, Alla; Kirilenko, Demid; Yakovlev, Nikolai; Sokolov, Nikolai

    2018-06-07

    The metastable ε-Fe 2 O 3 is known to be the most intriguing ferrimagnetic and multiferroic iron oxide phase exhibiting a bunch of exciting physical properties both below and above room temperature. The present paper unveils the structural and magnetic peculiarities of a few nm thick interface layer discovered in these films by a number of techniques. The polarized neutron reflectometry data suggests that the interface layer resembles GaFeO 3 in composition and density and is magnetically softer than the rest of the ε-Fe 2 O 3 film. While the in-depth density variation is in agreement with the transmission electron microscopy measurements, the layer-resolved magnetization profiles are qualitatively consistent with the unusual wasp-waist magnetization curves observed by superconducting quantum interference device magnetometry. Interestingly a noticeable Ga diffusion into the ε-Fe 2 O 3 films has been detected by secondary ion mass spectroscopy providing a clue to the mechanisms guiding the nucleation of exotic metastable epsilon ferrite phase on GaN at high growth temperature and influencing the interfacial properties of the studied films.

  15. Plasma-deposited amorphous hydrogenated carbon films and their tribological properties

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.

    1989-01-01

    Recent work on the properties of diamondlike carbon films and their dependence on preparation conditions are reviewed. The results of the study indicate that plasma deposition enables one to deposit a variety of amorphous hydrogenated carbon (a-C:H ) films exhibiting more diamondlike behavior to more graphitic behavior. The plasma-deposited a-C:H can be effectively used as hard, wear-resistant, and protective lubricating films on ceramic materials such as Si(sub 3)N(sub 4) under a variety of environmental conditions such as moist air, dry nitrogrn, and vacuum.

  16. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth

  17. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  18. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  19. Electrowetting on plasma-deposited fluorocarbon hydrophobic films for biofluid transport in microfluidics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayiati, P.; Tserepi, A.; Petrou, P. S.

    2007-05-15

    The present work focuses on the plasma deposition of fluorocarbon (FC) films on surfaces and the electrostatic control of their wettability (electrowetting). Such films can be employed for actuation of fluid transport in microfluidic devices, when deposited over patterned electrodes. Here, the deposition was performed using C{sub 4}F{sub 8} and the plasma parameters that permit the creation of films with optimized properties desirable for electrowetting were established. The wettability of the plasma-deposited surfaces was characterized by means of contact angle measurements (in the static and dynamic mode). The thickness of the deposited films was probed in situ by means ofmore » spectroscopic ellipsometry, while the surface roughness was provided by atomic force microscopy. These plasma-deposited FC films in combination with silicon nitride, a material of high dielectric constant, were used to create a dielectric structure that requires reduced voltages for successful electrowetting. Electrowetting experiments using protein solutions were conducted on such optimized dielectric structures and were compared with similar structures bearing commercial spin-coated Teflon registered amorphous fluoropolymer (AF) film as the hydrophobic top layer. Our results show that plasma-deposited FC films have desirable electrowetting behavior and minimal protein adsorption, a requirement for successful transport of biological solutions in 'digital' microfluidics.« less

  20. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  1. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  2. Ion plating technique improves thin film deposition

    NASA Technical Reports Server (NTRS)

    Mattox, D. M.

    1968-01-01

    Ion plating technique keeps the substrate surface clean until the film is deposited, allows extensive diffusion and chemical reaction, and joins insoluble or incompatible materials. The technique involves the deposition of ions on the substrate surface while it is being bombarded with inert gas ions.

  3. Effect of electron-beam deposition process variables on the film characteristics of the CrOx films

    NASA Astrophysics Data System (ADS)

    Chiu, Po-kai; Liao, Yi-Ting; Tsai, Hung-Yin; Chiang, Donyau

    2018-02-01

    The film characteristics and optical properties of the chromium oxide films on the glass substrates prepared by electron-beam deposition with different process variables were investigated. The process variables included are the various oxygen flow rates, the different applied substrate temperatures, and the preparation process in Ar or O2 surrounding environment with and without ion-assisted deposition. The optical constants of the deposited films are determined from the reflectance and transmittance measurements obtained using a spectrophotometer with wavelengths ranging from 350 nm to 2000 nm. The microstructures of the films were examined by the XRD, SEM, and XPS. The electrical conductivity was measured by a four-point probe instrument. The resulting microstructures of all the prepared films are amorphous and the features of the films are dense, uniform and no pillar structure is observed. The refractive index of deposited films decrease with oxygen flow rate increase within studied wavelengths and the extinction coefficients have the same trend in wavelengths of UV/Vis ranges. Increasing substrate temperature to 200 oC results in increase of both refractive index and extinction coefficient, but substrate temperatures below 150 oC show negligible effect on optical constants. The optical and electrical properties in the prepared CrOx films are illustrated by the analyzed XPS results, which decompose the enveloped curve of chromium electron energy status into the constituents of metal Cr, oxides CrO2 and Cr2O3. The relative occupied area contributed from metal Cr and area contributed from the other oxides can express the concentration ratio of free electron to covalent bonds in deposited films and the ratio is applied to explain the film characteristics, including the optical constants and sheet resistance.

  4. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  5. Thin-film preparation by back-surface irradiation pulsed laser deposition using metal powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Yamauchi, Makiko; Suda, Yoshiaki

    2017-01-01

    Several kinds of functional thin films were deposited using a new thin-film preparation method named the back-surface irradiation pulsed laser deposition (BIPLD) method. In this BIPLD method, powder targets were used as the film source placed on a transparent target holder, and then a visible-wavelength pulsed laser was irradiated from the holder side to the substrate. Using this new method, titanium oxide and boron nitride thin films were deposited on the silicon substrate. Surface scanning electron microscopy (SEM) images suggest that all of the thin films were deposited on the substrate with some large droplets irrespective of the kind of target used. The deposition rate of the films prepared by using this method was calculated from film thickness and deposition time to be much lower than that of the films prepared by conventional PLD. X-ray diffraction (XRD) measurement results suggest that rutile and anatase TiO2 crystal peaks were formed for the films prepared using the TiO2 rutile powder target. Crystal peaks of hexagonal boron nitride were observed for the films prepared using the boron nitride powder target. The crystallinity of the prepared films was changed by annealing after deposition.

  6. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, B.E.; McLean, W. II

    1996-02-13

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus. 9 figs.

  7. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, Bruce E.; McLean, II, William

    1996-01-01

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus.

  8. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  9. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  10. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  11. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  12. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  13. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  14. Microenergetic Shock Initiation Studies on Deposited Films of PETN

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-06-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with surface profilometry, scanning electron microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the in-plane and out-of-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult due to the attenuated shock and the high density of the PETN films. Mesoscale models of microenergetic samples were created using the shock physics code CTH and compared with experimental results. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, and density.

  15. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2017-06-27

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves electrochemically exchanging a mediating element on a substrate with a noble metal film by alternatingly sweeping potential in forward and reverse directions for a predetermined number of times in an electrochemical cell. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis.

  16. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  17. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  18. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  19. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  20. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  1. The effects of changing deposition conditions on the similarity of sputter-deposited fluorocarbon thin films to bulk PTFE

    NASA Astrophysics Data System (ADS)

    Zandona, Philip

    Solid lubrication of space-borne mechanical components is essential to their survival and the continued human exploration of space. Recent discoveries have shown that PTFE when blended with alumina nanofillers exhibits greatly improved physical performance properties, with wear rates being reduced by several orders of magnitude. The bulk processes used to produce the PTFE-alumina blends are limiting. Co-sputter deposition of PTFE and a filler material overcomes several of these limitations by enabling the reduction of particle size to the atomic level and also by allowing for the even coating of the solid lubricant on relatively large areas and components. The goal of this study was to establish a baseline performance of the sputtered PTFE films as compared to the bulk material, and to establish deposition conditions that would result in the most bulk-like film possible. In order to coax change in the structure of the sputtered films, sputtering power and deposition temperature were increased independently. Further, post-deposition annealing was applied to half of the deposited film in an attempt to affect change in the film structure. Complications in the characterization process due to increasing film thickness were also examined. Bulk-like metrics for characterization processes the included Fourier transform infrared spectroscopy (FTIR), X-ray spectroscopy (XPS), nanoindentation via atomic force microscopy, and contact angle of water on surface measurements were established. The results of the study revealed that increasing sputtering power and deposition temperature resulted in an increase in the similarity between the fluorocarbon films and the bulk PTFE, at a cost of affecting the potential of the film thicknesses, either by affecting the deposition process directly, or by decreasing the longevity of the sputtering targets.

  2. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  3. Capillary assisted deposition of carbon nanotube film for strain sensing

    NASA Astrophysics Data System (ADS)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  4. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  5. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  6. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    PubMed

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  7. Droplet heteroepitaxy of zinc-blende vs. wurtzite GaN quantum dots

    NASA Astrophysics Data System (ADS)

    Reese, C.; Jeon, S.; Hill, T.; Jones, C.; Shusterman, S.; Yacoby, Y.; Clarke, R.; Deng, H.; Goldman, Rs

    We have developed a GaN droplet heteroepitaxy process based upon plasma-assisted molecular-beam epitaxy. Using various surface treatments and Ga deposition parameters, we have demonstrated polycrystalline, zinc-blende (ZB), and wurtzite (WZ) GaN quantum dots (QDs) on Si(001), r-Al2O3, Si(111), and c-GaN substrates. For the polar substrates (i.e. Si(111) and c-GaN), high-resolution transmission electron microscopy and coherent Bragg rod analysis reveals the formation of coherent WZ GaN QDs with nitridation-temperature-dependent sizes and densities. For the non-polar substrates (i.e. Si(001) and r-Al2O3) , QDs with strong near-band photoluminescence emission are observed and ZB GaN QD growth on Si(001) is demonstrated for the first time.

  8. Fabrication of WS2/GaN p-n Junction by Wafer-Scale WS2 Thin Film Transfer.

    PubMed

    Yu, Yang; Fong, Patrick W K; Wang, Shifeng; Surya, Charles

    2016-11-29

    High quality wafer-scale free-standing WS 2 grown by van der Waals rheotaxy (vdWR) using Ni as a texture promoting layer is reported. The microstructure of vdWR grown WS 2 was significantly modified from mixture of crystallites with their c-axes both parallel to (type I) and perpendicular to (type II) the substrate to large type II crystallites. Wafer-scale transfer of vdWR grown WS 2 onto different substrates by an etching-free technique was demonstrated for the first time that utilized the hydrophobic property of WS 2 and hydrophilic property of sapphire. Our results show that vdWR is a reliable technique to obtain type-II textured crystallites in WS 2 , which is the key factor for the wafer-scale etching-free transfer. The transferred films were found to be free of observable wrinkles, cracks, or polymer residues. High quality p-n junctions fabricated by room-temperature transfer of the p-type WS 2 onto an n-type GaN was demonstrated with a small leakage current density of 29.6 μA/cm 2 at -1 V which shows superior performances compared to the directly grown WS 2 /GaN heterojunctions.

  9. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  10. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  11. Study on GaN nanostructures: Growth and the suppression of the yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, Ting; Chen, Fei; Ji, Xiaohong; Zhang, Qinyuan

    2018-07-01

    GaN nanostructures were synthesized via a simple chemical vapor deposition using Ga2O3 and NH3 as precursors. Structural and morphological properties were systematically characterized by field emission scanning electron microscopy, X-ray diffractometer, transmission electron microscopy, and Raman spectroscopy. The configuration of GaN nanostructures was found to be strongly dependent on the growth temperature and the NH3 flow rate. Photoluminescence analysis revealed that all the fabricated GaN NSs exhibited a strong ultra-violet emission (∼364 nm), and the yellow emission of GaN nanorods can be suppressed at appropriate III/V ratio. The suppression of the yellow emission was attributed to the low density of surface or the VGa defect. The work demonstrates that the GaN nanostructures have potential applications in the optoelectronic and nanoelectronic devices.

  12. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    NASA Astrophysics Data System (ADS)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  13. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  14. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  15. Properties of RF sputtered cadmium telluride (CdTe) thin films: Influence of deposition pressure

    NASA Astrophysics Data System (ADS)

    Kulkarni, R. R.; Pawbake, A. S.; Waykar, R. G.; Rondiya, S. R.; Jadhavar, A. A.; Pandharkar, S. M.; Karpe, S. D.; Diwate, K. D.; Jadkar, S. R.

    2016-04-01

    Influence of deposition pressure on structural, morphology, electrical and optical properties of CdTe thin films deposited at low substrate temperature (100°C) by RF magnetron sputtering was investigated. The formation of CdTe was confirmed by low angle XRD and Raman spectroscopy. The low angle XRD analysis revealed that the CdTe films have zinc blende (cubic) structure with crystallites having preferred orientation in (111) direction. Raman spectra show the longitudinal optical (LO) phonon mode peak ˜ 165.4 cm-1 suggesting high quality CdTe film were obtained over the entire range of deposition pressure studied. Scanning electron microscopy analysis showed that films are smooth, homogenous, and crack-free with no evidence of voids. The EDAX data revealed that CdTe films deposited at low deposition pressure are high-quality stoichiometric. However, for all deposition pressures, films are rich in Cd relative to Te. The UV-Visible spectroscopy analysis show the blue shift in absorption edge with increasing the deposition pressure while the band gap show decreasing trend. The highest electrical conductivity was obtained for the film deposited at deposition pressure 1 Pa which indicates that the optimized deposition pressure for our sputtering unit is 1 Pa. Based on the experimental results, these CdTe films can be useful for the application in the flexible solar cells and other opto-electronic devices.

  16. Effects of post-deposition annealing ambient on band alignment of RF magnetron-sputtered Y2O3 film on gallium nitride

    PubMed Central

    2013-01-01

    The effects of different post-deposition annealing ambients (oxygen, argon, forming gas (95% N2 + 5% H2), and nitrogen) on radio frequency magnetron-sputtered yttrium oxide (Y2O3) films on n-type gallium nitride (GaN) substrate were studied in this work. X-ray photoelectron spectroscopy was utilized to extract the bandgap of Y2O3 and interfacial layer as well as establishing the energy band alignment of Y2O3/interfacial layer/GaN structure. Three different structures of energy band alignment were obtained, and the change of band alignment influenced leakage current density-electrical breakdown field characteristics of the samples subjected to different post-deposition annealing ambients. Of these investigated samples, ability of the sample annealed in O2 ambient to withstand the highest electric breakdown field (approximately 6.6 MV/cm) at 10−6 A/cm2 was related to the largest conduction band offset of interfacial layer/GaN (3.77 eV) and barrier height (3.72 eV). PMID:23360596

  17. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  18. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  19. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  20. Deposition of zinc sulfide thin films by chemical bath process

    NASA Astrophysics Data System (ADS)

    Oladeji, Isaiah O.; Chow, Lee

    1996-11-01

    Deposition of high quality zinc sulfide (ZnS) thin film over a large area is required if it is to be effectively used in electroluminescent devices, solar cells, and other optoelectronic devices. Of all deposition techniques, chemical bath deposition (CBD) is the least costly technique that meets the above requirements. Recently it is found that the growth of ZnS film, of thickness less than 100 nm in a single dip, by CBD is facilitated by the use of ammonia and hydrazine as complexing agents. Here we report that the thickness of the deposited ZnS film can be increased if ammonium salt is used as a buffer. We also present an analytical study to explain our results and to further understand the ZnS growth process in CBD.

  1. Reactor design rules for GaN epitaxial layer growths on sapphire in metal-organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Kim, Keunjoo; Noh, Sam Kyu

    2000-08-01

    The thermal process of the growth of GaN-based semiconductors was analysed for two home-made horizontal reactors. The reactors were designed to make the ammonia gas flow in the opposite direction to the main gas flow. For two horizontal reactors different in dimension, the low Reynolds numbers of Re = 2.94 and 4.15 were chosen for stable laminar flow and the Rayleigh numbers governing the heat convection were optimized to the values of Ra = 6.0 and 76.2, respectively. The qualities of GaN and InGaN films were characterized by Hall effect measurement, x-ray diffraction and photoluminescence and compared with respect to the reactor dependency.

  2. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  3. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  5. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  6. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    PubMed Central

    Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-01-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality. PMID:29515883

  7. The preparation and characterization of optical thin films produced by ion-assisted deposition

    NASA Astrophysics Data System (ADS)

    Martin, P. J.; Netterfield, R. P.; Sainty, W. G.; Pacey, C. G.

    1984-06-01

    Ion-based deposition techniques have been successfully used to deposit compound films suitable for photothermal applications, as well as dielectric films with stable and reproducible optical properties. Thus, thin films of TiN, a-Si:H, and PbS have been obtained by ion-assisted deposition for photothermal solar-selective elements and similarly prepared dielectric layers of ZrO2, SiO2, and Al2O3 have been used as protective coatings on Ag and Al mirrors. It is shown that the technique of ion-assisted deposition affords control over the film density, microstructure, adhesion, composition, and optical properties. Details of the process and film properties are discussed.

  8. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  9. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  10. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  11. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  12. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  13. Vacuum and low oxygen pressure influence on BaFe12O19 film deposited by pulse laser deposition

    NASA Astrophysics Data System (ADS)

    Kumar, Pawan; Gaur, Anurag; Choudhary, R. J.

    2018-05-01

    BaFe12O19 hexaferrite thin films are deposited on Si (111) substrate by the pulse laser deposition (PLD) technique in high vacuum 10-6 Torr and low oxygen pressure (10 mTorr) at 650°C substrate temperature. The effects of high vacuum and low pressure on magnetic and optical properties are studied. These films are characterized by the x-ray diffractometer (XRD), SQUID-VSM magnetometer, and Photo-luminescence spectroscopy. XRD pattern reveals that the BaFe12O19 film well formed in both environments without any impurity pick. High magnetic saturazation 317 emu/cm3 and coercivity 130 Oe are observed for the film deposited in vacuum. Photoluminescence emission spectrum of BaFe12O19 film reveals that the higher intensity emission peak at ˜372 nm under the excitation wavelength of 270 nm is observed for the film grown in vacuum.

  14. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  15. Properties of NiO thin films deposited by intermittent spray pyrolysis process

    NASA Astrophysics Data System (ADS)

    Reguig, B. A.; Khelil, A.; Cattin, L.; Morsli, M.; Bernède, J. C.

    2007-02-01

    NiO thin films have been grown on glass substrates by intermittent spray pyrolysis deposition of NiCl 2·6H 2O diluted in distilled water, using a simple "perfume atomizer". The effect of the solution molarity on their properties was studied and compared to those of NiO thin films deposited with a classical spray system. It is shown that NiO thin films crystallized in the NiO structure are achieved after deposition. Whatever the precursor molarity, the grain size is around 25-30 nm. The crystallites are preferentially oriented along the (1 1 1) direction. All the films are p-type. However, the thickness and the conductivity of the NiO films depend on the precursor contraction. By comparison with the properties of films deposited by classical spray technique, it is shown that the critical precursor concentration, which induces strong thin films properties perturbations, is higher when a perfume atomizer is used. This broader stability domain can be attributed to better chlorides decomposition during the rest time used in the perfume atomizer technique.

  16. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q.; Takahashi, T.; Matsuhata, H.

    2013-12-02

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayersmore » grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.« less

  17. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shen, X. Q.; Takahashi, T.; Rong, X.; Chen, G.; Wang, X. Q.; Shen, B.; Matsuhata, H.; Ide, T.; Shimizu, M.

    2013-12-01

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayers grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.

  18. Nanostructure iron-silicon thin film deposition using plasma focus device

    NASA Astrophysics Data System (ADS)

    Kotb, M.; Saudy, A. H.; Hassaballa, S.; Eloker, M. M.

    2013-03-01

    The presented study in this paper reports the deposition of nano-structure iron-silicon thin film on a glass substrate using 3.3 KJ Mather-type plasma focus device. The iron-silicon powder was put on the top of hollow copper anode electrode. The deposition was done under different experimental conditions such as numbers of electric discharge shots and angular position of substrate. The film samples were exposed to energetic argon ions generated by plasma focus device at different distances from the top of the central electrode. The exposed samples were then analyzed for their structure and optical properties using X-ray diffraction (XRD) and UV-visible spectroscopy. The structure of iron-silicon thin films deposited using plasma focus device depends on the distance from the anode, the number of focus deposition shots and the angular position of the sample

  19. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  20. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  1. Photoluminescence and anti-deliquesce of cesium iodide and its sodium-doped films deposited by thermal evaporation at high deposition rates

    NASA Astrophysics Data System (ADS)

    Hsu, Jin-Cherng; Chiang, Yueh-Sheng; Ma, Yu-Sheng

    2013-03-01

    Cesium iodide (CsI) and sodium iodide (NaI) are good scintillators due to their high luminescence efficiency. These alkali halides can be excited by ultra-violet or by ionizing radiation. In this study, CsI and its Na-doped films about 8 μm thick were deposited by thermal evaporation boat without heating substrates at high deposition rates of 30, 50, 70, 90, and 110 nm/sec, respectively. The as-deposited films were sequentially deposited a silicon dioxide film to protect from deliquesce. And, the films were also post-annealed in vacuum at 150, 200, 250, and 300 °C, respectively. We calculated the packing densities of the samples according to the measurements of Fourier transform infrared spectroscopy (FTIR) and observed the luminescence properties by photoluminescence (PL) system. The surfaces and cross sections of the films were investigated by scanning electron microscope (SEM). From the above measurements we can find the optimal deposition rate of 90 nm/sec and post-annealing temperature of 250 °C in vacuum for the asdeposited cesium iodide and its sodium-doped films.

  2. Properties of spray-deposited liquid-phase exfoliated graphene films

    NASA Astrophysics Data System (ADS)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  3. Friction and wear of plasma-deposited diamond films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Garscadden, Alan; Barnes, Paul N.; Jackson, Howard E.

    1993-01-01

    Reciprocating sliding friction experiments in humid air and in dry nitrogen and unidirectional sliding friction experiments in ultrahigh vacuum were conducted with a natural diamond pin in contact with microwave-plasma-deposited diamond films. Diamond films with a surface roughness (R rms) ranging from 15 to 160 nm were produced by microwave-plasma-assisted chemical vapor deposition. In humid air and in dry nitrogen, abrasion occurred when the diamond pin made grooves in the surfaces of diamond films, and thus the initial coefficients of friction increased with increasing initial surface roughness. The equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. In vacuum the friction for diamond films contacting a diamond pin arose primarily from adhesion between the sliding surfaces. In these cases, the initial and equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. The equilibrium coefficients of friction were 0.02 to 0.04 in humid air and in dry nitrogen, but 1.5 to 1.8 in vacuum. The wear factor of the diamond films depended on the initial surface roughness, regardless of environment; it increased with increasing initial surface roughness. The wear factors were considerably higher in vacuum than in humid air and in dry nitrogen.

  4. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  5. Deposition And Characterization Of Ultra Thin Diamond Like Carbon Films

    NASA Astrophysics Data System (ADS)

    Tomcik, B.

    2010-07-01

    Amorphous hydrogenated and/or nitrogenated carbon films, a-C:H/a-C:N, in overall thickness up to 2 nm are materials of choice as a mechanical and corrosion protection layer of the magnetic media in modern hard disk drive disks. In order to obtain high density and void-free films the sputtering technology has been replaced by different plasma and ion beam deposition techniques. Hydrocarbon gas precursors, like C2H2 or CH4 with H2 and N2 as reactive gases are commonly used in Kaufman DC ion and RF plasma beam sources. Optimum incident energy of carbon ions, C+, is up to 100 eV while the typical ion current densities during the film formation are in the mA/cm2 range. Other carbon deposition techniques, like filtered cathodic arc, still suffer from co-deposition of fine nanosized carbon clusters (nano dust) and their improvements are moving toward arc excitation in the kHz and MHz frequency range. Non-destructive film analysis like μ-Raman optical spectroscopy, spectroscopic ellipsometry, FTIR and optical surface analysis are mainly used in the carbon film characterization. Due to extreme low film thicknesses the surface enhanced Raman spectroscopy (SERS) with pre-deposited layer of Au can reduce the signal collection time and minimize photon-induced damage during the spectra acquisition. Standard approach in the μ-Raman film evaluation is the measurement of the position (shift) and area of D and G-peaks under the deconvoluted overall carbon spectrum. Also, a slope of the carbon spectrum in the 1000-2000 cm-1 wavenumber range is used as a measure of the hydrogen intake within a film. Diamond like carbon (DLC) film should possess elasticity and self-healing properties during the occasional crash of the read-write head flying only couple of nanometers above the spinning film. Film corrosion protection capabilities are mostly evaluated by electrochemical tests, potentio-dynamic and linear polarization method and by business environmental method. Corrosion mechanism

  6. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN filmmore » in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.« less

  7. Morphogenesis of nanostructures in glancing angle deposition of metal thin film coatings

    NASA Astrophysics Data System (ADS)

    Brown, Timothy James

    Atomic vapors condensed onto solid surfaces form a remarkable category of condensed matter materials, the so-called thin films, with a myriad of compositions, morphological structures, and properties. The dynamic process of atomic condensation exhibits self-assembled pattern formation, producing morphologies with atomic-scale three- dimensional structures of seemingly limitless variety. This study attempts to shed new light on the dynamical growth processes of thin film deposition by analyzing in detail a previously unreported specific distinct emergent structure, a crystalline triangular-shaped spike that grows within copper and silver thin films. I explored the deposition parameters that lead to the growth of these unique structures, referred to as "nanospikes", fabricating approximately 55 thin films and used scanning electron microscopy and x-ray diffraction analysis. The variation of parameters include: vapor incidence angle, film thickness, substrate temperature, deposition rate, deposition material, substrate, and source-to-substrate distance. Microscopy analysis reveals that the silver and copper films deposited at glancing vapor incidence angles, 80 degrees and greater, have a high degree of branching interconnectivity between adjacent inclined nanorods. Diffraction analysis reveals that the vapor incidence angle influences the sub-populations of crystallites in the films, producing two different [110] crystal texture orientations. I hypothesize that the growth of nanospikes from nanorods is initiated by the stochastic arrival of vapor atoms and photons emitted from the deposition source at small diameter nanorods, and then driven by localized heating from vapor condensation and photon absorption. Restricted heat flow due to nanoscale thermal conduction maintains an elevated local temperature at the nanorod, enhancing adatom diffusion and enabling fast epitaxial crystal growth, leading to the formation and growth of nanospikes. Electron microscopy and x

  8. Microwave irradiation-assisted deposition of Ga2O3 on III-nitrides for deep-UV opto-electronics

    NASA Astrophysics Data System (ADS)

    Jaiswal, Piyush; Ul Muazzam, Usman; Pratiyush, Anamika Singh; Mohan, Nagaboopathy; Raghavan, Srinivasan; Muralidharan, R.; Shivashankar, S. A.; Nath, Digbijoy N.

    2018-01-01

    We report on the deposition of Ga2O3 on III-nitride epi-layers using the microwave irradiation technique. We also report on the demonstration of a Ga2O3 device: a visible-blind, deep-UV detector, with a GaN-based heterostructure as the substrate. The film deposited in the solution medium, at <200 °C, using a metalorganic precursor, was nanocrystalline. XRD confirms that the as-deposited film, when annealed at high temperature, turns to polycrystalline β-Ga2O3. SEM shows the as-deposited film to be uniform, with a surface roughness of 4-5 nm, as revealed by AFM. Interdigitated metal-semiconductor-metal devices with Ni/Au contact exhibited a peak spectral response at 230 nm and a good visible rejection ratio. This demonstration of a deep-UV detector on the β-Ga2O3/III-nitride stack is expected to open up possibilities of functional and physical integration of β-Ga2O3 and GaN material families towards enabling next-generation high-performance devices by exciting band and heterostructure engineering.

  9. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  10. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  11. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  12. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  13. Structural and gasochromic properties of WO3 films prepared by reactive sputtering deposition

    NASA Astrophysics Data System (ADS)

    Yamamoto, S.; Hakoda, T.; Miyashita, A.; Yoshikawa, M.

    2015-02-01

    The effects of deposition temperature and film thickness on the structural and gasochromic properties of tungsten trioxide (WO3) films used for the optical detection of diluted cyclohexane gas have been investigated. The WO3 films were prepared on SiO2 substrates by magnetron sputtering, with the deposition temperature ranging from 300 to 550 °C in an Ar and O2 gas mixture. The films were characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), and Rutherford backscattering spectroscopy (RBS). The gasochromic properties of the WO3 films, coated with a catalytic Pt layer, were examined by exposing them to up to 5% cyclohexane in N2 gas. It was found that (001)-oriented monoclinic WO3 films, with a columnar structure, grew at deposition temperatures between 400 and 450 °C. Furthermore, (010)-oriented WO3 films were preferably formed at deposition temperatures higher than 500 °C. The gasochromic characterization of the Pt/WO3 films revealed that (001)-oriented WO3 films, with cauliflower-like surface morphology, were appropriate for the optical detection of cyclohexane gas.

  14. Nanostructuring and texturing of pulsed laser deposited hydroxyapatite thin films

    NASA Astrophysics Data System (ADS)

    Kim, Hyunbin; Catledge, Shane; Vohra, Yogesh; Camata, Renato; Lacefield, William

    2003-03-01

    Hydroxyapatite (HA) [Ca_10(PO_4)_6(OH)_2] is commonly deposited onto orthopedic and dental metallic implants to speed up bone formation around devices, allowing earlier stabilization in a patient. Pulsed laser deposition (PLD) is a suitable means of placing thin HA films on these implants because of its control over stoichiometry, crystallinity, and nanostructure. These characteristics determine the mechanical properties of the films that must be optimized to improve the performance of load-bearing implants and other devices that undergo bone insertion. We have used PLD to produce nanostructured and preferentially oriented HA films and evaluated their mechanical properties. Pure, highly crystalline HA films on Ti-6Al-4V substrates were obtained using a KrF excimer laser (248nm) with energy density of 4-8 J/cm^2 and deposition temperature of 500-700^rcC. Scanning electron and atomic force microscopies reveal that our careful manipulation of energy density and substrate temperature has led to films made up of HA grains in the nanometer scale. Broadening of x-ray diffraction peaks as a function of deposition temperature suggests it may be possible to control the film nanostructure to a great extent. X-ray diffraction also shows that as the laser energy density is increased in the 4-8 J/cm^2 range, the hexagonal HA films become preferentially oriented along the c-axis perpendicular to the substrate. Texture, nanostructure, and phase make-up all significantly influence the mechanical properties. We will discuss how each of these factors affects hardness and Young's modulus of the HA films as measured by nanoindentation.

  15. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  16. Harmonic surface acoustic waves on gallium nitride thin films.

    PubMed

    Justice, Joshua; Lee, Kyoungnae; Korakakis, D

    2012-08-01

    SAW devices operating at the fundamental frequency and the 5th, 7th, 9th, and 11th harmonics have been designed, fabricated, and measured. Devices were fabricated on GaN thin films on sapphire substrates, which were grown via metal organic vapor phase epitaxy (MOVPE). Operating frequencies of 230, 962, 1338, 1720, and 2100 MHz were achieved with devices that had a fundamental wavelength, lambda0 = 20 μm. Gigahertz operation is realized with relatively large interdigital transducers that do not require complicated submicrometer fabrication techniques. SAW devices fabricated on the GaN/sapphire bilayer have an anisotropic propagation when the wavelength is longer than the GaN film thickness. It is shown that for GaN thin films, where kh(GaN) > 10 (k = 2pi/lambda and h(GaN) = GaN film thickness), effects of the substrate on the SAW propagation are eliminated. Bulk mode suppression at harmonic operation is also demonstrated.

  17. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  18. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  19. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  20. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    NASA Astrophysics Data System (ADS)

    Tucker, Mark D.; Czigány, Zsolt; Broitman, Esteban; Näslund, Lars-Åke; Hultman, Lars; Rosen, Johanna

    2014-04-01

    Carbon and carbon nitride films (CNx, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A "fullerene-like" (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CNx films, was observed in films deposited at 175 °C and above, with N2 pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradual transition from majority sp3-hybridized films to sp2 films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CNx films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.

  1. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    PubMed

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  2. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1989-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  3. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.

    1990-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  4. Impact of deposition-rate fluctuations on thin-film thickness and uniformity

    DOE PAGES

    Oliver, Joli B.

    2016-11-04

    Variations in deposition rate are superimposed on a thin-film–deposition model with planetary rotation to determine the impact on film thickness. Variations in magnitude and frequency of the fluctuations relative to the speed of planetary revolution lead to thickness errors and uniformity variations up to 3%. Sufficiently rapid oscillations in the deposition rate have a negligible impact, while slow oscillations are found to be problematic, leading to changes in the nominal film thickness. Finally, superimposing noise as random fluctuations in the deposition rate has a negligible impact, confirming the importance of any underlying harmonic oscillations in deposition rate or source operation.

  5. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  6. Fabrication of WS2/GaN p-n Junction by Wafer-Scale WS2 Thin Film Transfer

    PubMed Central

    Yu, Yang; Fong, Patrick W. K.; Wang, Shifeng; Surya, Charles

    2016-01-01

    High quality wafer-scale free-standing WS2 grown by van der Waals rheotaxy (vdWR) using Ni as a texture promoting layer is reported. The microstructure of vdWR grown WS2 was significantly modified from mixture of crystallites with their c-axes both parallel to (type I) and perpendicular to (type II) the substrate to large type II crystallites. Wafer-scale transfer of vdWR grown WS2 onto different substrates by an etching-free technique was demonstrated for the first time that utilized the hydrophobic property of WS2 and hydrophilic property of sapphire. Our results show that vdWR is a reliable technique to obtain type-II textured crystallites in WS2, which is the key factor for the wafer-scale etching-free transfer. The transferred films were found to be free of observable wrinkles, cracks, or polymer residues. High quality p-n junctions fabricated by room-temperature transfer of the p-type WS2 onto an n-type GaN was demonstrated with a small leakage current density of 29.6 μA/cm2 at −1 V which shows superior performances compared to the directly grown WS2/GaN heterojunctions. PMID:27897210

  7. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    NASA Astrophysics Data System (ADS)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  8. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  9. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  10. Nitride Semiconductors for Ultraviolet Detection

    DTIC Science & Technology

    1992-12-01

    intrinsic n- and p-type doped GaN, (4) deposition of monocrystalline GaN via atomic layer epitaxy, (5) the initial conduct of studies regarding the ion...crystalline quality of the films; it indicated that all the films for x ranging from I to 0 to be monocrystalline . The Al/Ga composition ratios in the...shown in Figure 1. An analysis of these RHEED patterns indicated that both the AIN buffer layer and the GaN film are monocrystalline films. The RHEED

  11. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  12. Simulation of optimum parameters for GaN MSM UV photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alhelfi, Mohanad A., E-mail: mhad12344@gmail.com; Ahmed, Naser M., E-mail: nas-tiji@yahoo.com; Hashim, M. R., E-mail: roslan@usm.my

    2016-07-06

    In this study the optimum parameters of GaN M-S-M photodetector are discussed. The evaluation of the photodetector depends on many parameters, the most of the important parameters the quality of the GaN film and others depend on the geometry of the interdigited electrode. In this simulation work using MATLAB software with consideration of the reflection and absorption on the metal contacts, a detailed study involving various electrode spacings (S) and widths (W) reveals conclusive results in device design. The optimum interelectrode design for interdigitated MSM-PD has been specified and evaluated by effect on quantum efficiency and responsivity.

  13. MOCVD growth and study of thin films of indium nitride

    NASA Astrophysics Data System (ADS)

    Jain, Abhishek

    This thesis is focused on a study of MOCVD growth of InN with the goal of providing new information on the effects of growth conditions and buffer/substrate materials on InN film properties. Initial studies, using both (111) Si and (0001) sapphire substrates, identified an optimum growth temperature window of 540--560°C for the formation of stable InN films. When attempting to grow InN films on sapphire with thicknesses greater than approximately 150 nanometers using an AlN buffer layer, the InN films were observed to delaminate from the buffer/substrate at growth temperature. The combined effect of compressive stress due to high lattice mismatch between InN and AlN (˜14%) and tensile stress due to grain coalescence along with the relatively weak bond strength of InN compared to GaN and AlN, is believed to cause the InN film to crack along the interface and delaminate. To further investigate the effect of the buffer layer on InN growth, studies were carried out using GaN films grown on sapphire as the growth template. Recent MBE results had indicated a significant difference in the thermal stability and growth mode of In-polar and N-polar InN, with improved properties reported for N-polar material grown on N-polar GaN. MOCVD growth of N-polar GaN is very difficult; consequently, all of the results reported in the literature for InN growth on GaN were likely carried out on Ga-polar material resulting in films with a high surface roughness. By utilizing N-polar and Ga-polar GaN films, it was possible to produce N-polar and In-polar InN films by MOCVD, as determined by convergent beam electron diffraction (CBED) analysis. Furthermore, the polarity was found to dramatically alter the surface roughness and growth mode of the InN films with enhanced lateral growth and reduced surface roughness obtained for N-polar InN. A qualitative model was proposed to explain the different growth mechanisms observed for In-polar and N-polar InN. In spite of the improvements in

  14. Silicon nanomembranes as a means to evaluate stress evolution in deposited thin films

    Treesearch

    Anna M. Clausen; Deborah M. Paskiewicz; Alireza Sadeghirad; Joseph Jakes; Donald E. Savage; Donald S. Stone; Feng Liu; Max G. Lagally

    2014-01-01

    Thin-film deposition on ultra-thin substrates poses unique challenges because of the potential for a dynamic response to the film stress during deposition. While theoretical studies have investigated film stress related changes in bulk substrates, little has been done to learn how stress might evolve in a film growing on a compliant substrate. We use silicon...

  15. Synthesis and tribological properties of diamond-like carbon films by electrochemical anode deposition

    NASA Astrophysics Data System (ADS)

    Li, Yang; Zhang, GuiFeng; Hou, XiaoDuo; Deng, DeWei

    2012-06-01

    Diamond-like carbon films (DLC) are deposited on Ti substrate by electrochemical anodic deposition at room temperature in pure methanol solution using a pulsed DC voltage at a range from 200 V to 2000 V. Raman spectroscopy analysis of the films reveals two broaden characteristic absorption peaks centred at ˜1350 cm-1 and 1580 cm-1, relating to D- and G-band of typical DLC films, respectively. A broad peak centred at 1325-1330 cm-1 is observed when an applied potential is 1200 V, which can confirm that the deposited films contained diamond structure phase. Tribological properties of the coated Ti substrates have been measured by means of a ball-on-plate wear test machine. A related growth mechanism of DLC films by the anodic deposition mode has also been discussed.

  16. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Shaji, S.; Garcia, L. V.; Loredo, S. L.; Krishnan, B.; Aguilar Martinez, J. A.; Das Roy, T. K.; Avellaneda, D. A.

    2017-01-01

    Antimony sulfide (Sb2S3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb2S3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV-vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb2S3 thin films for optoelectronic applications.

  17. Low-Temperature Wafer-Scale Deposition of Continuous 2D SnS2 Films.

    PubMed

    Mattinen, Miika; King, Peter J; Khriachtchev, Leonid; Meinander, Kristoffer; Gibbon, James T; Dhanak, Vin R; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-04-19

    Semiconducting 2D materials, such as SnS 2 , hold immense potential for many applications ranging from electronics to catalysis. However, deposition of few-layer SnS 2 films has remained a great challenge. Herein, continuous wafer-scale 2D SnS 2 films with accurately controlled thickness (2 to 10 monolayers) are realized by combining a new atomic layer deposition process with low-temperature (250 °C) postdeposition annealing. Uniform coating of large-area and 3D substrates is demonstrated owing to the unique self-limiting growth mechanism of atomic layer deposition. Detailed characterization confirms the 1T-type crystal structure and composition, smoothness, and continuity of the SnS 2 films. A two-stage deposition process is also introduced to improve the texture of the films. Successful deposition of continuous, high-quality SnS 2 films at low temperatures constitutes a crucial step toward various applications of 2D semiconductors. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. In situ electric properties of Ag films deposited on rough substrates

    NASA Astrophysics Data System (ADS)

    Zhou, Hong; Yu, Sen-Jiang; Zhang, Yong-Ju; Chen, Miao-Gen; Jiao, Zhi-Wei; Si, Ping-Zhan

    2013-01-01

    Silver (Ag) films have been deposited on rough substrates (including frosted glass and silicone grease), and for comparison on flat glass, by DC-magnetron sputtering, and their sheet resistances measured in situ during deposition. It is found that the growth of Ag films proceeds through three distinct stages: discontinuous, semi-continuous, and continuous regimes. The sheet resistance on rough substrates jumps in the vicinity of the percolation threshold, whereas the resistance on flat substrates decreases monotonically during deposition. The abnormal in situ electric properties on rough substrates are well explained based on the differences of the growth mechanism and microstructure of Ag films on different substrates.

  19. Thin films deposited by femtosecond pulsed laser ablation of tungsten carbide

    NASA Astrophysics Data System (ADS)

    De Bonis, A.; Teghil, R.; Santagata, A.; Galasso, A.; Rau, J. V.

    2012-09-01

    Ultra-short Pulsed Laser Deposition has been applied to the production of thin films from a tungsten carbide target. The gaseous phase obtained by the laser ablation shows a very weak primary plume, in contrast with a very strong secondary one. The deposited films, investigated by Scanning Electron Microscopy, Atomic Force Microscopy, X-Ray Photoelectron Spectroscopy and X-Ray Diffraction, present a mixture of WC and other phases with lower carbon content. All films are amorphous, independently from the substrate temperature. The characteristics of the deposits have been explained in terms of thermal evaporation and cooling rate of molten particles ejected from the target.

  20. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    NASA Astrophysics Data System (ADS)

    Ma, B.; Li, M.; Koritala, R. E.; Fisher, B. L.; Markowitz, A. R.; Erck, R. A.; Baurceanu, R.; Dorris, S. E.; Miller, D. J.; Balachandran, U.

    2003-04-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx9° was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55°. In-plane texture in the ISD MgO films developed in the first approx0.5 mum from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the substrate normal have a unique orientation relationship with the ISD MgO films. An orientation relationship of YBCOlangle100rangleparallelMgOlangle111rangle and YBCOlangle010rangleparallelMgOlangle110rangle was measured by x-ray pole figure analyses and confirmed by transmission electron microscopy. A Tc of 91 K with a sharp transition and transport Jc of 5.5 × 105 A cm-2 at 77 K in self-field were measured on a YBCO film that was 0.46 mum thick, 4 mm wide and 10 mm long.

  1. A new approach to the deposition of nanostructured biocatalytic films

    NASA Astrophysics Data System (ADS)

    Troitsky, V. I.; Berzina, T. S.; Pastorino, L.; Bernasconi, E.; Nicolini, C.

    2003-06-01

    In the present work, monolayer engineering was used to fabricate biocatalytic nanostructured thin films based on the enzyme penicillin G acylase. The biocatalytic films with enhanced characteristics were produced by the deposition of alternate-layer assemblies with a predetermined structure using a combination of Langmuir-Blodgett and adsorption techniques. The value of enzyme activity and the level of protein detachment were measured in dependence on the variation of film composition and on the sequence of layer alternation. As a result, highly active and stable structures were found, which could be promising candidates for practical applications. The method of modification of the deposition method to provide continuous film formation on large-area supports is discussed.

  2. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  3. AFM investigation and optical band gap study of chemically deposited PbS thin films

    NASA Astrophysics Data System (ADS)

    Zaman, S.; Mansoor, M.; Abubakar; Asim, M. M.

    2016-08-01

    The interest into deposition of nanocrystalline PbS thin films, the potential of designing and tailoring both the topographical features and the band gap energy (Eg) by controlling growth parameters, has significant technological importance. Nanocrystalline thin films of lead sulfide were grown onto glass substrates by chemical bath deposition (CBD) method. The experiments were carried out by varying deposition temperature. We report on the modification of structural and optical properties as a function of deposition temperature. The morphological changes of the films were analyzed by using SEM and AFM. AFM was also used to calculate average roughness of the films. XRD spectra indicated preferred growth of cubic phase of PbS films in (200) direction with increasing deposition time. Optical properties have been studied by UV-Spectrophotometer. From the diffused reflectance spectra we have calculated the optical Eg shift from 0.649-0.636 eV with increasing deposition time.

  4. Electrolytically deposited Cadmium Selenide Films for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    Dervos, C. T.; Palaiologopoulou, M. D.

    2012-10-01

    CdSe films were electrodeposited on pure nickel substrates. The nickel substrate was polished to a mirror finish by Al2O3 paste, etched in 10% HCl solution for 40 s and rinsed thoroughly by de-ionized water. The deposition bath contained solutions with excessive Cd2+ (0.2M) from CdSO4 and small amounts of SeO2 (1x10-3 M). The pH of the bath was adjusted to a value of 2.2 at RT by adding 10% H2SO4. The bath was first thermostated at the required temperature, which varied from 55°C to 65°C. Plating was accomplished at deposition potential 1000 mV (vs. Hg/Hg2SO4). The films formed had a uniform thickness and it was found to be approximately 2.0 μm thick (for 20 min electrodeposition process. The produced CdSe films were characterized by X-Ray diffraction and SEM. The induced semiconductor doping effect by thermal annealing in pure dry nitrogen gas was also investigated. Gold contacts were placed on top of the CdSe films, either by evaporation, or mechanically. Depending on the deposition parameters the electrical characteristics of the Ni/CdSe/Au structures may exhibit rectification properties. The optical excitation of the structure was investigated for various CdSe thicknesses.

  5. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  6. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  7. Stripe domains and magnetoresistance in thermally deposited nickel films

    NASA Astrophysics Data System (ADS)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  8. Magnetic properties of LCMO deposited films

    NASA Astrophysics Data System (ADS)

    Park, Seung-Iel; Jeong, Kwang Ho; Cho, Young Suk; Kim, Chul Sung

    2002-04-01

    La-Ca-Mn-O films were deposited with various thickness (500, 1000 and 1500°C) by RF-magnetron sputtering at 700°C and by the spin coating of sol-gel method at 400°C on LaAlO 3(1 0 0) and Si(1 0 0) single-crystal substrates. The crystal structure and chemical composition of the film grown by RF sputtering method were orthorhombic and La 0.89Ca 0.11MnO 3, respectively, while the film prepared by sol-gel spin coating was cubic with La 0.7Ca 0.3MnO 3. The temperature dependence of the resistance for the film grown by RF sputtering method with the thickness of 1000°C shows that a semiconductor-metal transition occurs at 242 K. The relative maximum magnetoresistance is about 273% at 226 K.

  9. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  10. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  11. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  12. Polymer-assisted aqueous deposition of metal oxide films

    DOEpatents

    Li, DeQuan [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM

    2003-07-08

    An organic solvent-free process for deposition of metal oxide thin films is presented. The process includes aqueous solutions of necessary metal precursors and an aqueous solution of a water-soluble polymer. After a coating operation, the resultant coating is fired at high temperatures to yield optical quality metal oxide thin films.

  13. (abstract) Optical Scattering and Surface Microroughness of Ion Beam Deposited Au and Pt Thin Films

    NASA Technical Reports Server (NTRS)

    Al-Jumaily, Ghanim A.; Raouf, Nasrat A.; Edlou, Samad M.; Simons, John C.

    1994-01-01

    Thin films of gold and platinum have been deposited onto superpolished fused silica substrates using thermal evaporation, ion assisted deposition (IAD), and ion assisted sputtering. The influence of ion beam flux, thin film material, and deposition rate on the films microroughness have been investigated. Short range surface microroughness of the films has been examined using scanning tunneling microscopy (STM) and atomic force microscopy (AFM). Long range surface microroughness has been characterized using an angle resolved optical scatterometer. Results indicate that ion beam deposited coatings have improved microstructure over thermally evaporated films.

  14. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  15. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration wasmore » 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.« less

  16. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    NASA Astrophysics Data System (ADS)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  17. Comparison of the properties of Pb thin films deposited on Nb substrate using thermal evaporation and pulsed laser deposition techniques

    NASA Astrophysics Data System (ADS)

    Perrone, A.; Gontad, F.; Lorusso, A.; Di Giulio, M.; Broitman, E.; Ferrario, M.

    2013-11-01

    Pb thin films were prepared at room temperature and in high vacuum by thermal evaporation and pulsed laser deposition techniques. Films deposited by both the techniques were investigated by scanning electron microscopy to determine their surface topology. The structure of the films was studied by X-ray diffraction in θ-2θ geometry. The photoelectron performances in terms of quantum efficiency were deduced by a high vacuum photodiode cell before and after laser cleaning procedures. Relatively high quantum efficiency (>10-5) was obtained for all the deposited films, comparable to that of corresponding bulk. Finally, film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Weak and strong points of these two competitive techniques are illustrated and discussed.

  18. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tucker, Mark D., E-mail: martu@ifm.liu.se; Broitman, Esteban; Näslund, Lars-Åke

    Carbon and carbon nitride films (CN{sub x}, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A “fullerene-like” (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CN{sub x} films, was observed in films deposited at 175 °C and above, with N{sub 2} pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradualmore » transition from majority sp{sup 3}-hybridized films to sp{sup 2} films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CN{sub x} films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.« less

  19. Synthesis and excellent field emission properties of three-dimensional branched GaN nanowire homostructures

    NASA Astrophysics Data System (ADS)

    Li, Enling; Sun, Lihe; Cui, Zhen; Ma, Deming; Shi, Wei; Wang, Xiaolin

    2016-10-01

    Three-dimensional branched GaN nanowire homostructures have been synthesized on the Si substrate via a two-step approach by chemical vapor deposition. Structural characterization reveals that the single crystal GaN nanowire trunks have hexagonal wurtzite characteristics and grow along the [0001] direction, while the homoepitaxial single crystal branches grow in a radial direction from the six-sided surfaces of the trunks. The field emission measurements demonstrate that the branched GaN nanowire homostructures have excellent field emission properties, with low turn-on field at 2.35 V/μm, a high field enhancement factor of 2938, and long emission current stability. This indicates that the present branched GaN nanowire homostructures will become valuable for practical field emission applications.

  20. Near-failure detonation behavior of vapor-deposited hexanitrostilbene (HNS) films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan R.; Marquez, Michael P.; Tappan, Alexander S.

    2017-01-01

    Hexanitrostilbene (HNS) films were deposited onto polycarbonate substrates using vacuum thermal sublimation. The deposition conditions were varied in order to alter porosity in the films, and the resulting microstructures were quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation were determined. The polycarbonate substrates also acted as recording plates for detonation experiments, and films near the critical thickness displayed distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions.

  1. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  2. Deposition of functional nanoparticle thin films by resonant infrared laser ablation.

    NASA Astrophysics Data System (ADS)

    Haglund, Richard; Johnson, Stephen; Park, Hee K.; Appavoo, Kannatessen

    2008-03-01

    We have deposited thin films containing functional nanoparticles, using tunable infrared light from a picosecond free-electron laser (FEL). Thin films of the green light-emitting molecule Alq3 were first deposited by resonant infrared laser ablation at 6.68 μm, targeting the C=C ring mode of the Alq3. TiO2 nanoparticles 50-100 nm diameter were then suspended in a water matrix, frozen, and transferred by resonant infrared laser ablation at 2.94 μm through a shadow mask onto the Alq3 film. Photoluminescence was substantially enhanced in the regions of the film covered by the TiO2 nanoparticles. In a second experiment, gold nanoparticles with diameters in the range of 50-100 nm were suspended in the conducting polymer and anti-static coating material PEDOT:PSS, which was diluted by mixing with N-methyl pyrrolidinone (NMP). The gold nanoparticle concentration was 8-10% by weight. The mixture was frozen and then ablated by tuning the FEL to 3.47 μm, the C-H stretch mode of NMP. Optical spectroscopy of the thin film deposited by resonant infrared laser ablation exhibited the surface-plasmon resonance characteristic of the Au nanoparticles. These experiments illustrate the versatility of matrix-assisted resonant infrared laser ablation as a technique for depositing thin films containing functionalized nanoparticles.

  3. Pulsed laser deposition of functionalized Mg-Al layered double hydroxide thin films

    NASA Astrophysics Data System (ADS)

    Vlad, A.; Birjega, R.; Tirca, I.; Matei, A.; Mardare, C. C.; Hassel, A. W.; Nedelcea, A.; Dinescu, M.; Zavoianu, R.

    2018-02-01

    In this paper, magnesium-aluminium layered double hydroxide (LDH) has been functionalized with sodium dodecyl sulfate (DS) and deposited as thin film by pulsed laser deposition (PLD). Mg, Al-LDH powders were prepared by co-precipitation and used as reference material. Intercalation of DS as an anionic surfactant into the LDHs host layers has been prepared in two ways: co-precipitation (P) and reconstruction (R). DS intercalation occurred in LDH powder via both preparation methods. The films deposited via PLD, in particular at 532 and 1064 nm, preserve the organic intercalated layered structure of the targets prepared from these powders. The results reveal the ability of proposed deposition technique to produce functional composite organo-modified LDHs thin films.

  4. Influence of solution deposition rate on properties of V2O5 thin films deposited by spray pyrolysis technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-07-01

    Vanadium oxide (V2O5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films' crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V2O5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  5. Mechanical and physicochemical properties of AlN thin films obtained by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Cibert, C.; Tétard, F.; Djemia, P.; Champeaux, C.; Catherinot, A.; Tétard, D.

    2004-10-01

    AlN thin films have been deposited on Si(100) substrates by a pulsed laser deposition method. The deposition parameters (pressure, temperature, purity of target) play an important role in the mechanical and physicochemical properties. The films have been characterized using X-ray diffraction, atomic force microscopy, Brillouin light scattering, Fourier transform infrared spectroscopy and wettability testing. With a high purity target of AlN and a temperature deposition of 750 ∘C, the measured Rayleigh wave velocity is close to the one previously determined for AlN films grown at high temperature by metal-organic chemical vapour deposition. Growth of nanocrystalline AlN at low temperature and of AlN film with good crystallinity for samples deposited at higher temperature is confirmed by infrared spectroscopy, as it was by atomic force microscopy, in agreement with X-ray diffraction results. A high hydrophobicity has been measured with zero polar contribution for the surface energy. These results confirm that films made by pulsed laser deposition of pure AlN at relatively low temperature have good prospects for microelectromechanical systems applications.

  6. Dense nanocrystalline yttrium iron garnet films formed at room temperature by aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Scooter D., E-mail: scooter.johnson@nrl.navy.mil; Glaser, Evan R.; Cheng, Shu-Fan

    Highlights: • We deposit yttrium iron garnet films at room temperature using aerosol deposition. • Films are 96% of theoretical density for yttrium iron garnet. • We report magnetic and structural properties post-deposition and post-annealing. • Low-temperature annealing decreases the FMR linewidth. • We discuss features of the FMR spectra at each anneal temperature. - Abstract: We have employed aerosol deposition to form polycrystalline yttrium iron garnet (YIG) films on sapphire at room temperature that are 90–96% dense. We characterize the structural and dynamic magnetic properties of the dense films using scanning electron microscopy, X-ray diffraction, and ferromagnetic resonance techniques.more » We find that the as-deposited films are pure single-phase YIG formed of compact polycrystallites ∼20 nm in size. The ferromagnetic resonance mode occurs at 2829 G with a linewidth of 308 G. We perform a series of successive anneals up to 1000 °C on a film to explore heat treatment on the ferromagnetic resonance linewidth. We find the narrowest linewidth of 98 G occurs after a 750 °C anneal.« less

  7. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  8. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  9. Adhesion, friction, and wear of plasma-deposited thin silicon nitride films at temperatures to 700 C

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Pouch, J. J.; Alterovitz, S. A.; Pantic, D. M.; Johnson, G. A.

    1988-01-01

    The adhesion, friction, and wear behavior of silicon nitride films deposited by low- and high-frequency plasmas (30 kHz and 13.56 MHz) at various temperatures to 700 C in vacuum were examined. The results of the investigation indicated that the Si/N ratios were much greater for the films deposited at 13.56 MHz than for those deposited at 30 kHz. Amorphous silicon was present in both low- and high-frequency plasma-deposited silicon nitride films. However, more amorphous silicon occurred in the films deposited at 13.56 MHz than in those deposited at 30 kHz. Temperature significantly influenced adhesion, friction, and wear of the silicon nitride films. Wear occurred in the contact area at high temperature. The wear correlated with the increase in adhesion and friction for the low- and high-frequency plasma-deposited films above 600 and 500 C, respectively. The low- and high-frequency plasma-deposited thin silicon nitride films exhibited a capability for lubrication (low adhesion and friction) in vacuum at temperatures to 500 and 400 C, respectively.

  10. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  11. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  12. Liquid phase deposition synthesis of hexagonal molybdenum trioxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deki, Shigehito; Beleke, Alexis Bienvenu; Kotani, Yuki

    2009-09-15

    Hexagonal molybdenum trioxide thin films with good crystallinity and high purity have been fabricated by the liquid phase deposition (LPD) technique using molybdic acid (H{sub 2}MoO{sub 4}) dissolved in 2.82% hydrofluoric acid (HF) and H{sub 3}BO{sub 3} as precursors. The crystal was found to belong to a hexagonal hydrate system MoO{sub 3}.nH{sub 2}O (napprox0.56). The unit cell lattice parameters are a=10.651 A, c=3.725 A and V=365.997 A{sup 3}. Scanning electron microscope (SEM) images of the as-deposited samples showed well-shaped hexagonal rods nuclei that grew and where the amount increased with increase in reaction time. X-ray photon electron spectroscopy (XPS) spectramore » showed a Gaussian shape of the doublet of Mo 3d core level, indicating the presence of Mo{sup 6+} oxidation state in the deposited films. The deposited films exhibited an electrochromic behavior by lithium intercalation and deintercalation, which resulted in coloration and bleaching of the film. Upon dehydration at about 450 deg. C, the hexagonal MoO{sub 3}.nH{sub 2}O was transformed into the thermodynamically stable orthorhombic phase. - Abstract: SEM photograph of typical h-MoO{sub 3}.nH{sub 2}O thin film nuclei obtained after 36 h at 40 deg. C by the LPD method. Display Omitted« less

  13. Au-Doped Indium Tin Oxide Ohmic Contacts to p-Type GaN

    NASA Astrophysics Data System (ADS)

    Guo, H.; Andagana, H. B.; Cao, X. A.

    2010-05-01

    Indium tin oxide (ITO) thin films doped with Au, Ni, or Pt (3.5 at.% to 10.5 at.%) were deposited on p-GaN epilayers (Mg ~4 × 1019 cm-3) using direct-current (DC) sputter codeposition. It was found that undoped ITO con- tacts to p-GaN exhibited leaky Schottky behavior, whereas the incorporation of a small amount of Au (3.5 at.% to 10.5 at.%) significantly improved their ohmic characteristics. Compared with standard Ni/ITO contacts, the Au-doped ITO contacts had a similar specific contact resistance in the low 10-2 Ω cm-2 range, but were more stable above 600°C and more transparent at blue wavelengths. These results provide support for the use of Au-doped ITO ohmic contact to p-type GaN in high-brightness blue light-emitting diodes.

  14. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    NASA Astrophysics Data System (ADS)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  15. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  16. Effect of sputtering power on the growth of Ru films deposited by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jhanwar, Prachi, E-mail: prachijhanwar87@gmail.com; Department of Electronics, Banasthali University-304022, Rajasthan; Kumar, Arvind

    2016-04-13

    Ruthenium is deposited by DC magnetron sputtering at different powers and is characterized. The effect of sputtering power on the electrical and structural properties of the film is investigated experimentally. High resolution X-ray diffraction is used to characterize the microstructure of Ru films deposited on SiO{sub 2} surface. The peak (002) is more sharp and intense with full width at half maximum (FWHM) of 0.37° at 250W. The grain size increases with increase in sputtering power improving the crystallinity of the film. The film deposited at high sputtering power also showed lower resistivity (12.40 µΩ-cm) and higher mobility (4.82 cm{sup 2}/V.s) asmore » compared to the film deposited at low power. The surface morphology of the film is studied by atomic force microscopy (AFM).« less

  17. Effects of film thickness on the linear and nonlinear refractive index of p-type SnO films deposited by e-beam evaporation process

    NASA Astrophysics Data System (ADS)

    El-Gendy, Y. A.

    2017-12-01

    Tin monoxide (SnO) films of different thickness have been deposited onto glass substrates at vacuum pressure of ∼ 8 × 10-6 mbar using an e-beam evaporation system. A hot probe test revealed that the deposited films showed p-type conduction. The structure characterization and phase purity of the deposited films was confirmed using X-ray diffraction (XRD) and Raman spectroscopy. The optical transmission and reflection spectra of the deposited films recorded in the wavelength range 190-2500 nm were used to calculate the optical constants employing the Murmann's exact equations. The refractive index dispersion was adequately described by the well-known effective-single-oscillator model proposed by Wemple-DiDomenico, whereby the dispersion parameters were calculated. The nonlinear refractive index and nonlinear optical susceptibility of the deposited films were successfully evaluated using the Miller empirical relations. The lattice dielectric constant and the carrier concentration to the effective mass ratio were also calculated as a function of film thickness using the Spitzer and Fan model. The variation of the optical band gap of the deposited films as a function of film thickness was also presented.

  18. Ambient-temperature diffusion and gettering of Pt atoms in GaN with surface defect region under 60Co gamma or MeV electron irradiation

    NASA Astrophysics Data System (ADS)

    Hou, Ruixiang; Li, Lei; Fang, Xin; Xie, Ziang; Li, Shuti; Song, Weidong; Huang, Rong; Zhang, Jicai; Huang, Zengli; Li, Qiangjie; Xu, Wanjing; Fu, Engang; Qin, G. G.

    2018-01-01

    Generally, the diffusion and gettering of impurities in GaN needs high temperature. Calculated with the ambient-temperature extrapolation value of the high temperature diffusivity of Pt atoms in GaN reported in literature, the time required for Pt atoms diffusing 1 nm in GaN at ambient temperature is about 19 years. Therefore, the ambient-temperature diffusion and gettering of Pt atoms in GaN can hardly be observed. In this work, the ambient-temperature diffusion and gettering of Pt atoms in GaN is reported for the first time. It is demonstrated by use of secondary ion mass spectroscopy that in the condition of introducing a defect region on the GaN film surface by plasma, and subsequently, irradiated by 60Co gamma-ray or 3 MeV electrons, the ambient-temperature diffusion and gettering of Pt atoms in GaN can be detected. It is more obvious with larger irradiation dose and higher plasma power. With a similar surface defect region, the ambient-temperature diffusion and gettering of Pt atoms in GaN stimulated by 3 MeV electron irradiation is more marked than that stimulated by gamma irradiation. The physical mechanism of ambient-temperature diffusion and gettering of Pt atoms in a GaN film with a surface defect region stimulated by gamma or MeV electron irradiation is discussed.

  19. Vacancy-type defects in Mg-doped GaN grown by ammonia-based molecular beam epitaxy probed using a monoenergetic positron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uedono, Akira; Malinverni, Marco; Martin, Denis

    Vacancy-type defects in Mg-doped GaN were probed using a monoenergetic positron beam. GaN films with a thickness of 0.5–0.7 μm were grown on GaN/sapphire templates using ammonia-based molecular beam epitaxy and characterized by measuring Doppler broadening spectra. Although no vacancies were detected in samples with a Mg concentration [Mg] below 7 × 10{sup 19 }cm{sup −3}, vacancy-type defects were introduced starting at above [Mg] = 1 × 10{sup 20 }cm{sup −3}. The major defect species was identified as a complex between Ga vacancy (V{sub Ga}) and multiple nitrogen vacancies (V{sub N}s). The introduction of vacancy complexes was found to correlate with a decreasemore » in the net acceptor concentration, suggesting that the defect introduction is closely related to the carrier compensation. We also investigated Mg-doped GaN layers grown using In as the surfactant. The formation of vacancy complexes was suppressed in the subsurface region (≤80 nm). The observed depth distribution of defects was attributed to the thermal instability of the defects, which resulted in the introduction of vacancy complexes during the deposition process.« less

  20. Ion beam deposition of in situ superconducting Y-Ba-Cu-O films

    NASA Astrophysics Data System (ADS)

    Klein, J. D.; Yen, A.; Clauson, S. L.

    1990-01-01

    Oriented superconducting YBa2Cu3O7 thin films were deposited on yttria-stabilized zirconia substrates by ion beam sputtering of a nonstoichiometric oxide target. The films exhibited zero-resistance critical temperatures as high as 80.5 K without post-deposition anneals. Both the deposition rate and the c lattice parameter data displayed two distinct regimes of dependence on the beam power of the ion source. Low-power sputtering yielded films with large c dimensions and low Tc's. Higher power sputtering produced a continuous decrease in the c lattice parameter and an increase in critical temperatures.

  1. Effect of Zinc Oxide Film Deposition Position on the Characteristics of Zinc Oxide Thin Film Transistors Fabricated by Low-Temperature Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Takechi, Kazushige; Nakata, Mitsuru; Eguchi, Toshimasa; Otsuki, Shigeyoshi; Yamaguchi, Hirotaka; Kaneko, Setsuo

    2008-09-01

    We report on the effect of zinc oxide (ZnO) film deposition position on the characteristics of ZnO thin-film transistors (TFTs) fabricated by magnetron sputtering with no intentional heating of the substrate. We evaluate the properties of ZnO (channel semiconductor) films deposited at various positions with respect to the target position. We show that the film deposition at a position off-centered from the target results in good TFT characteristics. This might be due to the fact that the off-centered deposition position is effective for suppressing the effect of energetic negative ions in the plasma.

  2. Molecular layer deposition of alucone films using trimethylaluminum and hydroquinone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Devika; Sarkar, Shaibal K., E-mail: shaibal.sarkar@iitb.ac.in; Mahuli, Neha

    2015-01-01

    A hybrid organic–inorganic polymer film grown by molecular layer deposition (MLD) is demonstrated here. Sequential exposures of trimethylaluminum [Al(CH{sub 3}){sub 3}] and hydroquinone [C{sub 6}H{sub 4}(OH){sub 2}] are used to deposit the polymeric films, which is a representative of a class of aluminum oxide polymers known as “alucones.” In-situ quartz crystal microbalance (QCM) studies are employed to determine the growth characteristics. An average growth rate of 4.1 Å per cycle at 150 °C is obtained by QCM and subsequently verified with x-ray reflectivity measurements. Surface chemistry during each MLD-half cycle is studied in depth by in-situ Fourier transform infrared (FTIR) vibrationmore » spectroscopy. Self limiting nature of the reaction is confirmed from both QCM and FTIR measurements. The conformal nature of the deposit, typical for atomic layer deposition and MLD, is verified with transmission electron microscopy imaging. Secondary ion mass spectroscopy measurements confirm the uniform elemental distribution along the depth of the films.« less

  3. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  4. Photobiomolecular deposition of metallic particles and films

    DOEpatents

    Hu, Zhong-Cheng

    2005-02-08

    The method of the invention is based on the unique electron-carrying function of a photocatalytic unit such as the photosynthesis system I (PSI) reaction center of the protein-chlorophyll complex isolated from chloroplasts. The method employs a photo-biomolecular metal deposition technique for precisely controlled nucleation and growth of metallic clusters/particles, e.g., platinum, palladium, and their alloys, etc., as well as for thin-film formation above the surface of a solid substrate. The photochemically mediated technique offers numerous advantages over traditional deposition methods including quantitative atom deposition control, high energy efficiency, and mild operating condition requirements.

  5. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  6. Properties of Epitaxial GaN on Refractory Metal Substrates

    DTIC Science & Technology

    2007-02-28

    Rowland Aymont Technology, Inc., Scotia, New York 12302 Jihyun Kim College of Engineering, Korea University, Seoul 136-701, South Korea Mohammad Fatemi...M. Li, D. Wang, C. Ahyi, C.-C. Tin, J. Williams, and M. Park , Appl. Phys. Lett. 88, 113509 2001. FIG. 4. I-V characteristic of the GaN film on 111

  7. Supercritical fluid molecular spray film deposition and powder formation

    DOEpatents

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  8. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  9. Microstructure-related properties of magnesium fluoride films at 193nm by oblique-angle deposition.

    PubMed

    Guo, Chun; Kong, Mingdong; Lin, Dawei; Liu, Cunding; Li, Bincheng

    2013-01-14

    Magnesium fluoride (MgF2) films deposited by resistive heating evaporation with oblique-angle deposition have been investigated in details. The optical and micro-structural properties of single-layer MgF2 films were characterized by UV-VIS and FTIR spectrophotometers, scanning electron microscope (SEM), atomic force microscope (AFM), and x-ray diffraction (XRD), respectively. The dependences of the optical and micro-structural parameters of the thin films on the deposition angle were analyzed. It was found that the MgF2 film in a columnar microstructure was negatively inhomogeneous of refractive index and polycrystalline. As the deposition angle increased, the optical loss, extinction coefficient, root-mean-square (rms) roughness, dislocation density and columnar angle of the MgF2 films increased, while the refractive index, packing density and grain size decreased. Furthermore, IR absorption of the MgF2 films depended on the columnar structured growth.

  10. Composition variations in pulsed-laser-deposited Y-Ba-Cu-O thin films as a function of deposition parameters

    NASA Technical Reports Server (NTRS)

    Foote, M. C.; Jones, B. B.; Hunt, B. D.; Barner, J. B.; Vasquez, R. P.; Bajuk, L. J.

    1992-01-01

    The composition of pulsed-ultraviolet-laser-deposited Y-Ba-Cu-O films was examined as a function of position across the substrate, laser fluence, laser spot size, substrate temperature, target conditioning, oxygen pressure and target-substrate distance. Laser fluence, laser spot size, and substrate temperature were found to have little effect on composition within the range investigated. Ablation from a fresh target surface results in films enriched in copper and barium, both of which decrease in concentration until a steady state condition is achieved. Oxygen pressure and target-substrate distance have a significant effect on film composition. In vacuum, copper and barium are slightly concentrated at the center of deposition. With the introduction of an oxygen background pressure, scattering results in copper and barium depletion in the deposition center, an effect which increases with increasing target-substrate distance. A balancing of these two effects results in stoichiometric deposition.

  11. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  12. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  13. In-situ deposition of sodium titanate thin film as anode for sodium-ion micro-batteries developed by pulsed laser deposition.

    PubMed

    Rambabu, A; Senthilkumar, B; Sada, K; Krupanidhi, S B; Barpanda, P

    2018-03-15

    Sodium-ion thin-film micro-batteries form a niche sector of energy storage devices. Sodium titanate, Na 2 Ti 6 O 13 (NTO) thin films were deposited by pulsed laser deposition (PLD) using solid-state synthesized polycrystalline Na 2 Ti 6 O 13 compound. The phase-purity and crystallinity of NTO in bulk and thin-film forms were confirmed by Rietveld refinement. Electron microscopy and atomic force microscopy revealed the formation of uniform ∼100 nm thin film with roughness of ∼4 nm consisting of homogeneous nanoscale grains. These PLD-deposited NTO thin-films, when tested in Na-half cell architecture, delivered a near theoretical reversible capacity close to 42 mA h g -1 involving Ti 4+ /Ti 3+ redox activity along with good cycling stability and rate kinetics. Na 2 Ti 6 O 13 can work as an efficient and safe anode in designing sodium-ion thin-film micro-batteries. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Holmelund, E.; Schou, J.; Tougaard, S.; Larsen, N. B.

    2002-09-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced with Sn concentrations up to 16%. The specific resistivity is found to increase and the transmission of visible light to decrease with increasing Sn concentration.

  15. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films preparedmore » by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.« less

  16. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    PubMed

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  17. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  18. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  19. Structural and mechanical properties of Al-C-N films deposited at room temperature by plasma focus device

    NASA Astrophysics Data System (ADS)

    Z, A. Umar; R, Ahmad; R, S. Rawat; M, A. Baig; J, Siddiqui; T, Hussain

    2016-07-01

    The Al-C-N films are deposited on Si substrates by using a dense plasma focus (DPF) device with aluminum fitted central electrode (anode) and by operating the device with CH4/N2 gas admixture ratio of 1:1. XRD results verify the crystalline AlN (111) and Al3CON (110) phase formation of the films deposited using multiple shots. The elemental compositions as well as chemical states of the deposited Al-C-N films are studied using XPS analysis, which affirm Al-N, C-C, and C-N bonding. The FESEM analysis reveals that the deposited films are composed of nanoparticles and nanoparticle agglomerates. The size of the agglomerates increases at a higher number of focus deposition shots for multiple shot depositions. Nanoindentation results reveal the variation in mechanical properties (nanohardness and elastic modulus) of Al-C-N films deposited with multiple shots. The highest values of nanohardness and elastic modulus are found to be about 11 and 185 GPa, respectively, for the film deposited with 30 focus deposition shots. The mechanical properties of the films deposited using multiple shots are related to the Al content and C-N bonding.

  20. [Preparation and transmissivity of ZnS nanocolumn thin films with glancing angle deposition technology].

    PubMed

    Lu, Li-Fang; Xu, Zheng; Zhang, Fu-Jun; Zhao, Su-Ling; Song, Dan-Dan; Li, Jun-Ming; Wang, Yong-Sheng; Xu, Xu-Rong

    2010-02-01

    Nanocrystalline ZnS thin films were fabricated by glancing angle deposition (GLAD) technology in an electron beam evaporation system. Deposition was carried out in the custom vacuum chamber at a base pressure 3 x 10(-4) Pa, and the deposition rate was fixed at 0.2 nm x s(-1). ZnS films were deposited on pieces of indium tin oxide (ITO) substrates when the oblique angle of the substrate relative to the incoming molecular flux was set to 0 degrees, 80 degrees and 85 degrees off the substrate normal respectively. X-ray diffraction (XRD) spectra and scanning electron microscope (SEM) images showed that ZnS nanocrystalline films were formed on the substrates at different oblique angle, but the nanocolumn structure was only formed under the situation of alpha = 80 degrees and 85 degrees. The dynamics during the deposition process of the ZnS films at alpha = 0 degrees, 80 degrees and 85 degrees was analyzed. The transmitted spectra of ZnS thin films deposited on ITO substrates showed that the ZnS nanocolumn thin films could enhance the transmissivity in visible range. The ZnS nanocolumn could be used into electroluminescence device, and it would enhance the luminous efficiency of the device.

  1. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  2. Effect of oxygen deposition pressure and temperature on the structure and properties of pulsed laser-deposited La0.67Ca0.33MnOδ films

    NASA Astrophysics Data System (ADS)

    Horwitz, James S.; Dorsey, Paul C.; Koon, N. C.; Rubinstein, M.; Byers, J. M.; Gillespie, D. J.; Osofsky, Michael S.; Harris, V. G.; Grabowski, K. S.; Knies, D. L.; Donovan, Edward P.; Treece, Randolph E.; Chrisey, Douglas B.

    1996-04-01

    The effect of substrate temperature and oxygen deposition pressure on the structure and properties of thin films of LaxCa1-xMnO(delta ) has been investigated. Thin films (approximately 1000 angstroms) of La0.67Ca0.33MnO(delta ) were deposited onto LaAlO3 (100) substrates by pulsed laser deposition at a substrate temperature of 600 and 700 degree(s)C. A series of films were grown on different oxygen pressures, between 15 and 400 mTorr, which systematically changed the oxygen concentrations in the films. As-deposited films exhibited an oriented orthorhombic structure. At low oxygen deposition pressures films were preferentially (202) oriented. At high pressures deposited films had a (040) preferred orientation. A 900 degree(s)C anneal in flowing oxygen of a film deposited at low oxygen pressure resulted in a decrease in the a lattice parameter and a change in the preferred orientation from (202) to (040). Vacuum annealing at 550 degree(s)C resulted in an increase in the a lattice parameter. The resistivity as a function of temperature showed a significant variation as a function of growth conditions. The peak in the resistivity curve (Tm) varied between 73 and 150 K depending upon the growth conditions. The activation energy associated with the semiconducting phase was approximately the same for all films (approximately 100 meV).

  3. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  4. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    NASA Astrophysics Data System (ADS)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  5. Polyethylene-Carbon Nanotube Composite Film Deposited by Cold Spray Technique

    NASA Astrophysics Data System (ADS)

    Ata, Nobuhisa; Ohtake, Naoto; Akasaka, Hiroki

    2017-10-01

    Carbon nanotubes (CNTs) are high-performance materials because of their superior electrical conductivity, thermal conductivity, and self-lubrication, and they have been studied for application to polymer composite materials as fillers. However, the methods of fabricating polymer composites with CNTs, such as injection molding, are too complicated for industrial applications. We propose a simple cold spray (CS) technique to obtain a polymer composite of polyethylene (PE) and CNTs. The composite films were deposited by CS on polypropylene and nano-porous structured aluminum substrates. The maximum thickness of the composite film was approximately 1 mm. Peaks at G and D bands were observed in the Raman spectra of the films. Scanning electron microscopy images of the film surface revealed that PE particles were melted by the acceleration gas and CNTs were attached with melted PE. The PE particles solidified after contact with the substrate. These results indicate that PE-CNT composite films were successfully deposited on polypropylene and nano-porous structured aluminum substrates by CS.

  6. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  7. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    PubMed Central

    Wilson, Rachel L.; Blackman, Christopher S.; Carmalt, Claire J.; Stanoiu, Adelina; Di Maggio, Francesco

    2018-01-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated. PMID:29494504

  8. Cataphoretic assembly of cationic dyes and deposition of carbon nanotube and graphene films.

    PubMed

    Su, Y; Zhitomirsky, I

    2013-06-01

    Cathodic electrophoretic deposition (EPD) method has been developed for the fabrication of thin films from aqueous solutions of crystal violet (CV) dyes. The films contained rod-like particles with a long axis oriented perpendicular to the substrate surface. The proposed deposition mechanism involved cataphoresis of cationic CV(+) species, base generation in the cathodic reactions, and charge neutralization at the electrode surface. The assembly of rod-like particles was governed by π-π interactions of polyaromatic CV molecules. The deposition kinetics was studied by quartz crystal microbalance. CV dyes allowed efficient dispersion of multiwalled carbon nanotubes (MWCNTs) and graphene in water at relatively low CV concentrations. The feasibility of cathodic EPD of MWCNT and graphene from aqueous suspensions, containing CV, has been demonstrated. The deposition yield was investigated at different CV concentrations and deposition voltages. The relatively high deposition yield of MWCNT and graphene indicated that CV is an efficient dispersing, charging, and film forming agent for EPD. Electron microscopy data showed that at low CV concentrations in MWCNT or graphene suspensions and low deposition voltages, the films contained mainly MWCNT or graphene. The increase in the CV concentration and/or deposition voltage resulted in enhanced co-deposition of CV. The EPD method developed in this investigation paves the way for the fabrication of advanced nanocomposites by cathodic electrodeposition. Copyright © 2013 Elsevier Inc. All rights reserved.

  9. Internal Stress and Microstructure of Zinc Oxide Films Sputter-Deposited with Carbon Dioxide Gas

    NASA Astrophysics Data System (ADS)

    Toru Ashida,; Kazuhiro Kato,; Hideo Omoto,; Atsushi Takamatsu,

    2010-06-01

    The internal stress and microstructure of ZnO films were investigated as a function of carbon dioxide (CO2) gas flow ratio [CO2/(O2+CO2)] during sputter deposition. The internal stress of the ZnO films decreased with increasing CO2 gas flow ratio. The carbon concentration in the films deposited using CO2 gas increased by up to 4.0 at. %. Furthermore, the ZnO films deposited without CO2 gas exhibited a preferred orientation of (002); however, the C-doped ZnO films exhibited random orientations. These findings suggest that the C atoms incorporated in the ZnO crystal lattice induce this random orientation, thereby relaxing the internal stress of C-doped ZnO films.

  10. Effect of deposition temperature on thermal stabilities of copper-carbon films in barrier-less Cu metallization

    NASA Astrophysics Data System (ADS)

    Zhu, Huan; Fu, Zhiqiang; Xie, Qi; Yue, Wen; Wang, Chengbiao; Kang, Jiajie; Zhu, Lina

    2018-01-01

    Copper-carbon alloy films have been applied in barrier-less Cu metallization as seed layers for improving the thermal stabilities. The effect of the deposition temperature on the microstructure and properties of C-doped Cu films on Si substrates was investigated. The films were prepared by ion beam-assisted deposition at various deposition temperatures by co-sputtering of Cu and graphite targets. No inter-diffusion between Cu and Si was observed in Cu(C) films throughout this experiment, because XRD patterns corresponding to their deep-level reaction product, namely, Cu3Si, were not observed in XRD patterns and EDS results of Cu(C) films. Amorphous carbon layer and SiC layer were found in the interface of Cu(C) as-deposited films when deposition temperature rose to 100 °C by TEM, high-resolution image and Fourier transformation pattern. The Cu(C) films deposited at 100 °C had the best thermal stabilities and the lowest electrical resistivity of 4.44 μW cm after annealing at 400 °C for 1 h. Cu agglomeration was observed in Cu(C) alloy films with deposition temperatures of 200, 300 and 400 °C, and the most serious agglomeration occurred in Cu(C) films deposited at 200 °C. Undesired Cu agglomeration resulted in a sharp increase in the resistivity after annealing at 300 °C for 1 h. The deposition temperature of 100 °C reflected the superior thermal stabilities of Cu(C) seed layers compared with those of other layers.

  11. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J.; Halpern, B.L.

    1994-10-18

    A method and apparatus are disclosed for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases. 8 figs.

  12. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, Jerome J.; Halpern, Bret L.

    1994-01-01

    A method and apparatus for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases.

  13. Microstructure of ZnO Thin Films Deposited by High Power Impulse Magnetron Sputtering (Postprint)

    DTIC Science & Technology

    2015-03-01

    AFRL-RX-WP-JA-2015-0185 MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON SPUTTERING (POSTPRINT) A. N. Reed...COVERED (From – To) 29 January 2013 – 16 February 2015 4. TITLE AND SUBTITLE MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON...ABSTRACT High power impulse magnetron sputtering was used to deposit thin (~100 nm) zinc oxide (ZnO) films from a ceramic ZnO target onto substrates

  14. Synthesis and application of ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) films using electrophoretic deposition

    DOE PAGES

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; ...

    2016-11-02

    In this paper, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-raymore » diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (P r) of around 4 μC/cm 2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates.« less

  15. Synthesis and Application of Ferroelectric Poly(Vinylidene Fluoride-co-Trifluoroethylene) Films using Electrophoretic Deposition

    PubMed Central

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; Park, Eugene; Hong, Seungbum

    2016-01-01

    In this study, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (Pr) of around 4 μC/cm2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates. PMID:27805008

  16. Effect of film thickness on structural and mechanical properties of AlCrN nanocompoite thin films deposited by reactive DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Ravi; Kaur, Davinder, E-mail: dkaurfph@iitr.ac.in

    2016-05-06

    In this study, the influence of film thickness on the structural, surface morphology and mechanical properties of Aluminum chromium nitride (AlCrN) thin films has been successfully investigated. The AlCrN thin films were deposited on silicon (100) substrate using dc magnetron reactive co-sputtering at substrate temperature 400° C. The structural, surface morphology and mechanical properties were studied using X-ray diffraction, field-emission scanning electron microscopy and nanoindentation techniques respectively. The thickness of these thin films was controlled by varying the deposition time therefore increase in deposition time led to increase in film thickness. X-ray diffraction pattern of AlCrN thin films with differentmore » deposition time shows the presence of (100) and (200) orientations. The crystallite size varies in the range from 12.5 nm to 36.3 nm with the film thickness due to surface energy minimization with the higher film thickness. The hardness pattern of these AlCrN thin films follows Hall-Petch relation. The highest hardness 23.08 Gpa and young modulus 215.31 Gpa were achieved at lowest grain size of 12.5 nm.« less

  17. Titanium dioxide thin films by atomic layer deposition: a review

    NASA Astrophysics Data System (ADS)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  18. TiCN thin films grown by reactive crossed beam pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Escobar-Alarcón, L.; Camps, E.; Romero, S.; Muhl, S.; Camps, I.; Haro-Poniatowski, E.

    2010-12-01

    In this work, we used a crossed plasma configuration where the ablation of two different targets in a reactive atmosphere was performed to prepare nanocrystalline thin films of ternary compounds. In order to assess this alternative deposition configuration, titanium carbonitride (TiCN) thin films were deposited. Two crossed plasmas were produced by simultaneously ablating titanium and graphite targets in an Ar/N2 atmosphere. Films were deposited at room temperature onto Si (100) and AISI 4140 steel substrates whilst keeping the ablation conditions of the Ti target constant. By varying the laser fluence on the carbon target it was possible to study the effect of the carbon plasma on the characteristics of the deposited TiCN films. The structure and composition of the films were analyzed by X-ray Diffraction, Raman Spectroscopy and non-Rutherford Backscattering Spectroscopy. The hardness and elastic modulus of the films was also measured by nanoindentation. In general, the experimental results showed that the TiCN thin films were highly oriented in the (111) crystallographic direction with crystallite sizes as small as 6.0 nm. It was found that the hardness increased as the laser fluence was increased, reaching a maximum value of about 33 GPa and an elastic modulus of 244 GPa. With the proposed configuration, the carbon content could be easily varied from 42 to 5 at.% by changing the laser fluence on the carbon target.

  19. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  20. High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.

    PubMed

    Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing

    2013-06-17

    High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.

  1. Investigation of HCl-based surface treatment for GaN devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, Hiroshi, E-mail: okada@ee.tut.ac.jp; Department of Electrical and Electronic Information Engineering, Toyohashi University of Technology, 1-1 Hibarigaoka, Tempaku-cho, Toyohashi 441-8580; Shinohara, Masatohi

    2016-02-01

    Surface treatments of GaN in HCl-based solutions are studied by X-ray photoelectron spectroscopy (XPS) and electrical characterization of fabricated GaN surfaces. A dilute-HCl treatment (HCl:H{sub 2}O=1:1) at room temperature and a boiled-HCl treatment (undiluted HCl) at 108°C are made on high-temperature annealed n-GaN. From the XPS study, removal of surface oxide by the dilute-HCl treatment was found, and more thoroughly oxide-removal was confirmed in the boiled-HCl treatment. Effect of the surface treatment on electrical characteristics on AlGaN/GaN transistor is also studied by applying treatment processes prior to the surface SiN deposition. Increase of drain current is found in boiled-HCl treatedmore » samples. The results suggest that the boiled-HCl treatment is effective for GaN device fabrication.« less

  2. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  3. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  4. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  5. Magnetism of Amorphous and Nano-Crystallized Dc-Sputter-Deposited MgO Thin Films

    PubMed Central

    Mahadeva, Sreekanth K.; Fan, Jincheng; Biswas, Anis; Sreelatha, K.S.; Belova, Lyubov; Rao, K.V.

    2013-01-01

    We report a systematic study of room-temperature ferromagnetism (RTFM) in pristine MgO thin films in their amorphous and nano-crystalline states. The as deposited dc-sputtered films of pristine MgO on Si substrates using a metallic Mg target in an O2 containing working gas atmosphere of (N2 + O2) are found to be X-ray amorphous. All these films obtained with oxygen partial pressure (PO2) ~10% to 80% while maintaining the same total pressure of the working gas are found to be ferromagnetic at room temperature. The room temperature saturation magnetization (MS) value of 2.68 emu/cm3 obtained for the MgO film deposited in PO2 of 10% increases to 9.62 emu/cm3 for film deposited at PO2 of 40%. However, the MS values decrease steadily for further increase of oxygen partial pressure during deposition. On thermal annealing at temperatures in the range 600 to 800 °C, the films become nanocrystalline and as the crystallite size grows with longer annealing times and higher temperature, MS decreases. Our study clearly points out that it is possible to tailor the magnetic properties of thin films of MgO. The room temperature ferromagnetism in MgO films is attributed to the presence of Mg cation vacancies. PMID:28348346

  6. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  7. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: Swain@iae.re.kr; Mishra, Chinmayee; Lee, Chan Gi

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leachmore » residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.« less

  8. Deposition of device quality low H content, amorphous silicon films

    DOEpatents

    Mahan, A.H.; Carapella, J.C.; Gallagher, A.C.

    1995-03-14

    A high quality, low hydrogen content, hydrogenated amorphous silicon (a-Si:H) film is deposited by passing a stream of silane gas (SiH{sub 4}) over a high temperature, 2,000 C, tungsten (W) filament in the proximity of a high temperature, 400 C, substrate within a low pressure, 8 mTorr, deposition chamber. The silane gas is decomposed into atomic hydrogen and silicon, which in turn collides preferably not more than 20--30 times before being deposited on the hot substrate. The hydrogenated amorphous silicon films thus produced have only about one atomic percent hydrogen, yet have device quality electrical, chemical, and structural properties, despite this lowered hydrogen content. 7 figs.

  9. Deposition of device quality low H content, amorphous silicon films

    DOEpatents

    Mahan, Archie H.; Carapella, Jeffrey C.; Gallagher, Alan C.

    1995-01-01

    A high quality, low hydrogen content, hydrogenated amorphous silicon (a-Si:H) film is deposited by passing a stream of silane gas (SiH.sub.4) over a high temperature, 2000.degree. C., tungsten (W) filament in the proximity of a high temperature, 400.degree. C., substrate within a low pressure, 8 mTorr, deposition chamber. The silane gas is decomposed into atomic hydrogen and silicon, which in turn collides preferably not more than 20-30 times before being deposited on the hot substrate. The hydrogenated amorphous silicon films thus produced have only about one atomic percent hydrogen, yet have device quality electrical, chemical, and structural properties, despite this lowered hydrogen content.

  10. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  11. Studies on the high electronic energy deposition in polyaniline thin films

    NASA Astrophysics Data System (ADS)

    Deshpande, N. G.; Gudage, Y. G.; Vyas, J. C.; Singh, F.; Sharma, Ramphal

    2008-05-01

    We report here the physico-chemical changes brought about by high electronic energy deposition of gold ions in HCl doped polyaniline (PANI) thin films. PANI thin films were synthesized by in situ polymerization technique. The as-synthesized PANI thin films of thickness 160 nm were irradiated using Au7+ ion of 100 MeV energy at different fluences, namely, 5 × 1011 ions/cm2 and 5 × 1012 ions/cm2, respectively. A significant change was seen after irradiation in electrical and photo conductivity, which may be related to increased carrier concentration, and structural modifications in the polymer film. In addition, the high electronic energy deposition showed other effects like cross-linking of polymer chains, bond breaking and creation of defect sites. AFM observations revealed mountainous type features in all (before and after irradiation) PANI samples. The average size (diameter) and density of such mountainous clusters were found to be related with the ion fluence. The AFM profiles also showed change in the surface roughness of the films with respect to irradiation, which is one of the peculiarity of the high electronic energy deposition technique.

  12. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  13. HA/Bioglass composite films deposited by pulsed laser with different substrate temperature

    NASA Astrophysics Data System (ADS)

    Wang, D. G.; Chen, C. Z.; Jin, Q. P.; Li, H. C.; Pan, Y. K.

    2014-03-01

    In this experiment, the HA/Bioglass composite films on Ti-6Al-4V were deposited by a pulsed laser at Ar atmosphere, and the influence of substrate temperature on the morphology, phase constitutions, bonding configurations and adhesive strength of the films was studied. The obtained films were characterized by an electron probe microanalyzer (EPMA), scanning electron microscope (SEM), X-ray diffractometer (XRD), Fourier transform infrared spectrometer (FTIR), scratch apparatus, and so on. The results show that the amount of the droplets, the crystallinity, and the critical load of the deposited films all increase with the increase of the substrate temperature; however, the substrate temperature has little influence on the functional groups of the films.

  14. Process for thin film deposition of cadmium sulfide

    DOEpatents

    Muruska, H. Paul; Sansregret, Joseph L.; Young, Archie R.

    1982-01-01

    The present invention teaches a process for depositing layers of cadmium sulfide. The process includes depositing a layer of cadmium oxide by spray pyrolysis of a cadmium salt in an aqueous or organic solvent. The oxide film is then converted into cadmium sulfide by thermal ion exchange of the O.sup.-2 for S.sup.-2 by annealing the oxide layer in gaseous sulfur at elevated temperatures.

  15. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    NASA Astrophysics Data System (ADS)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  16. Thick adherent dielectric films on plastic substrates and method for depositing same

    DOEpatents

    Wickboldt, Paul; Ellingboe, Albert R.; Theiss, Steven D.; Smith, Patrick M.

    2002-01-01

    Thick adherent dielectric films deposited on plastic substrates for use as a thermal barrier layer to protect the plastic substrates from high temperatures which, for example, occur during laser annealing of layers subsequently deposited on the dielectric films. It is desirable that the barrier layer has properties including: a thickness of 1 .mu.m or greater, adheres to a plastic substrate, does not lift-off when cycled in temperature, has few or no cracks and does not crack when subjected to bending, resistant to lift-off when submersed in fluids, electrically insulating and preferably transparent. The thick barrier layer may be composed, for example, of a variety of dielectrics and certain metal oxides, and may be deposited on a variety of plastic substrates by various known deposition techniques. The key to the method of forming the thick barrier layer on the plastic substrate is maintaining the substrate cool during deposition of the barrier layer. Cooling of the substrate maybe accomplished by the use of a cooling chuck on which the plastic substrate is positioned, and by directing cooling gas, such as He, Ar and N.sub.2, between the plastic substrate and the cooling chucks. Thick adherent dielectric films up to about 5 .mu.m have been deposited on plastic substrates which include the above-referenced properties, and which enable the plastic substrates to withstand laser processing temperatures applied to materials deposited on the dielectric films.

  17. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  18. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  19. Optically active Er-Yb doped glass films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Serna, R.; Ballesteros, J. M.; Jiménez de Castro, M.; Solis, J.; Afonso, C. N.

    1998-08-01

    Active rare-earth Er3+-Yb3+ co-doped phosphate glass films are produced in a single step by pulsed laser deposition. The films are multimode waveguides and exhibit the highest refractive index, optical density and 1.54 μm photoluminescence intensity and lifetime when deposited at low oxygen pressure (Pox⩽4×10-5 Torr). The density of the films obtained under these conditions is higher than that of the target material as a consequence of the high kinetic energy of the species generated during ablation. Luminescent emission can be excited by optical pumping the Er3+ ions either directly or through cross-relaxation of the Yb3+. Post-deposition annealing allows us to improve the luminescence performance.

  20. Anharmonic phonon decay in cubic GaN

    NASA Astrophysics Data System (ADS)

    Cuscó, R.; Domènech-Amador, N.; Novikov, S.; Foxon, C. T.; Artús, L.

    2015-08-01

    We present a Raman-scattering study of optical phonons in zinc-blende (cubic) GaN for temperatures ranging from 80 to 750 K. The experiments were performed on high-quality, cubic GaN films grown by molecular-beam epitaxy on GaAs (001) substrates. The observed temperature dependence of the optical phonon frequencies and linewidths is analyzed in the framework of anharmonic decay theory, and possible decay channels are discussed in the light of density-functional-theory calculations. The longitudinal-optical (LO) mode relaxation is found to occur via asymmetric decay into acoustic phonons, with an appreciable contribution of higher-order processes. The transverse-optical mode linewidth shows a weak temperature dependence and its frequency downshift is primarily determined by the lattice thermal expansion. The LO phonon lifetime is derived from the observed Raman linewidth and an excellent agreement with previous theoretical predictions is found.

  1. Non-conventional photocathodes based on Cu thin films deposited on Y substrate by sputtering

    NASA Astrophysics Data System (ADS)

    Perrone, A.; D'Elia, M.; Gontad, F.; Di Giulio, M.; Maruccio, G.; Cola, A.; Stankova, N. E.; Kovacheva, D. G.; Broitman, E.

    2014-07-01

    Copper (Cu) thin films were deposited on yttrium (Y) substrate by sputtering. During the deposition, a small central area of the Y substrate was shielded to avoid the film deposition and was successively used to study its photoemissive properties. This configuration has two advantages: the cathode presents (i) the quantum efficiency and the work function of Y and (ii) high electrical compatibility when inserted into the conventional radio-frequency gun built with Cu bulk. The photocathode was investigated by scanning electron microscopy to determine surface morphology. X-ray diffraction and atomic force microscopy studies were performed to compare the structure and surface properties of the deposited film. The measured electrical resistivity value of the Cu film was similar to that of high purity Cu bulk. Film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Finally, the photoelectron performance in terms of quantum efficiency was obtained in a high vacuum photodiode cell before and after laser cleaning procedures. A comparison with the results obtained with a twin sample prepared by pulsed laser deposition is presented and discussed.

  2. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    PubMed

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  3. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  4. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    PubMed

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  5. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  6. Substrates suitable for deposition of superconducting thin films

    DOEpatents

    Feenstra, Roeland; Boatner, Lynn A.

    1993-01-01

    A superconducting system for the lossless transmission of electrical current comprising a thin film of superconducting material Y.sub.1 Ba.sub.2 Cu.sub.3 O.sub.7-x epitaxially deposited upon a KTaO.sub.3 substrate. The KTaO.sub.3 is an improved substrate over those of the prior art since the it exhibits small lattice constant mismatch and does not chemically react with the superconducting film.

  7. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Astrophysics Data System (ADS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-06-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  8. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Technical Reports Server (NTRS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-01-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  9. Characterization of rhenium nitride films produced by reactive pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soto, G.; Rosas, A.; Farias, M.H.

    2007-06-15

    Rhenium nitride (ReN {sub x}) films were grown on (100)-Si substrates by the reactive pulsed laser deposition (PLD) method using a high purity Re rod in an environment of molecular nitrogen. The resulting films are characterized by several techniques, which include in situ Auger electron spectroscopy, X-ray photoelectron spectroscopy and ex situ X-ray diffraction, scanning electron and atomic force microscopy. Additionally, the four-probe method is used to determine the sheet resistance of deposited layers. Results show that films with N/Re ratios (x) lower than 1.3 are very good conductors. In fact, the resistivity of ReN films for 0.2 < xmore » < 1.3 is of the order of 5% of that of Re films, while at x = 1.3 there is an abrupt increment in resistivity, resulting in dielectric films for 1.3 < x < 1.35. These results differ from the prior understanding that in transition metals, resistivity should increase with nitrogen incorporation.« less

  10. Metal copper films deposited on cenosphere particles by magnetron sputtering method

    NASA Astrophysics Data System (ADS)

    Yu, Xiaozheng; Xu, Zheng; Shen, Zhigang

    2007-05-01

    Metal copper films with thicknesses from several nanometres to several micrometres were deposited on the surface of cenosphere particles by the magnetron sputtering method under different working conditions. An ultrasonic vibrating generator equipped with a conventional magnetron sputtering apparatus was used to prevent the cenosphere substrates from accumulating during film growth. The surface morphology, the chemical composition, the average grain size and the crystallization of cenosphere particles were characterized by field emission scanning electron microscopy (FE-SEM), inductively coupled plasma-atom emission spectrometer, x-ray photoelectron spectroscopy and x-ray diffraction (XRD) analysis, respectively, before and after the plating process. The results indicate that the copper films were successfully deposited on cenosphere particles. It was found from the FE-SEM results that the films were well compacted and highly uniform in thickness. The XRD results show that the copper film coated on cenospheres has a face centred cubic structure and the crystallization of the film sample increases with increasing sputtering power.

  11. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  12. Pulsed Laser Deposited Ferromagnetic Chromium Dioxide thin Films for Applications in Spintronics

    NASA Astrophysics Data System (ADS)

    Dwivedi, S.; Jadhav, J.; Sharma, H.; Biswas, S.

    Stable rutile type tetragonal chromium dioxide (CrO2) thin films have been deposited on lattice-matched layers of TiO2 by KrF excimer laser based pulsed laser deposition (PLD) technique using Cr2O3 target. The TiO2 seed layer was deposited on oxidized Si substrates by the same PLD process followed by annealing at 1100 °C for 4 h. The lattice-matched interfacial layer is required for the stabilization of Cr (IV) phase in CrO2, since CrO2 behaves as a metastable compound under ambient conditions and readily converts into its stable phase of Cr (III) oxide, Cr2O3. Analyses with X-ray diffraction (XRD), Glancing-angle XRD (GIXRD), Raman spectroscopy and grazing-angle Fourier transform infra-red (FTIR) spectroscopy confirm the presence of tetragonal CrO2 phase in the as-deposited films. Microstructure and surface morphology in the films were studied with field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). Electrical and magnetic characterizations of the films were performed at room temperature. Such type of stable half-metallic CrO2 thin films with low field magnetoresistive switching behaviour are in demand for applications as diverse as spin-FETs, magnetic sensors, and magneto-optical devices.

  13. Thermoelectric properties of V2O5 thin films deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Santos, R.; Loureiro, J.; Nogueira, A.; Elangovan, E.; Pinto, J. V.; Veiga, J. P.; Busani, T.; Fortunato, E.; Martins, R.; Ferreira, I.

    2013-10-01

    This work reports the structural, optical, electrical and thermoelectric properties of vanadium pentoxide (V2O5) thin films deposited at room temperature by thermal evaporation on Corning glass substrates. A post-deposition thermal treatment up to 973 K under atmospheric conditions induces the crystallization of the as-deposited amorphous films with an orthorhombic V2O5 phase with grain sizes around 26 nm. As the annealing temperature rises up to 773 K the electrical conductivity increases. The films exhibit thermoelectric properties with a maximum Seebeck coefficient of -218 μV/K and electrical conductivity of 5.5 (Ω m)-1. All the films show NIR-Vis optical transmittance above 60% and optical band gap of 2.8 eV.

  14. Characterization of Diamond-like Carbon (DLC) films deposited by RF ICP PECVD method

    NASA Astrophysics Data System (ADS)

    Oleszkiewicz, Waldemar; Kijaszek, Wojciech; Gryglewicz, Jacek; Zakrzewski, Adrian; Gajewski, Krzysztof; Kopiec, Daniel; Kamyczek, Paulina; Popko, Ewa; Tłaczała, Marek

    2013-07-01

    The work presents the results of a research carried out with Plasmalab Plus 100 system, manufactured by Oxford Instruments Company. The system was configured for deposition of diamond-like carbon films by ICP PECVD method. The deposition processes were carried out in CH4 or CH4/H2 atmosphere and the state of the plasma was investigated by the OES method. The RF plasma was capacitively coupled by 13.56 MHz generator with supporting ICP generator (13.56 Mhz). The deposition processes were conducted in constant value of RF generator's power and resultant value of the DC Bias. The power values of RF generator was set at 70 W and the power values of ICP generator was set at 300 W. In this work we focus on the influence of DLC film's thickness on optical, electrical and structural properties of the deposited DLC films. The quality of deposited DLC layers was examined by the Raman spectroscopy, AFM microscopy and spectroscopic ellipsometry. In the investigated DLC films the calculated sp3 content was ranging from 60 % to 70 %. The films were characterized by the refractive index ranging from 2.03 to 2.1 and extinction coefficient ranging from 0.09 to 0.12.

  15. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    NASA Astrophysics Data System (ADS)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  17. Glancing-angle-deposited magnesium oxide films for high-fluence applications

    DOE PAGES

    Oliver, J. B.; Smith, C.; Spaulding, J.; ...

    2016-06-15

    Here, Birefringent magnesium oxide thin films are formed by glancing angle deposition to perform as quarter-wave plates at a wavelength of 351 nm. These films are being developed to fabricate a large aperture distributed-polarization rotator for use in vacuum, with an ultimate laser-damage–threshold goal of up to 12 J/cm 2 for a 5-ns flat-in-time pulse. The laser-damage threshold, ease of deposition, and optical film properties are evaluated. While the measured large-area laser-damage threshold is limited to ~4 J/cm 2 in vacuum, initial results based on small-spot testing in air (>20 J/cm 2) suggest MgO may be suitable with further processmore » development.« less

  18. Low-Temperature Atomic Layer Deposition of MoS2 Films.

    PubMed

    Jurca, Titel; Moody, Michael J; Henning, Alex; Emery, Jonathan D; Wang, Binghao; Tan, Jeffrey M; Lohr, Tracy L; Lauhon, Lincoln J; Marks, Tobin J

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe 2 ) 4 with H 2 S for the low-temperature synthesis of MoS 2 . This observation motivated an investigation of Mo(NMe 2 ) 4 as a volatile precursor for the atomic layer deposition (ALD) of MoS 2 thin films. Herein we report that Mo(NMe 2 ) 4 enables MoS 2 film growth at record low temperatures-as low as 60 °C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Crystallization Mechanism and Charge Carrier Transport in MAPLE-Deposited Conjugated Polymer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Ban Xuan; Strzalka, Joseph; Jiang, Zhang

    Although spin casting and chemical surface reactions are the most common methods used for fabricating functional polymer films onto substrates, they are limited with regard to producing films of certain morphological characteristics on different wetting and nonwetting substrates. The matrix-assisted pulsed laser evaporation (MAPLE) technique offers advantages with regard to producing films of different morphologies on different types of substrates. Here, we provide a quantitative characterization, using X-ray diffraction and optical methods, to elucidate the additive growth mechanism of MAPLE-deposited poly(3-hexylthiophene) (P3HT) films on substrates that have undergone different surface treatments, enabling them to possess different wettabilities. We show thatmore » MAPLE-deposited films are composed of crystalline phases, wherein the overall P3HT aggregate size and crystallite coherence length increase with deposition time. A complete pole figure constructed from X-ray diffraction measurements reveals that in these MAPLE-deposited films, there exist two distinct crystallite populations: (i) highly oriented crystals that grow from the flat dielectric substrate and (ii) misoriented crystals that preferentially grow on top of the existing polymer layers. The growth of the highly oriented crystals is highly sensitive to the chemistry of the substrate, whereas the effect of substrate chemistry on misoriented crystal growth is weaker. The use of a self-assembled monolayer to treat the substrate greatly enhances the population and crystallite coherence length at the buried interfaces, particularly during the early stage of deposition. Furthermore, the evolution of the in-plane carrier mobilities during the course of deposition is consistent with the development of highly oriented crystals at the buried interface, suggesting that this interface plays a key role toward determining carrier transport in organic thin-film transistors.« less

  20. Crystallization Mechanism and Charge Carrier Transport in MAPLE-Deposited Conjugated Polymer Thin Films

    DOE PAGES

    Dong, Ban Xuan; Strzalka, Joseph; Jiang, Zhang; ...

    2017-11-23

    Although spin casting and chemical surface reactions are the most common methods used for fabricating functional polymer films onto substrates, they are limited with regard to producing films of certain morphological characteristics on different wetting and nonwetting substrates. The matrix-assisted pulsed laser evaporation (MAPLE) technique offers advantages with regard to producing films of different morphologies on different types of substrates. Here, we provide a quantitative characterization, using X-ray diffraction and optical methods, to elucidate the additive growth mechanism of MAPLE-deposited poly(3-hexylthiophene) (P3HT) films on substrates that have undergone different surface treatments, enabling them to possess different wettabilities. We show thatmore » MAPLE-deposited films are composed of crystalline phases, wherein the overall P3HT aggregate size and crystallite coherence length increase with deposition time. A complete pole figure constructed from X-ray diffraction measurements reveals that in these MAPLE-deposited films, there exist two distinct crystallite populations: (i) highly oriented crystals that grow from the flat dielectric substrate and (ii) misoriented crystals that preferentially grow on top of the existing polymer layers. The growth of the highly oriented crystals is highly sensitive to the chemistry of the substrate, whereas the effect of substrate chemistry on misoriented crystal growth is weaker. The use of a self-assembled monolayer to treat the substrate greatly enhances the population and crystallite coherence length at the buried interfaces, particularly during the early stage of deposition. Furthermore, the evolution of the in-plane carrier mobilities during the course of deposition is consistent with the development of highly oriented crystals at the buried interface, suggesting that this interface plays a key role toward determining carrier transport in organic thin-film transistors.« less