Sample records for gan layer grown

  1. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  2. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  3. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  4. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  5. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  6. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  7. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  8. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  9. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  10. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  11. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  12. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  13. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  14. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  15. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  16. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  17. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  18. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  19. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  20. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  1. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  2. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  3. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  4. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  5. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  6. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  7. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  8. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  9. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  10. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  11. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  12. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  13. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liliental-Weber, Zuzanna

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  14. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE PAGES

    Liliental-Weber, Zuzanna

    2014-09-08

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  15. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  16. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  17. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  18. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  19. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  20. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  1. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  2. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  3. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  4. Growth rate independence of Mg doping in GaN grown by plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Turski, Henryk; Muzioł, Grzegorz; Siekacz, Marcin; Wolny, Pawel; Szkudlarek, Krzesimir; Feduniewicz-Żmuda, Anna; Dybko, Krzysztof; Skierbiszewski, Czeslaw

    2018-01-01

    Doping of Ga(Al)N layers by plasma-assisted molecular beam epitaxy in Ga-rich conditions on c-plane bulk GaN substrates was studied. Ga(Al)N samples, doped with Mg or Si, grown using different growth conditions were compared. In contrast to Si doped layers, no change in the Mg concentration was observed for layers grown using different growth rates for a constant Mg flux and constant growth temperature. This effect enables the growth of Ga(Al)N:Mg layers at higher growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Change of Al content from 0% to 17% resulted in more than two times higher Mg concentration.

  5. High Temperature Annealing of MBE-grown Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Contreras, S.; Konczewicz, L.; Peyre, H.; Juillaguet, S.; Khalfioui, M. Al; Matta, S.; Leroux, M.; Damilano, B.; Brault, J.

    2017-06-01

    In this report, are shown the results of high temperature resistivity and Hall Effect studies of Mg-doped GaN epilayers. The samples studied were grown on (0001) (c-plane) sapphire by molecular beam epitaxy and 0.5 μm GaN:Mg layers have been achieved on low temperature buffers of GaN (30 nm) and AlN ( 150 nm). The experiments were carried out in the temperature range from 300 K up to 900 K. Up to about 870 K a typical thermally activated conduction process has been observed with the activation energy value EA = 215 meV. However, for higher temperatures, an annealing effect is observed in all the investigated samples. The increase of the free carrier concentration as a function of time leads to an irreversible decrease of sample resistivity of more than 60%.

  6. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  7. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  8. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  9. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  10. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    NASA Astrophysics Data System (ADS)

    Freitas, Jaime A., Jr.; Feigelson, Boris N.; Anderson, Travis J.

    2013-11-01

    Detailed spectrometry and optical spectroscopy studies carried out on GaN crystals grown in solution detect and identify Mg as the dominant shallow acceptor. Selective etching of crystals with higher Mg levels than that of the donor concentration background indicates that Mg acceptors incorporate preferentially in the N-polar face. Electrical transport measurements verified an efficient incorporation and activation of the Mg acceptors. These results suggest that this growth method has the potential to produce p-type doped epitaxial layers or p-type substrates characterized by high hole concentration and low defect density.

  11. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  12. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  13. Near-ultraviolet micro-Raman study of diamond grown on GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nazari, M., E-mail: m-n79@txstate.edu; Hancock, B. L.; Anderson, J.

    2016-01-18

    Ultraviolet (UV) micro-Raman measurements are reported of diamond grown on GaN using chemical vapor deposition. UV excitation permits simultaneous investigation of the diamond (D) and disordered carbon (DC) comprising the polycrystalline layer. From line scans of a cross-section along the diamond growth direction, the DC component of the diamond layer is found to be highest near the GaN-on-diamond interface and diminish with characteristic length scale of ∼3.5 μm. Transmission electron microscopy (TEM) of the diamond near the interface confirms the presence of DC. Combined micro-Raman and TEM are used to develop an optical method for estimating the DC volume fraction.

  14. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  15. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  16. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  17. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  18. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  19. Separation of effects of InGaN/GaN superlattice on performance of light-emitting diodes using mid-temperature-grown GaN layer

    NASA Astrophysics Data System (ADS)

    Sugimoto, Kohei; Okada, Narihito; Kurai, Satoshi; Yamada, Yoichi; Tadatomo, Kazuyuki

    2018-06-01

    We evaluated the electrical properties of InGaN-based light-emitting diodes (LEDs) with a superlattice (SL) layer or a mid-temperature-grown GaN (MT-GaN) layer just beneath the multiple quantum wells (MQWs). Both the SL layer and the MT-GaN layer were effective in improving the electroluminescence (EL) intensity. However, the SL layer had a more pronounced effect on the EL intensity than did the MT-GaN layer. Based on a comparison with devices with an MT-GaN layer, the overall effects of the SL could be separated into the effect of the V-pits and the structural or compositional effect of the SL. It was observed that the V-pits formed account for 30% of the improvement in the LED performance while the remaining 70% can be attributed to the structural or compositional effect of the SL.

  20. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  1. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  2. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  3. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  4. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  5. Properties of GaN grown on sapphire substrates

    NASA Technical Reports Server (NTRS)

    Crouch, R. K.; Debnam, W. J.; Fripp, A. L.

    1978-01-01

    Epitaxial growth of GaN on sapphire substrates using an open-tube growth furnace has been carried out to study the effects of substrate orientation and transfer gas upon the properties of the layers. It has been found that for the (0001) substrates, surface appearance was virtually independent of carrier gas and of doping levels. For the (1(-1)02) substrates surface faceting was greatly reduced when He was used as a transfer gas as opposed to H2. Faceting was also reduced when the GaN was doped with Zn, and the best surfaces for the (1(-1)02) substrates were obtained in a Zn-doped run using He as the transfer gas. The best sample in terms of electrical properties for the (1(-1)02) substrate had a mobility greater than 400 sq cm/V per sec and a carrier concentration of about 10 to the 17th per cu cm. This sample was undoped and used He as the transfer gas. The best (0001) sample was also grown undoped with He as the transfer gas and had a mobility of 300 sq cm/V per sec and a carrier concentration of 1 x 10 to the 18th per cu cm.

  6. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  7. Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties

    DTIC Science & Technology

    2010-04-01

    observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b

  8. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  9. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  10. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  11. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  12. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  13. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  14. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560 013; Bhat, Thirumaleshwara N.

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics ofmore » a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.« less

  15. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  16. X-ray probe of GaN thin films grown on InGaN compliant substrates

    NASA Astrophysics Data System (ADS)

    Xu, Xiaoqing; Li, Yang; Liu, Jianming; Wei, Hongyuan; Liu, Xianglin; Yang, Shaoyan; Wang, Zhanguo; Wang, Huanhua

    2013-04-01

    GaN thin films grown on InGaN compliant substrates were characterized by several X-ray technologies: X-ray reciprocal space mapping (RSM), grazing incidence X-ray diffraction (GIXRD), and X-ray photoemission spectrum (XPS). Narrow Lorentz broadening and stress free state were observed for GaN grown on InGaN compliant substrate, while mosaic structure and large tensile stress were observed at the presence of residual indium atoms. RSM disclosed the mosaicity, and the GIXRD was conducted to investigate the depth dependences of crystal quality and strain states. XPS depth profile of indium contents indicated that residual indium atoms deteriorated the crystal quality of GaN not only by producing lattice mismatch at the interface of InGaN and GaN but also by diffusing into GaN overlayers. Accordingly, two solutions were proposed to improve the efficiency of self-patterned lateral epitaxial overgrowth method. This research goes a further step in resolving the urgent substrate problem in GaN fabrication.

  17. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  18. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  19. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  20. Optical and structural characteristics of high indium content InGaN/GaN multi-quantum wells with varying GaN cap layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, J.; Zhao, D. G., E-mail: dgzhao@red.semi.ac.cn; Jiang, D. S.

    2015-02-07

    The optical and structural properties of InGaN/GaN multi-quantum wells (MQWs) with different thicknesses of low temperature grown GaN cap layers are investigated. It is found that the MQW emission energy red-shifts and the peak intensity decreases with increasing GaN cap layer thickness, which may be partly caused by increased floating indium atoms accumulated at quantum well (QW) surface. They will result in the increased interface roughness, higher defect density, and even lead to a thermal degradation of QW layers. An extra growth interruption introduced before the growth of GaN cap layer can help with evaporating the floating indium atoms, andmore » therefore is an effective method to improve the optical properties of high indium content InGaN/GaN MQWs.« less

  1. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  2. Characterization of 380nm UV-LEDs grown on free-standing GaN by atmospheric-pressure metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shieh, C. Y.; Li, Z. Y.; Kuo, H. C.; Chang, J. Y.; Chi, G. C.

    2014-03-01

    We reported the defects and optical characterizations of the ultraviolet light-emitting diodes grown on free-standing GaN substrate (FS-GaN) and sapphire. Cross-sectional transmission electron microscopy (TEM) images showed that the total defect densities of grown UV LEDs on FS-GaN and sapphire including edge, screw and mixed type were 3.6×106 cm-2 and 5.5×108 cm-2. When substrate of UV LEDs was changed from sapphire to FS-GaN, it can be clearly found that the crystallography of GaN epilayers was drastically different from that GaN epilayers on sapphire. Besides, the microstructures or indium clustering can be not observed at UV LEDs on FS-GaN from TEM measurement. The internal quantum efficiency of UVLEDs on FS-GaN and sapphire were 34.8 % and 39.4 % respectively, which attributed to indium clustering in multi-layers quantum wells (MQWs) of UV LEDs on sapphire. The relationship between indiumclustering and efficiency droop were investigated by temperature-dependent electroluminescence (TDEL) measurements.

  3. Multiferroic GaN nanofilms grown within Na-4 mica channels

    NASA Astrophysics Data System (ADS)

    Bhattacharya, Santanu; Datta, A.; Chakravorty, D.

    2010-03-01

    Gallium nitride nanofilms grown within nanochannels of Na-4 mica structure, exhibit ferromagnetism even at room temperature due to the presence of gallium vacancies at the surfaces of the nanofilms. These nanofilms also show a ferroelectric behavior at room temperature ascribed to a small distortion in the crystal structure of GaN due to its growth within the Na-4 mica nanochannels. A colossal increase in 338% in dielectric constant was observed for an applied magnetic field of 26 kOe. The magnetoelectric effect is ascribed to magnetostriction of magnetic GaN phase.

  4. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    NASA Astrophysics Data System (ADS)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  5. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  6. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  7. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  9. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  10. Photoelectrochemical etching measurement of defect density in GaN grown by nanoheteroepitaxy

    NASA Astrophysics Data System (ADS)

    Ferdous, M. S.; Sun, X. Y.; Wang, X.; Fairchild, M. N.; Hersee, S. D.

    2006-05-01

    The density of dislocations in n-type GaN was measured by photoelectrochemical etching. A 10× reduction in dislocation density was observed compared to planar GaN grown at the same time. Cross-sectional transmission electron microscopy studies indicate that defect reduction is due to the mutual cancellation of dislocations with equal and opposite Burger's vectors. The nanoheteroepitaxy sample exhibited significantly higher photoluminescence intensity and higher electron mobility than the planar reference sample.

  11. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  12. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  13. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  14. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  15. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  16. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  17. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  18. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  19. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  20. Electrical and structural properties of (Pd/Au) Schottky contact to as grown and rapid thermally annealed GaN grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nirwal, Varun Singh, E-mail: varun.nirwal30@gmail.com; Singh, Joginder; Gautam, Khyati

    2016-05-06

    We studied effect of thermally annealed GaN surface on the electrical and structural properties of (Pd/Au) Schottky contact to Ga-polar GaN grown by molecular beam epitaxy on Si substrate. Current voltage (I-V) measurement was used to study electrical properties while X-ray diffraction (XRD) measurement was used to study structural properties. The Schottky barrier height calculated using I-V characteristics was 0.59 eV for (Pd/Au) Schottky contact on as grown GaN, which increased to 0.73 eV for the Schottky contact fabricated on 700 °C annealed GaN film. The reverse bias leakage current at -1 V was also significantly reduced from 6.42×10{sup −5} Amore » to 7.31×10{sup −7} A after annealing. The value of series resistance (Rs) was extracted from Cheung method and the value of R{sub s} decreased from 373 Ω to 172 Ω after annealing. XRD results revealed the formation of gallide phases at the interface of (Pd/Au) and GaN for annealed sample, which could be the reason for improvement in the electrical properties of Schottky contact after annealing.« less

  1. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  2. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  3. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  4. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  5. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Ikai; Pang, Wen-Yuan; Hsu, Yu-Chi

    2013-06-15

    The characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy on LiAlO{sub 2} substrate was studied by cathodoluminescence and photoluminescence measurements. We demonstrated that the cathodoluminescence from oblique semi-polar surfaces of mushroom-shaped GaN was much brighter than that from top polar surface due to the reduction of polarization field on the oblique semi-polar surfaces. It implies that the oblique semi-polar surface is superior for the light-emitting surface of wurtzite nano-devices.

  7. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  8. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  9. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  10. Investigation on the compensation effect of residual carbon impurities in low temperature grown Mg doped GaN films

    NASA Astrophysics Data System (ADS)

    Yang, J.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Liu, Z. S.; Le, L. C.; Li, X. J.; He, X. G.; Liu, J. P.; Zhang, S. M.; Wang, H.; Zhu, J. J.; Yang, H.

    2014-04-01

    The influence of unintentionally doped carbon impurities on electrical resistivity and yellow luminescence (YL) of low-temperature (LT) grown Mg doped GaN films is investigated. It is found that the resistivity of Mg doped GaN films are closely related to the residual carbon impurity concentration, which may be attributed to the compensation effect of carbon impurities. The carbon impurity may preferentially form deep donor complex CN-ON resulting from its relatively low formation energy. This complex is an effective compensate center for MgGa acceptors as well as inducing YL in photoluminescence spectra. Thus, the low resistivity LT grown p-type GaN films can be obtained only when the residual carbon impurity concentration is sufficiently low, which can explain why LT P-GaN films with lower resistivity were obtained more easily when relatively higher pressure, temperature, or NH3/TMGa flow rate ratio were used in the LT grown Mg doped GaN films reported in earlier reports.

  11. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  12. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  13. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  14. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  15. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  16. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, andmore » a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.« less

  17. Vacancy-type defects in Mg-doped GaN grown by ammonia-based molecular beam epitaxy probed using a monoenergetic positron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uedono, Akira; Malinverni, Marco; Martin, Denis

    Vacancy-type defects in Mg-doped GaN were probed using a monoenergetic positron beam. GaN films with a thickness of 0.5–0.7 μm were grown on GaN/sapphire templates using ammonia-based molecular beam epitaxy and characterized by measuring Doppler broadening spectra. Although no vacancies were detected in samples with a Mg concentration [Mg] below 7 × 10{sup 19 }cm{sup −3}, vacancy-type defects were introduced starting at above [Mg] = 1 × 10{sup 20 }cm{sup −3}. The major defect species was identified as a complex between Ga vacancy (V{sub Ga}) and multiple nitrogen vacancies (V{sub N}s). The introduction of vacancy complexes was found to correlate with a decreasemore » in the net acceptor concentration, suggesting that the defect introduction is closely related to the carrier compensation. We also investigated Mg-doped GaN layers grown using In as the surfactant. The formation of vacancy complexes was suppressed in the subsurface region (≤80 nm). The observed depth distribution of defects was attributed to the thermal instability of the defects, which resulted in the introduction of vacancy complexes during the deposition process.« less

  18. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  19. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  20. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  1. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    PubMed

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  2. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  3. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  4. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  5. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  6. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Meng; Bhattacharya, Pallab; Guo, Wei; Banerjee, Animesh

    2010-03-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 °C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1×1018 cm-3. The corresponding doping efficiency and hole mobility are ˜4.9% and 3.7 cm2/V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λpeak=529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  7. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  8. Structural anisotropic properties of a-plane GaN epilayers grown on r-plane sapphire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lotsari, A.; Kehagias, Th.; Katsikini, M.

    2014-06-07

    Heteroepitaxial non-polar III-Nitride layers may exhibit extensive anisotropy in the surface morphology and the epilayer microstructure along distinct in-plane directions. The structural anisotropy, evidenced by the “M”-shape dependence of the (112{sup ¯}0) x-ray rocking curve widths on the beam azimuth angle, was studied by combining transmission electron microscopy observations, Raman spectroscopy, high resolution x-ray diffraction, and atomic force microscopy in a-plane GaN epilayers grown on r-plane sapphire substrates by plasma-assisted molecular beam epitaxy (PAMBE). The structural anisotropic behavior was attributed quantitatively to the high dislocation densities, particularly the Frank-Shockley partial dislocations that delimit the I{sub 1} intrinsic basal stacking faults,more » and to the concomitant plastic strain relaxation. On the other hand, isotropic samples exhibited lower dislocation densities and a biaxial residual stress state. For PAMBE growth, the anisotropy was correlated to N-rich (or Ga-poor) conditions on the surface during growth, that result in formation of asymmetric a-plane GaN grains elongated along the c-axis. Such conditions enhance the anisotropy of gallium diffusion on the surface and reduce the GaN nucleation rate.« less

  9. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  10. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  11. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  12. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  13. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Effect of Same-Temperature GaN Cap Layer on the InGaN/GaN Multiquantum Well of Green Light-Emitting Diode on Silicon Substrate

    PubMed Central

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow. PMID:24369453

  15. Effect of same-temperature GaN cap layer on the InGaN/GaN multiquantum well of green light-emitting diode on silicon substrate.

    PubMed

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow.

  16. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  17. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  18. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  19. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  20. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  1. Enhanced characteristics of blue InGaN /GaN light-emitting diodes by using selective activation to modulate the lateral current spreading length

    NASA Astrophysics Data System (ADS)

    Lin, Ray-Ming; Lu, Yuan-Chieh; Chou, Yi-Lun; Chen, Guo-Hsing; Lin, Yung-Hsiang; Wu, Meng-Chyi

    2008-06-01

    We have studied the characteristics of blue InGaN /GaN multiquantum-well light-emitting diodes (LEDs) after reducing the length of the lateral current path through the transparent layer through formation of a peripheral high-resistance current-blocking region in the Mg-doped GaN layer. To study the mechanism of selective activation in the Mg-doped GaN layer, we deposited titanium (Ti), gold (Au), Ti /Au, silver, and copper individually onto the Mg-doped GaN layer and investigated their effects on the hole concentration in the p-GaN layer. The Mg-doped GaN layer capped with Ti effectively depressed the hole concentration in the p-GaN layer by over one order of magnitude relative to that of the as-grown layer. This may suggest that high resistive regions are formed by diffusion of Ti and depth of high resistive region from the p-GaN surface depends on the capped Ti film thickness. Selective activation of the Mg-doped GaN layer could be used to modulate the length of the lateral current path. Furthermore, the external quantum efficiency of the LEDs was improved significantly after reducing the lateral current spreading length. In our best result, the external quantum efficiency was 52.3% higher (at 100mA) than that of the as-grown blue LEDs.

  2. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  3. Study on the structural, optical, and electrical properties of the yellow light-emitting diode grown on free-standing (0001) GaN substrate

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, GaN-based yellow light-emitting diodes (LEDs) were homoepitaxially grown on free-standing (0001) GaN substrates by metal-organic chemical vapor deposition. X-ray diffraction (XRD), photoluminescence (PL), and electroluminescence (EL) measurements were conducted to investigate the structural, optical, and electrical properties of the yellow LED. The XRD measurement results showed that the InGaN/GaN multiple quantum wells (MQWs) in the LED structure have good periodicity because the distinct MQWs related higher order satellite peaks can be clearly observed from the profile of 2θ-ω XRD scan. The low temperature (10 K) and room temperature PL measurement results yield an internal quantum efficiency of 16% for the yellow LED. The EL spectra of the yellow LED present well Gaussian distribution with relatively low linewidth (47-55 nm), indicating the homogeneous In-content in the InGaN quantum well layers in the yellow LED structure. It is believed that this work will aid in the future development of GaN on GaN LEDs with long emission wavelength.

  4. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  5. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  6. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN.

    PubMed

    Soh, C B; Liu, W; Yong, A M; Chua, S J; Chow, S Y; Tripathy, S; Tan, R J N

    2010-08-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO(2) film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, η(extraction,) was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  7. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN

    NASA Astrophysics Data System (ADS)

    Soh, C. B.; Liu, W.; Yong, A. M.; Chua, S. J.; Chow, S. Y.; Tripathy, S.; Tan, R. J. N.

    2010-11-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO2 film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, ηextraction, was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  8. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  9. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  10. Suppression of Mg propagation into subsequent layers grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  11. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  12. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  13. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  14. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  15. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  16. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  17. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  18. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  19. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  20. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  1. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  2. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  3. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  4. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods.

    PubMed

    Mohammadi, F; Schmitzer, H; Kunert, G; Hommel, D; Ge, J; Duscher, G; Langbein, W; Wagner, H P

    2017-12-15

    We studied the emission of bare and aluminum quinoline (Alq 3 )/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ∼1.5 μm length and ∼250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq 3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq 3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq 3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  5. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    PubMed

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  6. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  7. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  8. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  9. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  10. Tellurium n-type doping of highly mismatched amorphous GaN 1-xAs x alloys in plasma-assisted molecular beam epitaxy

    DOE PAGES

    Novikov, S. V.; Ting, M.; Yu, K. M.; ...

    2014-10-01

    In this paper we report our study on n-type Te doping of amorphous GaN 1-xAs x layers grown by plasma-assisted molecular beam epitaxy. We have used a low temperature PbTe source as a source of tellurium. Reproducible and uniform tellurium incorporation in amorphous GaN 1-xAs x layers has been successfully achieved with a maximum Te concentration of 9×10²⁰ cm⁻³. Tellurium incorporation resulted in n-doping of GaN 1-xAs x layers with Hall carrier concentrations up to 3×10¹⁹ cm⁻³ and mobilities of ~1 cm²/V s. The optimal growth temperature window for efficient Te doping of the amorphous GaN 1-xAs x layers hasmore » been determined.« less

  11. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  12. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  13. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  14. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    NASA Astrophysics Data System (ADS)

    Bai, J.; Gong, Y.; Xing, K.; Yu, X.; Wang, T.

    2013-03-01

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 μm occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilation and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.

  15. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  16. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  17. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  18. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  19. Catalytic Activation of Mg-Doped GaN by Hydrogen Desorption Using Different Metal Thin Layers

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Wang, Junxi; Liu, Naixin; Lu, Hongxi; Zeng, Yiping; Wang, Guohong; Li, Jinmin

    2010-10-01

    The annealing of Mg-doped GaN with Pt and Mo layers has been found to effectively improve the hole concentration of such material by more than 2 times as high as those in the same material without metal. Compared with the Ni and Mo catalysts, Pt showed good activation effect for hydrogen desorption and ohmic contact to the Ni/Au electrode. Despite the weak hydrogen desorption, Mo did not diffuse into the GaN epilayer in the annealing process, thus suppressing the carrier compensation phenomenon with respect to Ni and Pt depositions, which resulted in the high activation of Mg acceptors. For the GaN activated with the Ni, Pt, and Mo layers, the blue emission became dominant, followed by a clear peak redshift and the degradation of photoluminescence signal when compared with that of GaN without metal.

  20. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  1. Comparison of as-grown and annealed GaN/InGaN : Mg samples

    NASA Astrophysics Data System (ADS)

    Deng, Qingwen; Wang, Xiaoliang; Xiao, Hongling; Wang, Cuimei; Yin, Haibo; Chen, Hong; Lin, Defeng; Jiang, Lijuan; Feng, Chun; Li, Jinmin; Wang, Zhanguo; Hou, Xun

    2011-08-01

    Mg-doped InGaN was grown on unintentionally doped GaN layer, and Mg and defect behaviours in both GaN and InGaN : Mg were investigated through photoluminescence measurement at 7 K. Mg acceptor was found in unintentionally doped GaN after thermal annealing in N2 ambient, and Mg activation energy was estimated to be 200 meV and 110 meV for GaN and InGaN, respectively. Particularly, the ultraviolet band (3.0-3.2 eV) in the GaN layer was infrequently observed in the unannealed sample but quenched in the annealed sample; this band may be associated with oxygen-substituted nitrogen defects. Moreover, the measurement errors of photoluminescence and x-ray diffraction originated from strain were taken into account.

  2. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  3. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  4. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  5. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  6. Optical properties of InGaN grown by MOCVD on sapphire and on bulk GaN

    NASA Astrophysics Data System (ADS)

    Osinski, Marek; Eliseev, Petr G.; Lee, Jinhyun; Smagley, Vladimir A.; Sugahara, Tamoya; Sakai, Shiro

    1999-11-01

    Experimental data on photoluminescence of various bulk and quantum-well epitaxial InGaN/GaN structures grown by MOCVD are interpreted in terms of a band-tail model of inhomogeneously broadened radiative recombination. The anomalous temperature-induced blue spectral is shown to result from band-tail recombination under non-degenerate conditions. Significant differences are observed between epilayers grown on sapphire substrates and on GaN substrates prepared by the sublimination method, with no apparent evidence of band tails in homoepitaxial structures, indicating their higher crystalline quality.

  7. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  8. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  9. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  10. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  11. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  12. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    NASA Astrophysics Data System (ADS)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  13. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  14. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shuo-Wei; Epistar Corporation, Hsinchu 300, Taiwan; Li, Heng

    The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs) with ex-situ sputtered physical vapor deposition (PVD) aluminum nitride (AlN) nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study showsmore » the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.« less

  15. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  16. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  17. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bai, J.; Gong, Y.; Xing, K.

    2013-03-11

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 {mu}m occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilationmore » and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.« less

  18. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  19. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    NASA Astrophysics Data System (ADS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-11-01

    A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  20. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  1. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  2. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  3. Structural defects in bulk GaN

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Z.; dos Reis, R.; Mancuso, M.; Song, C. Y.; Grzegory, I.; Porowski, S.; Bockowski, M.

    2014-10-01

    Transmission Electron Microscopy (TEM) studies of undoped and Mg doped GaN layers grown on the HVPE substrates by High Nitrogen Pressure Solution (HNPS) with the multi-feed-seed (MFS) configuration are shown. The propagation of dislocations from the HVPE substrate to the layer is observed. Due to the interaction between these dislocations in the thick layers much lower density of these defects is observed in the upper part of the HNPS layers. Amorphous Ga precipitates with attached voids pointing toward the growth direction are observed in the undoped layer. This is similar to the presence of Ga precipitates in high-pressure platelets, however the shape of these precipitates is different. The Mg doped layers do not show Ga precipitates, but MgO rectangular precipitates are formed, decorating the dislocations. Results of TEM studies of HVPE layers grown on Ammonothermal substrates are also presented. These layers have superior crystal quality in comparison to the HNPS layers, as far as density of dislocation is concern. Occasionally some small inclusions can be found, but their chemical composition was not yet determined. It is expected that growth of the HNPS layers on these substrate will lead to large layer thickness obtained in a short time and with high crystal perfection needed in devices.

  4. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  5. Laser-induced local activation of Mg-doped GaN with a high lateral resolution for high power vertical devices

    NASA Astrophysics Data System (ADS)

    Kurose, Noriko; Matsumoto, Kota; Yamada, Fumihiko; Roffi, Teuku Muhammad; Kamiya, Itaru; Iwata, Naotaka; Aoyagi, Yoshinobu

    2018-01-01

    A method for laser-induced local p-type activation of an as-grown Mg-doped GaN sample with a high lateral resolution is developed for realizing high power vertical devices for the first time. As-grown Mg-doped GaN is converted to p-type GaN in a confined local area. The transition from an insulating to a p-type area is realized to take place within about 1-2 μm fine resolution. The results show that the technique can be applied in fabricating the devices such as vertical field effect transistors, vertical bipolar transistors and vertical Schottkey diode so on with a current confinement region using a p-type carrier-blocking layer formed by this technique.

  6. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  7. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/V<1). The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1) and metal rich growth regime (III/V≥1), respectively. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  8. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  9. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  10. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  11. Effect of screw threading dislocations and inverse domain boundaries in GaN on the shape of reciprocal-space maps.

    PubMed

    Barchuk, Mykhailo; Motylenko, Mykhaylo; Lukin, Gleb; Pätzold, Olf; Rafaja, David

    2017-04-01

    The microstructure of polar GaN layers, grown by upgraded high-temperature vapour phase epitaxy on [001]-oriented sapphire substrates, was studied by means of high-resolution X-ray diffraction and transmission electron microscopy. Systematic differences between reciprocal-space maps measured by X-ray diffraction and those which were simulated for different densities of threading dislocations revealed that threading dislocations are not the only microstructure defect in these GaN layers. Conventional dark-field transmission electron microscopy and convergent-beam electron diffraction detected vertical inversion domains as an additional microstructure feature. On a series of polar GaN layers with different proportions of threading dislocations and inversion domain boundaries, this contribution illustrates the capability and limitations of coplanar reciprocal-space mapping by X-ray diffraction to distinguish between these microstructure features.

  12. Deep levels in as-grown and electron-irradiated n-type GaN studied by deep level transient spectroscopy and minority carrier transient spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duc, Tran Thien; School of Engineering Physics, Hanoi University of Science and Technology, 1 Dai Co Viet Road, Hanoi; Pozina, Galia

    2016-03-07

    Development of high performance GaN-based devices is strongly dependent on the possibility to control and understand defects in material. Important information about deep level defects is obtained by deep level transient spectroscopy and minority carrier transient spectroscopy on as-grown and electron irradiated n-type bulk GaN with low threading dislocation density produced by halide vapor phase epitaxy. One hole trap labelled H1 (E{sub V} + 0.34 eV) has been detected on as-grown GaN sample. After 2 MeV electron irradiation, the concentration of H1 increases and at fluences higher than 5 × 10{sup 14 }cm{sup −2}, a second hole trap labelled H2 is observed. Simultaneously, the concentration of twomore » electron traps, labelled T1 (E{sub C} – 0.12 eV) and T2 (E{sub C} – 0.23 eV), increases. By studying the increase of the defect concentration versus electron irradiation fluence, the introduction rate of T1 and T2 using 2 MeV- electrons was determined to be 7 × 10{sup −3 }cm{sup −1} and 0.9 cm{sup −1}, respectively. Due to the low introduction rate of T1, it is suggested that the defect is associated with a complex. The high introduction rate of trap H1 and T2 suggests that the defects are associated with primary intrinsic defects or complexes. Some deep levels previously observed in irradiated GaN layers with higher threading dislocation densities are not detected in present investigation. It is therefore suggested that the absent traps may be related to primary defects segregated around dislocations.« less

  13. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  14. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  15. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  16. Method to grow group III-nitrides on copper using passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  17. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  18. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  19. Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate

    PubMed Central

    Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan

    2014-01-01

    GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601

  20. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  1. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  2. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  3. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    PubMed

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  4. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  5. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  6. Correlation between mobility collapse and carbon impurities in Si-doped GaN grown by low pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaess, Felix; Mita, Seiji; Xie, Jingqiao; Reddy, Pramod; Klump, Andrew; Hernandez-Balderrama, Luis H.; Washiyama, Shun; Franke, Alexander; Kirste, Ronny; Hoffmann, Axel; Collazo, Ramón; Sitar, Zlatko

    2016-09-01

    In the low doping range below 1 × 1017 cm-3, carbon was identified as the main defect attributing to the sudden reduction of the electron mobility, the electron mobility collapse, in n-type GaN grown by low pressure metalorganic chemical vapor deposition. Secondary ion mass spectroscopy has been performed in conjunction with C concentration and the thermodynamic Ga supersaturation model. By controlling the ammonia flow rate, the input partial pressure of Ga precursor, and the diluent gas within the Ga supersaturation model, the C concentration in Si-doped GaN was controllable from 6 × 1019 cm-3 to values as low as 2 × 1015 cm-3. It was found that the electron mobility collapsed as a function of free carrier concentration, once the Si concentration closely approached the C concentration. Lowering the C concentration to the order of 1015 cm-3 by optimizing Ga supersaturation achieved controllable free carrier concentrations down to 5 × 1015 cm-3 with a peak electron mobility of 820 cm2/V s without observing the mobility collapse. The highest electron mobility of 1170 cm2/V s was obtained even in metalorganic vapor deposition-grown GaN on sapphire substrates by optimizing growth parameters in terms of Ga supersaturation to reduce the C concentration.

  7. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    NASA Astrophysics Data System (ADS)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  8. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  9. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  10. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  11. Impact of extended defects on optical properties of (1-101)GaN grown on patterned Si

    NASA Astrophysics Data System (ADS)

    Okur, S.; Izyumskaya, N.; Zhang, F.; Avrutin, V.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.; Özgür, Ü.

    2014-03-01

    The optical quality of semipolar (1 101)GaN layers was explored by time- and polarization-resolved photoluminescence spectroscopy. High intensity bandedge emission was observed in +c-wing regions of the stripes as a result of better structural quality, while -c-wing regions were found to be of poorer optical quality due to basal plane and prismatic stacking faults (BSFs and PSFs) in addition to a high density of TDs. The high optical quality region formed on the +cwings was evidenced also from the much slower biexponential PL decays (0.22 ns and 1.70 ns) and an order of magnitude smaller amplitude ratio of the fast decay (nonradiative origin) to the slow decay component (radiative origin) compared to the -c-wing regions. In regard to defect-related emission, decay times for the BSF and PSF emission lines at 25 K (~ 0.80 ns and ~ 3.5 ns, respectively) were independent of the excitation density within the range employed (5 - 420 W/cm2), and much longer than that for the donor bound excitons (0.13 ns at 5 W/cm2 and 0.22 ns at 420 W/cm2). It was also found that the emission from BSFs had lower polarization degree (0.22) than that from donor bound excitons (0.35). The diminution of the polarization degree when photogenerated carriers recombine within the BSFs is another indication of the negative effects of stacking faults on the optical quality of the semipolar (1101)GaN. In addition, spatial distribution of defects in semipolar (1101)-oriented InGaN active region layers grown on stripe patterned Si substrates was investigated using near-field scanning optical microscopy. The optical quality of -c- wing regions was found to be worse compared to +c-wing regions due to the presence of higher density of stacking faults and threading dislocations. The emission from the +c-wings was very bright and relatively uniform across the sample, which is indicative of a homogeneous In distribution.

  12. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  13. Method of growing GaN films with a low density of structural defects using an interlayer

    DOEpatents

    Bourret-Courchesne, Edith D.

    2003-01-01

    A dramatic reduction of the dislocation density in GaN was obtained by insertion of a single thin interlayer grown at an intermediate temperature (IT-IL) after the growth of an initial grown at high temperature. A description of the growth process is presented with characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend near the interlayer and do not propagate into the top layer which grows at higher temperature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the epitaxial lateral overgrowth process, however a notable difference is the absence of coalescence boundaries.

  14. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  15. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  16. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  17. Optical spectroscopy of bulk GaN crystals grown from a Na-Ga melt

    NASA Astrophysics Data System (ADS)

    Skromme, B. J.; Palle, K. C.; Poweleit, C. D.; Yamane, H.; Aoki, M.; DiSalvo, F. J.

    2002-11-01

    Colorless transparent platelet and prismatic GaN crystals up to 3-4 mm, grown from a Na-Ga melt (0.6-0.7 mol fraction of Na) at temperatures of 700-800 °C in a modest (5 MPa) pressure of N2, are characterized using Raman scattering, room and low temperature photoluminescence, and reflectance. They exhibit sharp free and bound exciton luminescence features (down to 0.22 meV full width at half maximum), including multiple excited states. Residual Mg and Zn acceptors and a 33.6 meV donor (possibly ON) are identified. Raman spectra suggest free carrier concentrations down to the low to mid 1016 cm-3 range.

  18. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  19. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  20. Deep traps in n-type GaN epilayers grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamyczek, P.; Placzek-Popko, E.; Zielony, E.

    2014-01-14

    In this study, we present the results of investigations on Schottky Au-GaN diodes by means of conventional DLTS and Laplace DLTS methods within the temperature range of 77 K–350 K. Undoped GaN layers were grown using the plasma-assisted molecular beam epitaxy technique on commercial GaN/sapphire templates. The quality of the epilayers was studied by micro-Raman spectroscopy (μ-RS) which proved the hexagonal phase and good crystallinity of GaN epilayers as well as a slight strain. The photoluminescence spectrum confirmed a high crystal quality by intense excitonic emission but it also exhibited a blue emission band of low intensity. DLTS signal spectra revealed themore » presence of four majority traps: two high-temperature and two low-temperature peaks. Using the Laplace DLTS method and Arrhenius plots, the apparent activation energy and capture cross sections were obtained. For two high-temperature majority traps, they were equal to E{sub 1} = 0.65 eV, σ{sub 1} = 8.2 × 10{sup −16} cm{sup 2} and E{sub 2} = 0.58 eV, σ{sub 2} = 2.6 × 10{sup −15} cm{sup 2} whereas for the two low-temperature majority traps they were equal to E{sub 3} = 0.18 eV, σ{sub 3} = 9.7 × 10{sup −18} cm{sup 2} and E{sub 4} = 0.13 eV, σ{sub 4} = 9.2 × 10{sup −18} cm{sup 2}. The possible origin of the traps is discussed and the results are compared with data reported elsewhere.« less

  1. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M.

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reducedmore » channel thermal conductivity must be considered.« less

  2. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  3. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  4. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  5. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  6. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  7. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  8. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  9. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  10. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  11. Suppression of the self-heating effect in GaN HEMT by few-layer graphene heat spreading elements

    NASA Astrophysics Data System (ADS)

    Volcheck, V. S.; Stempitsky, V. R.

    2017-11-01

    Self-heating has an adverse effect on characteristics of gallium nitride (GaN) high electron mobility transistors (HEMTs). Various solutions to the problem have been proposed, however, a temperature rise due to dissipated electrical power still hinders the production of high power and high speed GaN devices. In this paper, thermal management of GaN HEMT via few-layer graphene (FLG) heat spreading elements is investigated. It is shown that integration of the FLG elements on top of the device structure considerably reduces the maximum temperature and improves the DC and small signal AC performance.

  12. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q.; Takahashi, T.; Matsuhata, H.

    2013-12-02

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayersmore » grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.« less

  13. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shen, X. Q.; Takahashi, T.; Rong, X.; Chen, G.; Wang, X. Q.; Shen, B.; Matsuhata, H.; Ide, T.; Shimizu, M.

    2013-12-01

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayers grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.

  14. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  15. Polarity determination of polar and semipolar (112¯2) InN and GaN layers by valence band photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Skuridina, D.; Dinh, D. V.; Lacroix, B.; Ruterana, P.; Hoffmann, M.; Sitar, Z.; Pristovsek, M.; Kneissl, M.; Vogt, P.

    2013-11-01

    We demonstrate that the polarity of polar (0001), (0001¯) and semipolar (112¯2) InN and GaN thin layers can be determined by valence band X-ray photoemission spectroscopy (XPS). The polarity of the layers has been confirmed by wet etching and convergent beam electron diffraction. Unlike these two techniques, XPS is a non-destructive method and unaffected by surface oxidation or roughness. Different intensities of the valence band states in spectra recorded by using AlKα X-ray radiation are observed for N-polar and group-III-polar layers. The highest intensity of the valence band state at ≈3.5 eV for InN and ≈5.2 eV for GaN correlates with the group-III polarity, while the highest intensity at ≈6.7 eV for InN and ≈9.5 eV for GaN correlates with the N-polarity. The difference between the peaks for the group-III- and N-polar orientations was found to be statistically significant at the 0.05 significance level. The polarity of semipolar (112¯2) InN and GaN layers can be determined by recording valence band photoelectrons emitted along the [000 ± 1] direction.

  16. Identification of point defects in HVPE-grown GaN by steady-state and time-resolved photoluminescence

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Demchenko, D. O.; Usikov, A.; Helava, H.; Makarov, Yu.

    2015-03-01

    We have investigated point defects in GaN grown by HVPE by using steady-state and time-resolved photoluminescence (PL). Among the most common PL bands in this material are the red luminescence band with a maximum at 1.8 eV and a zero-phonon line (ZPL) at 2.36 eV (attributed to an unknown acceptor having an energy level 1.130 eV above the valence band), the blue luminescence band with a maximum at 2.9 eV (attributed to ZnGa), and the ultraviolet luminescence band with the main peak at 3.27 eV (related to an unknown shallow acceptor). In GaN with the highest quality, the dominant defect-related PL band at high excitation intensity is the green luminescence band with a maximum at about 2.4 eV. We attribute this band to transitions of electrons from the conduction band to the 0/+ level of the isolated CN defect. The yellow luminescence (YL) band, related to transitions via the -/0 level of the same defect, has a maximum at 2.1 eV. Another yellow luminescence band, which has similar shape but peaks at about 2.2 eV, is observed in less pure GaN samples and is attributed to the CNON complex. In semi-insulating GaN, the GL2 band with a maximum at 2.35 eV (attributed to VN) and the BL2 band with a maximum at 3.0 eV and the ZPL at 3.33 eV (attributed to a defect complex involving hydrogen) are observed. We also conclude that the gallium vacancy-related defects act as centers of nonradiative recombination.

  17. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  18. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    PubMed

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  19. Vacancy-hydrogen complexes in ammonothermal GaN

    NASA Astrophysics Data System (ADS)

    Tuomisto, F.; Kuittinen, T.; Zając, M.; Doradziński, R.; Wasik, D.

    2014-10-01

    We have applied positron annihilation spectroscopy to study in-grown vacancy defects in bulk GaN crystals grown by the ammonothermal method. We observe a high concentration of Ga vacancy related defects in n-type samples with varying free electron and oxygen content. The positron lifetimes found in these samples suggest that the Ga vacancies are complexed with hydrogen impurities. The number of hydrogen atoms in each vacancy decreases with increasing free electron concentration and oxygen and hydrogen content. The local vibrational modes observed in infrared absorption support this conclusion. Growth of high-quality ammonothermal GaN single crystals with varying electron concentrations. Identification of defect complexes containing a Ga vacancy and 1 or more hydrogen atoms, and possibly O. These vacancy complexes provide a likely explanation for electrical compensation in ammonothermal GaN.

  20. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  1. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    NASA Astrophysics Data System (ADS)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  2. Pure AlN layers in metal-polar AlGaN/AlN/GaN and AlN/GaN heterostructures grown by low-temperature ammonia-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen W.; Mazumder, Baishakhi; Fireman, Micha N.; Kyle, Erin C. H.; Mishra, Umesh K.; Speck, James S.

    2015-05-01

    When grown at a high temperature (820 °C) by ammonia-based molecular beam epitaxy (NH3-MBE), the AlN layers of metal-polar AlGaN/AlN/GaN heterostructures had a high GaN mole fraction (∼0.15), as identified by atom probe tomography in a previous study (Mazumder et al 2013 Appl. Phys. Lett. 102 111603). In the study presented here, growth at low temperature (<740 °C) by NH3-MBE yielded metal-polar AlN layers that were essentially pure at the alloy level. The improved purity of the AlN layers grown at low temperature was correlated to a dramatic increase in the sheet density of the two-dimensional electron gas (2DEG) at the AlN/GaN heterointerface. Through application of an In surfactant, metal-polar AlN(3.5 nm)/GaN and AlGaN/AlN(2.5 nm)/GaN heterostructures grown at low temperature yielded low 2DEG sheet resistances of 177 and 285 Ω/□, respectively.

  3. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  4. Measurement of second order susceptibilities of GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.

    2005-03-01

    Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.

  5. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  6. Mg incorporation in GaN grown by plasma-assisted molecular beam epitaxy at high temperatures

    NASA Astrophysics Data System (ADS)

    Yang, W. C.; Lee, P. Y.; Tseng, H. Y.; Lin, C. W.; Tseng, Y. T.; Cheng, K. Y.

    2016-04-01

    The influence of growth conditions on the incorporation and activation of Mg in GaN grown by plasma-assisted molecular beam epitaxy at high growth temperature (>700 °C) is presented. It is found that the highest Mg incorporation with optimized electrical properties is highly sensitive both to the Mg/Ga flux ratio and III/V flux ratio. A maximum Mg activation of ~5% can be achieved at a growth temperature of 750 °C. The lowest resistivity achieved is 0.56 Ω-cm which is associated with a high hole mobility of 6.42 cm2/V-s and a moderately high hole concentration of 1.7×1018 cm-3. Although the highest hole concentration achieved in a sample grown under a low III/V flux ratio and a high Mg/Ga flux ratio reaches 7.5×1018 cm-3, the mobility is suffered due to the formation of defects by the excess Mg. In addition, we show that modulated beam growth methods do not enhance Mg incorporation at high growth temperature in contrast to those grown at a low temperature of 500 °C (Appl. Phys. Lett. 93, 172112, Namkoong et al., 2008 [19]).

  7. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers

    PubMed Central

    2012-01-01

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm. PMID:23134721

  8. Pyramidal defects in highly Mg-doped GaN: atomic structure and influence on optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Leroux, M.; Vennéguès, P.; Dalmasso, S.; de Mierry, P.; Lorenzini, P.; Damilano, B.; Beaumont, B.; Gibart, P.; Massies, J.

    2004-07-01

    A detailed transmission electron microscopy study is performed on the pyramidal inversion domains that appear in highly Mg-doped GaN grown by metalorganics vapor phase epitaxy or by the high-pressure, high-temperature method. From a comparison between high resolution images of the inversion domain boundaries and simulations using different atomic models, we conclude that both basal and inclined domain boundaries are likely formed of a monomolecular layer of the definite compound Mg{3}N{2}. We show that, due to their high concentration, the formation of these defects may account for auto-compensation in Mg-doped GaN. We also show that the local band bending induced by the polarity inversion due to these defects can be at the origin of the blue luminescence of highly Mg-doped GaN, always observed when nanometric pyramidal inversion domains are also present.

  9. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    NASA Astrophysics Data System (ADS)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  10. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    NASA Astrophysics Data System (ADS)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  11. Properties of Mg and Zn acceptors in MOVPE GaN as studied by optically detected magnetic resonance

    NASA Astrophysics Data System (ADS)

    Kunzer, M.; Baur, J.; Kaufmann, U.; Schneider, J.; Amano, H.; Akasaki, I.

    1997-02-01

    We have studied the photoluminescence (PL) and optically detected magnetic resonance (ODMR) of undoped, n-doped and p-doped thin wurtzite GaN layers grown by metal-organic chemical vapor deposition on sapphire substrates. The ODMR data obtained for undoped. Mg-doped and Zn-doped GaN layers provide an insight into the recombination mechanisms responsible for the broad yellow (2.25 eV), the violet (3.15 eV) and the blue (2.8 eV) PL bands, respectively. The ODMR results for Mg and Zn also show that these acceptors do not behave effective mass like and indicate that the acceptor hole is mainly localized in the nearest neighbor shell surrounding the acceptor core. In addition concentration effects in heavily doped GaN:Mg have been studied.

  12. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  13. Observation of spin-glass behavior in homogeneous (Ga,Mn)N layers grown by reactive molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Dhar, S.; Brandt, O.; Trampert, A.; Friedland, K. J.; Sun, Y. J.; Ploog, K. H.

    2003-04-01

    We present a detailed study of the magnetic properties of (Ga,Mn)N layers grown directly on 4H-SiC substrates by reactive molecular-beam epitaxy. X-ray diffraction and transmission electron microscopy demonstrates that homogeneous (Ga,Mn)N alloys of high crystal quality can be synthesized by this growth method up to a Mn-content of 10 12 %. Using a variety of magnetization experiments (temperature-dependent dc magnetization, isothermal remanent magnetization, frequency and field dependent ac susceptibility), we demonstrate that insulating (Ga,Mn)N alloys represent a Heisenberg spin-glass with a spin-freezing temperature around 4.5 K. We discuss the origins of this spin-glass characteristics in terms of the deep-acceptor nature of Mn in GaN and the resulting insulating character of this compound.

  14. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  15. Optical losses in p-type layers of GaN ridge waveguides in the IR region

    NASA Astrophysics Data System (ADS)

    Westreich, Ohad; Katz, Moti; Atar, Gil; Paltiel, Yossi; Sicron, Noam

    2017-07-01

    Optical losses in c-plane (0001) GaN ridge waveguides, containing Mg-doped layers, were measured at 1064 nm, using the Fabry-Perot method. The losses increase linearly with the modal content of the p-layer, indicating that the absorption in these waveguides is dominated by p-layer absorption. The p-layer absorption is strongly anisotropic with E⊥c losses 4 times higher than E∥c. The absorption is temperature independent between 10 °C and 60 °C, supporting the possibility that it is related to Mg-bound holes.

  16. Fabrication of WS2/GaN p-n Junction by Wafer-Scale WS2 Thin Film Transfer.

    PubMed

    Yu, Yang; Fong, Patrick W K; Wang, Shifeng; Surya, Charles

    2016-11-29

    High quality wafer-scale free-standing WS 2 grown by van der Waals rheotaxy (vdWR) using Ni as a texture promoting layer is reported. The microstructure of vdWR grown WS 2 was significantly modified from mixture of crystallites with their c-axes both parallel to (type I) and perpendicular to (type II) the substrate to large type II crystallites. Wafer-scale transfer of vdWR grown WS 2 onto different substrates by an etching-free technique was demonstrated for the first time that utilized the hydrophobic property of WS 2 and hydrophilic property of sapphire. Our results show that vdWR is a reliable technique to obtain type-II textured crystallites in WS 2 , which is the key factor for the wafer-scale etching-free transfer. The transferred films were found to be free of observable wrinkles, cracks, or polymer residues. High quality p-n junctions fabricated by room-temperature transfer of the p-type WS 2 onto an n-type GaN was demonstrated with a small leakage current density of 29.6 μA/cm 2 at -1 V which shows superior performances compared to the directly grown WS 2 /GaN heterojunctions.

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  19. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  20. Codoping characteristics of Zn with Mg in GaN

    NASA Astrophysics Data System (ADS)

    Kim, K. S.; Han, M. S.; Yang, G. M.; Youn, C. J.; Lee, H. J.; Cho, H. K.; Lee, J. Y.

    2000-08-01

    The doping characteristics of Mg-Zn codoped GaN films grown by metalorganic chemical vapor deposition are investigated. By means of the concept of Mg-Zn codoping technique, we have grown p-GaN showing a low electrical resistivity (0.72 Ω cm) and a high hole concentration (8.5×1017cm-3) without structural degradation of the film. It is thought that the codoping of Zn atoms with Mg raises the Mg activation ratio by reducing the hydrogen solubility in p-GaN. In addition, the measured specific contact resistance of Mg-Zn codoped GaN film is 5.0×10-4 Ω cm2, which is one order of magnitude lower than that of Mg doped only GaN film (1.9×10-3 Ω cm2).

  1. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  2. Transmission electron microscopy study of microstructural properties and dislocation characterization in the GaN film grown on the cone-shaped patterned Al2O3 substrate.

    PubMed

    Park, Jung Sik; Yang, Jun-Mo; Park, Kyung Jin; Park, Yun Chang; Yoo, Jung Ho; Jeong, Chil Seong; Park, Jucheol; He, Yinsheng; Shin, Keesam

    2014-02-01

    Growing a GaN film on a patterned Al2O3 substrate is one of the methods of reducing threading dislocations (TDs), which can significantly deteriorate the performance of GaN-based LEDs. In this study, the microstructural details of the GaN film grown on a cone-shaped patterned Al2O3 substrate were investigated using high-resolution transmission electron microscopy and weak-beam dark-field techniques. Various defects such as misfit dislocations (MDs), recrystallized GaN (R-GaN) islands and nano-voids were observed on the patterned Al2O3 surfaces, i.e. the flat surface (FS), the inclined surface (IS) and the top surface (TS), respectively. Especially, the crystallographic orientation of R-GaN between the GaN film and the inclined Al2O3 substrate was identified as $[\\overline 1 2\\overline 1 0]_{{\\rm GaN}} \\hbox{//}[\\overline 1 101]_{{\\rm R - GaN} \\,{\\rm on}\\,{\\rm IS}} \\hbox{//}[\\overline 1 100]_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $, $(\\overline 1 012)_{{\\rm GaN}} \\hbox{//}(1\\overline 1 02)_{{\\rm R - Ga}\\,{\\rm Non}\\,{\\rm IS}} \\hbox{//}(\\overline {11} 26)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $. In addition, a rotation by 9° between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0002)_{{\\rm GaN}} $ and between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0006)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $ was found to reduce the lattice mismatch between the GaN film and the Al2O3 substrate. Many TDs in the GaN film were observed on the FS and TS of Al2O3. However, few TDs were observed on the IS. Most of the TDs generated from the FS of Al2O3 were bent to the inclined facet rather than propagating to the GaN surface, resulting in a reduction in the dislocation density. Most of the TDs generated from the TS of Al2O3 were characterized as edge dislocations.

  3. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    NASA Astrophysics Data System (ADS)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  4. Understanding luminescence properties of grain boundaries in GaN thin films and their atomistic origin

    NASA Astrophysics Data System (ADS)

    Yoo, Hyobin; Yoon, Sangmoon; Chung, Kunook; Kang, Seoung-Hun; Kwon, Young-Kyun; Yi, Gyu-Chul; Kim, Miyoung

    2018-03-01

    We report our findings on the optical properties of grain boundaries in GaN films grown on graphene layers and discuss their atomistic origin. We combine electron backscatter diffraction with cathodoluminescence to directly correlate the structural defects with their optical properties, enabling the high-precision local luminescence measurement of the grain boundaries in GaN films. To further understand the atomistic origin of the luminescence properties, we carefully probed atomic core structures of the grain boundaries by exploiting aberration-corrected scanning transmission electron microscopy. The atomic core structures of grain boundaries show different ordering behaviors compared with those observed previously in threading dislocations. Energetics of the grain boundary core structures and their correlation with electronic structures were studied by first principles calculation.

  5. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  6. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  7. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  8. Fabrication of WS2/GaN p-n Junction by Wafer-Scale WS2 Thin Film Transfer

    PubMed Central

    Yu, Yang; Fong, Patrick W. K.; Wang, Shifeng; Surya, Charles

    2016-01-01

    High quality wafer-scale free-standing WS2 grown by van der Waals rheotaxy (vdWR) using Ni as a texture promoting layer is reported. The microstructure of vdWR grown WS2 was significantly modified from mixture of crystallites with their c-axes both parallel to (type I) and perpendicular to (type II) the substrate to large type II crystallites. Wafer-scale transfer of vdWR grown WS2 onto different substrates by an etching-free technique was demonstrated for the first time that utilized the hydrophobic property of WS2 and hydrophilic property of sapphire. Our results show that vdWR is a reliable technique to obtain type-II textured crystallites in WS2, which is the key factor for the wafer-scale etching-free transfer. The transferred films were found to be free of observable wrinkles, cracks, or polymer residues. High quality p-n junctions fabricated by room-temperature transfer of the p-type WS2 onto an n-type GaN was demonstrated with a small leakage current density of 29.6 μA/cm2 at −1 V which shows superior performances compared to the directly grown WS2/GaN heterojunctions. PMID:27897210

  9. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  10. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  11. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  12. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  13. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    NASA Astrophysics Data System (ADS)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  14. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  15. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  16. Luminescence from defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Morkoç, H.

    2006-04-01

    We briefly review the luminescence properties of defects in GaN and focus on the most interesting defects. In particular, the blue luminescence band peaking at about 3 eV is assigned to different defects and even different types of transitions in undoped, Zn-, C-, and Mg-doped GaN. Another omnipresent luminescence band, the yellow luminescence band may have different origin in nearly dislocation-free freestanding GaN templates, undoped thin layers, and carbon-doped GaN. The Y4 and Y7 lines are caused by recombination at unidentified point defects captured by threading edge dislocations.

  17. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  18. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  19. Basic ammonothermal GaN growth in molybdenum capsules

    NASA Astrophysics Data System (ADS)

    Pimputkar, S.; Speck, J. S.; Nakamura, S.

    2016-12-01

    Single crystal, bulk gallium nitride (GaN) crystals were grown using the basic ammonothermal method in a high purity growth environment created using a non-hermetically sealed molybdenum (Mo) capsule and compared to growths performed in a similarly designed silver (Ag) capsule and capsule-free René 41 autoclave. Secondary ion mass spectrometry (SIMS) analysis revealed transition metal free (<1×1017 cm-3) GaN crystals. Anomalously low oxygen concentrations ((2-6)×1018 cm-3) were measured in a {0001} seeded crystal boule grown using a Mo capsule, despite higher source material oxygen concentrations ((1-5)×1019 cm-3) suggesting that molybdenum (or molybdenum nitrides) may act to getter oxygen under certain conditions. Total system pressure profiles from growth runs in a Mo capsule system were comparable to those without a capsule, with pressures peaking within 2 days and slowly decaying due to hydrogen diffusional losses. Measured Mo capsule GaN growth rates were comparable to un-optimized growth rates in capsule-free systems and appreciably slower than in Ag-capsule systems. Crystal quality replicated that of the GaN seed crystals for all capsule conditions, with high quality growth occurring on the (0001) Ga-face. Optical absorption and impurity concentration characterization suggests reduced concentrations of hydrogenated gallium vacancies (VGa-Hx).

  20. Enhanced Ferromagnetism in Nanoscale GaN:Mn Wires Grown on GaN Ridges.

    PubMed

    Cheng, Ji; Jiang, Shengxiang; Zhang, Yan; Yang, Zhijian; Wang, Cunda; Yu, Tongjun; Zhang, Guoyi

    2017-05-02

    The problem of weak magnetism has hindered the application of magnetic semiconductors since their invention, and on the other hand, the magnetic mechanism of GaN-based magnetic semiconductors has been the focus of long-standing debate. In this work, nanoscale GaN:Mn wires were grown on the top of GaN ridges by metalorganic chemical vapor deposition (MOCVD), and the superconducting quantum interference device (SQUID) magnetometer shows that its ferromagnetism is greatly enhanced. Secondary ion mass spectrometry (SIMS) and energy dispersive spectroscopy (EDS) reveal an obvious increase of Mn composition in the nanowire part, and transmission electron microscopy (TEM) and EDS mapping results further indicate the correlation between the abundant stacking faults (SFs) and high Mn doping. When further combined with the micro-Raman results, the magnetism in GaN:Mn might be related not only to Mn concentration, but also to some kinds of built-in defects introduced together with the Mn doping or the SFs.

  1. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2¯01).

    PubMed

    Kollmannsberger, Sebastian L; Walenta, Constantin A; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-28

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α-H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga 2 O 3 (2¯01) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  2. The management of stress in MOCVD-grown InGaN/GaN LED multilayer structures on Si(1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Jiang, Quanzhong; Allsopp, Duncan W. E.; Bowen, Chris R.; Wang, Wang N.

    2013-09-01

    The tensile stress in light-emitting diode (LED)-on-Si(1 1 1) multilayer structures must be reduced so that it does not compromise the multiple quantum well emission wavelength uniformity and structural stability. In this paper it is shown for non-optimized LED structures grown on Si(1 1 1) substrates that both emission wavelength uniformity and structural stability can be achieved within the same growth process. In order to gain a deeper understanding of the stress distribution within such a structure, cross-sectional Raman and photo-luminescence spectroscopy techniques were developed. It is observed that for a Si:GaN layer grown on a low-temperature (LT) AlN intermediate layer there is a decrease in compressive stress with increasing Si:GaN layer thickness during MOCVD growth which leads to a high level of tensile stress in the upper part of the layer. This may lead to the development of cracks during cooling to room temperature. Such a phenomenon may be associated with annihilation of defects such as dislocations. Therefore, a reduction of dislocation intensity should take place at the early stage of GaN growth on an AlN or AlGaN layer in order to reduce a build up of tensile stress with thickness. Furthermore, it is also shown that a prolonged three dimensional GaN island growth on a LT AlN interlayer for the reduction of dislocations may result in a reduction in the compressive stress in the resulting GaN layer.

  3. Synthesis and characterizations of nanoscale single crystal GaN grown by ion assisted gas source MBE

    NASA Astrophysics Data System (ADS)

    Cui, Bentao; Cohen, P. I.

    2004-03-01

    Nanoscale patterns could be induced by ion bombardment [1, 2]. In this study, an in-situ real time light scattering technique, combined with Reflection High Energy Electron Diffraction (RHEED), were used to study the surface morphology evolution during the ion beam assisted growth of GaN in a gas source MBE system. Ga was provided by a thermal effusion cell. Ammonia was used as the nitrogen source. A hot-filament Kaufman ion source was used to supply sub-KeV ion beams. Sapphire and MOCVD GaN templates were used as the substrates. A custom-designed Desorption Mass Spectrometer (DMS) was used to calibrate the growth temperature and determine the growth rate. Before growing GaN, the sapphire substrates were pretreated in an ion flux and then annealed for cleaning. The sapphire surface was then nitrided in ammonia at 1100K for about 10 min. After nitridation, a thin GaN buffer layer was prepared by a sequence of adsorption and annealing steps. During the growth, the short-range surface morphology and film quality were monitored in situ by RHEED. In a real-time way, the long-range surface morphology was monitored in-situ by light scattering technique. Photodiode array detector and CCD camera were used to record the reflected light scattering intensity and spectra profile respectively. Periodical patterns, such as ripple, have been observed during ion bombardment on GaN with or without growth. A linear theory (from Bradley and Harper 1988 [3]) has been modified to explain the dependence of ripple wavelength on ion species and ion energy. Partially supported by the National Science Foundation and the Air Force Office of Scientific Research. [1]. J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro, Phys. Rev. Lett. 82, 2330 (1998); J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro, Phys. Rev. Lett. 84, 5800 (2000). [2]. S. Facsko, T. Dekorsy, C. Koerdt, C. Trappe, H. Kurz, A. Vogt et al.. Science 285, 1551 (1999). [3]. R. M. Bradley

  4. Conductivity based on selective etch for GaN devices and applications thereof

    DOEpatents

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  5. Contactless electroreflectance studies of surface potential barrier for N- and Ga-face epilayers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kudrawiec, R.; Janicki, L.; Gladysiewicz, M.

    2013-07-29

    Two series of N- and Ga-face GaN Van Hoof structures were grown by plasma-assisted molecular beam epitaxy to study the surface potential barrier by contactless electroreflectance (CER). A clear CER resonance followed by strong Franz-Keldysh oscillation of period varying with the thickness of undoped GaN layer was observed for these structures. This period was much shorter for N-polar structures that means smaller surface potential barrier in these structures than in Ga-polar structures. From the analysis of built-in electric field it was determined that the Fermi-level is located 0.27 ± 0.05 and 0.60 ± 0.05 eV below the conduction band formore » N- and Ga-face GaN surface, respectively.« less

  6. Strained layer relaxation effect on current crowding and efficiency improvement of GaN based LED

    NASA Astrophysics Data System (ADS)

    Aurongzeb, Deeder

    2012-02-01

    Efficiency droop effect of GaN based LED at high power and high temperature is addressed by several groups based on career delocalization and photon recycling effect(radiative recombination). We extend the previous droop models to optical loss parameters. We correlate stained layer relaxation at high temperature and high current density to carrier delocalization. We propose a third order model and show that Shockley-Hall-Read and Auger recombination effect is not enough to account for the efficiency loss. Several strained layer modification scheme is proposed based on the model.

  7. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    NASA Astrophysics Data System (ADS)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  8. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  9. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  10. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  11. Laser MBE-grown CoFeB epitaxial layers on MgO: Surface morphology, crystal structure, and magnetic properties

    NASA Astrophysics Data System (ADS)

    Kaveev, Andrey K.; Bursian, Viktor E.; Krichevtsov, Boris B.; Mashkov, Konstantin V.; Suturin, Sergey M.; Volkov, Mikhail P.; Tabuchi, Masao; Sokolov, Nikolai S.

    2018-01-01

    Epitaxial layers of CoFeB were grown on MgO by means of laser molecular beam epitaxy using C o40F e40B20 target. The growth was combined with in situ structural characterization by three-dimensional reciprocal space mapping obtained from reflection high energy electron diffraction (RHEED) data. High-temperature single stage growth regime was adopted to fabricate CoFeB layers. As confirmed by the atomic force microscopy, the surface of CoFeB layers consists of closely spaced nanometer sized islands with dimensions dependent on the growth temperature. As shown by RHEED and XRD analysis, the CoFeB layers grown at high-temperature on MgO(001) possess body centered cubic (bcc) crystal structure with the lattice constant a =2.87 Å close to that of the C o75F e25 alloy. It was further shown that following the same high-temperature growth technique the MgO/CoFeB/MgO(001) heterostructures can be fabricated with top and bottom MgO layers of the same crystallographic orientation. The CoFeB layers were also grown on the GaN(0001) substrates using MgO(111) as a buffer layer. In this case, the CoFeB layers crystallize in bcc crystal structure with the (111) axis perpendicular to the substrate surface. The magnetic properties of the CoFeB/MgO (001) heterostructures have been investigated by measuring magnetization curves with a vibrating sample magnetometer as well as by performing magneto-optical Kerr effect (MOKE) and ferromagnetic resonance (FMR) studies. FMR spectra were obtained for the variety of the magnetic field directions and typically consisted of a single relatively narrow resonance line. The magnetization orientations and the resonance conditions were calculated in the framework of a standard magnetic energy minimization procedure involving a single K1 c cubic term for the magnetocrystalline anisotropy. This allows a fairly accurate description of the angular dependences of the resonance fields—both in-plane and out-of-plane. It was shown that CoFeB layers exhibit

  12. Improved light extraction efficiency of GaN-based flip-chip light-emitting diodes with an antireflective interface layer

    NASA Astrophysics Data System (ADS)

    Wu, Dongxue; Ma, Ping; Liu, Boting; Zhang, Shuo; Wang, Junxi; Li, Jinmin

    2016-05-01

    GaN-based flip-chip light-emitting diodes (FC-LEDs) grown on nanopatterned sapphire substrates (NPSS) are fabricated using self-assembled SiO2 nanospheres as masks during inductively coupled plasma etching. By controlling the pattern spacing, epitaxial GaN can be grown from the top or bottom of patterns to obtain two different GaN/substrate interfaces. The optoelectronic characteristics of FC-LED chips with different GaN/sapphire interfaces are studied. The FC-LED with an antireflective interface layer consisting of a NPSS with GaN in the pattern spacings demonstrates better optical properties than the FC-LED with an interface embedded with air voids. Our study indicates that the two types of FC-LEDs grown on NPSS show higher crystal quality and improved electrical and optical characteristics compared with those of FC-LEDs grown on conventional planar sapphire substrates.

  13. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    NASA Astrophysics Data System (ADS)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  14. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration wasmore » 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.« less

  15. Exciton emission of quasi-2D InGaN in GaN matrix grown by molecular beam epitaxy

    PubMed Central

    Ma, Dingyu; Rong, Xin; Zheng, Xiantong; Wang, Weiying; Wang, Ping; Schulz, Tobias; Albrecht, Martin; Metzner, Sebastian; Müller, Mathias; August, Olga; Bertram, Frank; Christen, Jürgen; Jin, Peng; Li, Mo; Zhang, Jian; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Ge, Weikun; Shen, Bo; Wang, Xinqiang

    2017-01-01

    We investigate the emission from confined excitons in the structure of a single-monolayer-thick quasi-two-dimensional (quasi-2D) InxGa1−xN layer inserted in GaN matrix. This quasi-2D InGaN layer was successfully achieved by molecular beam epitaxy (MBE), and an excellent in-plane uniformity in this layer was confirmed by cathodoluminescence mapping study. The carrier dynamics have also been investigated by time-resolved and excitation-power-dependent photoluminescence, proving that the recombination occurs via confined excitons within the ultrathin quasi-2D InGaN layer even at high temperature up to ~220 K due to the enhanced exciton binding energy. This work indicates that such structure affords an interesting opportunity for developing high-performance photonic devices. PMID:28417975

  16. Impurity distribution and microstructure of Ga-doped ZnO films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kvit, A. V.; Yankovich, A. B.; Avrutin, V.; Liu, H.; Izyumskaya, N.; Özgür, Ü.; Morkoç, H.; Voyles, P. M.

    2012-12-01

    We report microstructural characterization of heavily Ga-doped ZnO (GZO) thin films on GaN and sapphire by aberration-corrected scanning transmission electron microscopy. Growth under oxygen-rich and metal-rich growth conditions leads to changes in the GZO polarity and different extended defects. For GZO layers on sapphire, the primary extended defects are voids, inversion domain boundaries, and low-angle grain boundaries. Ga doping of ZnO grown under metal-rich conditions causes a switch from pure oxygen polarity to mixed oxygen and zinc polarity in small domains. Electron energy loss spectroscopy and energy dispersive spectroscopy spectrum imaging show that Ga is homogeneous, but other residual impurities tend to accumulate at the GZO surface and at extended defects. GZO grown on GaN on c-plane sapphire has Zn polarity and no voids. There are misfit dislocations at the interfaces between GZO and an undoped ZnO buffer layer and at the buffer/GaN interface. Low-angle grain boundaries are the only threading microstructural defects. The potential effects of different extended defects and impurity distributions on free carrier scattering are discussed.

  17. Co-adsorption of water and oxygen on GaN: Effects of charge transfer and formation of electron depletion layer.

    PubMed

    Wang, Qi; Puntambekar, Ajinkya; Chakrapani, Vidhya

    2017-09-14

    Species from ambient atmosphere such as water and oxygen are known to affect electronic and optical properties of GaN, but the underlying mechanism is not clearly known. In this work, we show through careful measurement of electrical resistivity and photoluminescence intensity under various adsorbates that the presence of oxygen or water vapor alone is not sufficient to induce electron transfer to these species. Rather, the presence of both water and oxygen is necessary to induce electron transfer from GaN that leads to the formation of an electron depletion region on the surface. Exposure to acidic gases decreases n-type conductivity due to increased electron transfer from GaN, while basic gases increase n-type conductivity and PL intensity due to reduced charge transfer from GaN. These changes in the electrical and optical properties, as explained using a new electrochemical framework based on the phenomenon of surface transfer doping, suggest that gases interact with the semiconductor surface through electrochemical reactions occurring in an adsorbed water layer present on the surface.

  18. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  19. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  20. Contributions from gallium vacancies and carbon-related defects to the ``yellow luminescence'' in GaN

    NASA Astrophysics Data System (ADS)

    Armitage, R.; Hong, William; Yang, Qing; Feick, H.; Gebauer, J.; Weber, E. R.; Hautakangas, S.; Saarinen, K.

    2003-05-01

    Carbon-doped GaN layers grown by molecular-beam epitaxy are studied with photoluminescence and positron annihilation spectroscopy. Semi-insulating layers doped with >1018 cm-3 carbon show a strong luminescence band centered at ˜2.2 eV (yellow luminescence). The absolute intensity of the 2.2 eV band is compared with the gallium vacancy concentration determined by positron annihilation spectroscopy. The results indicate that a high concentration of gallium vacancies is not necessary for yellow luminescence and that there is in fact a causal relationship between carbon and the 2.2 eV band. Markedly different deep-level ionization energies are found for the high-temperature quenching of the 2.2 eV photoluminescence in carbon-doped and reference samples. We propose that while the model of Neugebauer and Van de Walle [Appl. Phys. Lett. 69, 503 (1996)] applies for GaN of low carbon concentration, a different yellow luminescence mechanism is involved when the interstitial carbon concentration is comparable to or exceeds the gallium vacancy concentration.

  1. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2 \\xAF 01 )

    NASA Astrophysics Data System (ADS)

    Kollmannsberger, Sebastian L.; Walenta, Constantin A.; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N.; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-01

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α -H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga2O3(2 ¯ 01 ) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  2. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  3. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown tomore » be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.« less

  4. GaN microcavities: Giant Rabi splitting and optical anisotropy

    NASA Astrophysics Data System (ADS)

    Kavokin, Alexey; Gil, Bernard

    1998-06-01

    Numerical simulation of light reflection from a λ/2 GaN microcavity with Ga0.8Al0.2N/Ga0.5Al0.5N Bragg mirrors grown on the A surface of Al2O3 revealed a Rabi splitting of the order of 50 meV and remarkable optical anisotropy. These effects are originated from the giant exciton oscillator strength in GaN and a pronounced uniaxial strain in the structure.

  5. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  6. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    NASA Astrophysics Data System (ADS)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  7. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  8. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  9. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  10. Single layers and multilayers of GaN and AlN in square-octagon structure: Stability, electronic properties, and functionalization

    NASA Astrophysics Data System (ADS)

    Gürbüz, E.; Cahangirov, S.; Durgun, E.; Ciraci, S.

    2017-11-01

    Further to planar single-layer hexagonal structures, GaN and AlN can also form free-standing, single-layer structures constructed from squares and octagons. We performed an extensive analysis of dynamical and thermal stability of these structures in terms of ab initio finite-temperature molecular dynamics and phonon calculations together with the analysis of Raman and infrared active modes. These single-layer square-octagon structures of GaN and AlN display directional mechanical properties and have wide, indirect fundamental band gaps, which are smaller than their hexagonal counterparts. These density functional theory band gaps, however, increase and become wider upon correction. Under uniaxial and biaxial tensile strain, the fundamental band gaps decrease and can be closed. The electronic and magnetic properties of these single-layer structures can be modified by adsorption of various adatoms, or by creating neutral cation-anion vacancies. The single-layer structures attain magnetic moment by selected adatoms and neutral vacancies. In particular, localized gap states are strongly dependent on the type of vacancy. The energetics, binding, and resulting electronic structure of bilayer, trilayer, and three-dimensional (3D) layered structures constructed by stacking the single layers are affected by vertical chemical bonds between adjacent layers. In addition to van der Waals interaction, these weak vertical bonds induce buckling in planar geometry and enhance their binding, leading to the formation of stable 3D layered structures. In this respect, these multilayers are intermediate between van der Waals solids and wurtzite crystals, offering a wide range of tunability.

  11. Controlled growth of ordered nanopore arrays in GaN.

    PubMed

    Wildeson, Isaac H; Ewoldt, David A; Colby, Robert; Stach, Eric A; Sands, Timothy D

    2011-02-09

    High-quality, ordered nanopores in semiconductors are attractive for numerous biological, electrical, and optical applications. Here, GaN nanorods with continuous pores running axially through their centers were grown by organometallic vapor phase epitaxy. The porous nanorods nucleate on an underlying (0001)-oriented GaN film through openings in a SiN(x) template that are milled by a focused ion beam, allowing direct placement of porous nanorods. Nanopores with diameters ranging from 20-155 nm were synthesized with crystalline sidewalls.

  12. Dopant radial inhomogeneity in Mg-doped GaN nanowires.

    PubMed

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-22

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  13. Dopant radial inhomogeneity in Mg-doped GaN nanowires

    NASA Astrophysics Data System (ADS)

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-01

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  14. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  15. Formation of spherical-shaped GaN and InN quantum dots on curved SiN/Si surface.

    PubMed

    Choi, Ilgyu; Lee, Hyunjoong; Lee, Cheul-Ro; Jeong, Kwang-Un; Kim, Jin Soo

    2018-08-03

    This paper reports the formation of GaN and InN quantum dots (QDs) with symmetric spherical shapes, grown on SiN/Si(111). Spherical QDs are grown by modulating initial growth behavior via gallium and indium droplets functioning as nucleation sites for QDs. Field-emission scanning electron microscope (FE-SEM) images show that GaN and InN QDs are formed on curved SiN/Si(111) instead of on a flat surface similar to balls on a latex mattress. This is considerably different from the structural properties of In(Ga)As QDs grown on GaAs or InP. In addition, considering the shape of the other III-V semiconductor QDs, the QDs in this study are very close to the ideal shape of zero-dimensional nanostructures. Transmission-electron microscope images show the formation of symmetric GaN and InN QDs with a round shape, agreeing well with the FE-SEM results. Compared to other III-V semiconductor QDs, the unique structural properties of Si-based GaN and InN QDs are strongly related to the modulation in the initial nucleation characteristics due to the presence of droplets, the degree of lattice mismatch between GaN or InN and SiN/Si(111), and the melt-back etching phenomenon.

  16. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  17. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  18. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  19. Curvature and bow of bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foronda, Humberto M.; Young, Erin C.; Robertson, Christian A.

    2016-07-21

    We investigate the bow of free standing (0001) oriented hydride vapor phase epitaxy grown GaN substrates and demonstrate that their curvature is consistent with a compressive to tensile stress gradient (bottom to top) present in the substrates. The origin of the stress gradient and the curvature is attributed to the correlated inclination of edge threading dislocation (TD) lines away from the [0001] direction. A model is proposed and a relation is derived for bulk GaN substrate curvature dependence on the inclination angle and the density of TDs. The model is used to analyze the curvature for commercially available GaN substratesmore » as determined by high resolution x-ray diffraction. The results show a close correlation between the experimentally determined parameters and those predicted from theoretical model.« less

  20. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  1. Dielectric properties of highly resistive GaN crystals grown by ammonothermal method at microwave frequencies

    NASA Astrophysics Data System (ADS)

    Krupka, Jerzy; Zajåc, Marcin; Kucharski, Robert; Gryglewski, Daniel

    2016-03-01

    Permittivity, the dielectric loss tangent and conductivity of semi-insulating Gallium Nitride crystals have been measured as functions of frequency from 10 GHz to 50 GHz and temperature from 295 to 560 K employing quasi TE0np mode dielectric resonator technique. Crystals were grown using ammonothermal method. Two kinds of doping were used to obtain high resistivity crystals; one with deep acceptors in form of transition metal ions, and the other with shallow Mg acceptors. The sample compensated with transition metal ions exhibited semi-insulating behavior in the whole temperature range. The sample doped with Mg acceptors remained semi-insulating up to 390 K. At temperatures exceeding 390 K the conductivity term in the total dielectric loss tangent of Mg compensated sample becomes dominant and it increases exponentially with activation energy of 1.14 eV. It has been proved that ammonothermal method with appropriate doping allows growth of high quality, temperature stable semi-insulating GaN crystals.

  2. Effect of 60Co γ-irradiation on the nature of electronic transport in heavily doped n-type GaN based Schottky photodetectors

    NASA Astrophysics Data System (ADS)

    Chatterjee, Abhishek; Khamari, Shailesh K.; Porwal, S.; Kher, S.; Sharma, T. K.

    2018-04-01

    GaN Schottky photodetectors are fabricated on heavily doped n-type GaN epitaxial layers grown by the hydride vapour phase epitaxy technique. The effect of 60Co γ-radiation on the electronic transport in GaN epilayers and Schottky detectors is studied. In contrast to earlier observations, a steady rise in the carrier concentration with increasing irradiation dose is clearly seen. By considering a two layer model, the contribution of interfacial dislocations in carrier transport is isolated from that of the bulk layer for both the pristine and irradiated samples. The bulk carrier concentration is fitted by using the charge balance equation which indicates that no new electrically active defects are generated by γ-radiation even at 500 kGy dose. The irradiation induced rise in the bulk carrier concentration is attributed to the activation of native Si impurities that are already present in an electrically inert form in the pristine sample. Further, the rise in interfacial contribution in the carrier concentration is governed by the enhanced rate of formation of nitrogen vacancies by irradiation, which leads to a larger diffusion of oxygen impurities. A large value of the characteristic tunnelling energy for both the pristine and irradiated Au/Ni/GaN Schottky devices confirms that the dislocation-assisted tunnelling dominates the low temperature current transport even after irradiation. The advantage of higher displacement energy and larger bandgap of GaN as compared to GaAs is evident from the change in leakage current after irradiation. Further, a fast recovery of the photoresponse of GaN photodetectors after irradiation signifies their compatibility to operate in high radiation zones. The results presented here are found to be crucial in understanding the interaction of 60Co γ-irradiation with n+-GaN epilayers.

  3. Structural characterization of bulk GaN crystals grown under high hydrostatic pressure

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Zuzanna; Kisielowski, C.; Ruvimov, S.; Chen, Y.; Washburn, J.; Grzegory, I.; Bockowski, M.; Jun, J.; Porowski, S.

    1996-09-01

    This paper describes TEM characterization of bulk GaN crystals grown at 1500-1800Kin the form of plates from a solution of atomic nitrogen in liquid gallium under high nitrogen pressure (up to 20 kbars). The x-ray rocking curves for these crystals were in the range of 20-30 arc-sec. The plate thickness along the c axis was about 100 times smaller than the nonpolar growth directions. A substantial difference in material quality was observed on the opposite sides of the plates normal to the c direction. On one side the surface was atomically flat, while on the other side the surface was rough, with pyramidal features up to 100 nm high. The polarity of the crystals was determined using convergent-beam electron diffraction. The results showed that, regarding the long bond between Ga and N along the c-axis, Ga atoms were found to be closer to the flat side of the crystal, while N atoms were found to be closer to the rough side. Near the rough side, within 1/10 to 1/4 of the plate thickness, there was a high density of planar defects (stacking faults and dislocation loops decorated by Ga/void precipitates). A model explaining the defect formation is proposed.

  4. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  5. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  6. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  7. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  8. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  9. Strain relaxation of thick (11–22) semipolar InGaN layer for long wavelength nitride-based device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jaehwan; Min, Daehong; Jang, Jongjin

    2014-10-28

    In this study, the properties of thick stress-relaxed (11–22) semipolar InGaN layers were investigated. Owing to the inclination of growth orientation, misfit dislocations (MDs) occurred at the heterointerface when the strain state of the (11–22) semipolar InGaN layers reached the critical point. We found that unlike InGaN layers based on polar and nonpolar growth orientations, the surface morphologies of the stress-relaxed (11–22) semipolar InGaN layers did not differ from each other and were similar to the morphology of the underlying GaN layer. In addition, misfit strain across the whole InGaN layer was gradually relaxed by MD formation at the heterointerface.more » To minimize the effect of surface roughness and defects in GaN layers on the InGaN layer, we conducted further investigation on a thick (11–22) semipolar InGaN layer grown on an epitaxial lateral overgrown GaN template. We found that the lateral indium composition across the whole stress-relaxed InGaN layer was almost uniform. Therefore, thick stress-relaxed (11–22) semipolar InGaN layers are suitable candidates for use as underlying layers in long-wavelength devices, as they can be used to control strain accumulation in the heterostructure active region without additional influence of surface roughness.« less

  10. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I.

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffersmore » also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.« less

  11. Sensitivity of Fermi level position at Ga-polar, N-polar, and nonpolar m-plane GaN surfaces to vacuum and air ambient

    NASA Astrophysics Data System (ADS)

    Janicki, Łukasz; Ramírez-López, Manolo; Misiewicz, Jan; Cywiński, Grzegorz; Boćkowski, Michał; Muzioł, Grzegorz; Chèze, Caroline; Sawicka, Marta; Skierbiszewski, Czesław; Kudrawiec, Robert

    2016-05-01

    Ga-polar, N-polar, and nonpolar m-plane GaN UN+ structures have been examined in air and vacuum ambient by contactless electroreflectance (CER). This technique is very sensitive to the surface electric field that varies with the Fermi level position at the surface. For UN+ GaN structures [i.e., GaN (undoped)/GaN (n-type)/substrate], a homogeneous built-in electric field is expected in the undoped GaN layer that is manifested by Franz-Keldysh oscillation (FKO) in CER spectra. A clear change in FKO has been observed in CER spectra for N-polar and nonpolar m-plane structures when changing from air to vacuum ambient. This means that those surfaces are very sensitive to ambient atmosphere. In contrast to that, only a small change in FKO can be seen in the Ga-polar structure. This clearly shows that the ambient sensitivity of the Fermi level position at the GaN surface varies with the crystallographic orientation and is very high for N-polar and nonpolar m-plane surfaces. This feature of the N-polar and nonpolar m-plane surfaces can be very important for GaN-based devices grown on these crystallographic orientations and can be utilized in some of the devices, e.g., sensors.

  12. Thermal quenching of the yellow luminescence in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Albarakati, N. M.; Monavarian, M.; Avrutin, V.; Morkoç, H.

    2018-04-01

    We observed varying thermal quenching behavior of the yellow luminescence band near 2.2 eV in different GaN samples. In spite of the different behavior, the yellow band in all the samples is caused by the same defect—the YL1 center. In conductive n-type GaN, the YL1 band quenches with exponential law, and the Arrhenius plot reveals an ionization energy of ˜0.9 eV for the YL1 center. In semi-insulating GaN, an abrupt and tunable quenching of the YL1 band is observed, where the apparent activation energy in the Arrhenius plot is not related to the ionization energy of the defect. In this case, the ionization energy can be found by analyzing the shift of the characteristic temperature of PL quenching with excitation intensity. We conclude that only one defect, namely, the YL1 center, is responsible for the yellow band in undoped and doped GaN samples grown by different techniques.

  13. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  14. AlGaN materials for semiconductor sensors and emitters in 200- to 365-nm range

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander S.; Shapvalova, Elizaveta V.; Melnik, Yuri V.; Ivantsov, Vladimir A.; Dmitriev, Vladimir A.; Collins, Charles J.; Sampath, Anand V.; Garrett, Gregory A.; Shen, Paul H.; Wraback, Michael

    2004-12-01

    In this paper we report on the fabrication and characterization of GaN, AlGaN, and AlN layers grown by hydride vapor phase epitaxy (HVPE). The layers were grown on 2-inch and 4-inch sapphire and 2-inch silicon carbide substrates. Thickness of the GaN layers was varied from 2 to 80 microns. Surface roughness, Rms, for the smoothest GaN layers was less than 0.5 nm, as measured by AFM using 10 μm x 10 μm scans. Background Nd-Na concentration for undoped GaN layers was less than 1x1016 cm-3. For n-type GaN layers doped with Si, concentration Nd-Na was controlled from 1016 to 1019 cm-3. P-type GaN layers were fabricated using Mg doping with concentration Na-Nd ranging from 4x1016 to 3x1018 cm-3, for various samples. Zn doping also resulted in p-type GaN formation with concnetration ND-NA in the 1017 cm-3 range. UV transmission, photoluminescence, and crystal structure of AlGaN layers with AlN concentration up to 85 mole.% were studied. Dependence of optical band gap on AlGaN alloy composition was measured for the whole composition range. Thick (up to 75 microns) crack-free AlN layers were grown on SiC substrates. Etch pit density for such thick AlN layers was in the 107 cm-2 range.

  15. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    PubMed

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  16. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Determination of the electron-capture coefficients and the concentration of free electrons in GaN from time-resolved photoluminescence

    PubMed Central

    Reshchikov, M. A.; McNamara, J. D.; Toporkov, M.; Avrutin, V.; Morkoç, H.; Usikov, A.; Helava, H.; Makarov, Yu.

    2016-01-01

    Point defects in high-purity GaN layers grown by hydride vapor phase epitaxy are studied by steady-state and time-resolved photoluminescence (PL). The electron-capture coefficients for defects responsible for the dominant defect-related PL bands in this material are found. The capture coefficients for all the defects, except for the green luminescence (GL1) band, are independent of temperature. The electron-capture coefficient for the GL1 band significantly changes with temperature because the GL1 band is caused by an internal transition in the related defect, involving an excited state acting as a giant trap for electrons. By using the determined electron-capture coefficients, the concentration of free electrons can be found at different temperatures by a contactless method. A new classification system is suggested for defect-related PL bands in undoped GaN. PMID:27901025

  18. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  19. Size effects in the thermal conductivity of gallium oxide (β-Ga{sub 2}O{sub 3}) films grown via open-atmosphere annealing of gallium nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szwejkowski, Chester J.; Giri, Ashutosh; Donovan, Brian F.

    2015-02-28

    Gallium nitride (GaN) is a widely used semiconductor for high frequency and high power devices due to of its unique electrical properties: a wide band gap, high breakdown field, and high electron mobility. However, thermal management has become a limiting factor regarding efficiency, lifetime, and advancement of GaN devices and GaN-based applications. In this work, we study the thermal conductivity of beta-phase gallium oxide (β-Ga{sub 2}O{sub 3}) thin films, a component of typical gate oxides used in such devices. We use time domain thermoreflectance to measure the thermal conductivity of a variety of polycrystalline β-Ga{sub 2}O{sub 3} films of differentmore » thicknesses grown via open atmosphere annealing of the surfaces of GaN films on sapphire substrates. We show that the measured effective thermal conductivity of these β-Ga{sub 2}O{sub 3} films can span 1.5 orders of magnitude, increasing with an increased film thickness, which is indicative of the relatively large intrinsic thermal conductivity of the β-Ga{sub 2}O{sub 3} grown via this technique (8.8 ± 3.4 W m{sup −1} K{sup −1}) and large mean free paths compared to typical gate dielectrics commonly used in GaN device contacts. By conducting time domain thermoreflectance (TDTR) measurements with different metal transducers (Al, Au, and Au with a Ti wetting layer), we attribute this variation in effective thermal conductivity to a combination of size effects in the β-Ga{sub 2}O{sub 3} film resulting from phonon scattering at the β-Ga{sub 2}O{sub 3}/GaN interface and thermal transport across the β-Ga{sub 2}O{sub 3}/GaN interface. The measured thermal properties of open atmosphere-grown β-Ga{sub 2}O{sub 3} and its interface with GaN set the stage for thermal engineering of gate contacts in high frequency GaN-based devices.« less

  20. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  1. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  2. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  3. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  4. Uniformity of dc and rf performance of MBE-grown AlGaN/GaN HEMTS on HVPE-grown buffers

    NASA Astrophysics Data System (ADS)

    Gillespie, J. K.; Fitch, R. C.; Moser, N.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Dabiran, A. M.; Chow, P. P.; Osinsky, A.; Mastro, M. A.; Tsvetkov, D.; Soukhoveev, V.; Usikov, A.; Dmitriev, V.; Luo, B.; Pearton, S. J.; Ren, F.

    2003-10-01

    AlGaN/GaN high electron mobility transistors (HEMTs) were grown by molecular beam epitaxy (MBE) on 2 in. diameter GaN buffer layers grown by hydride vapor epitaxy (HVPE) on sapphire substrates. HEMTs with 1 μm gate length displayed excellent dc and rf performance uniformity with up to 258 separate devices measured for each parameter. The drain-source saturation current was 561 mA with a standard deviation of 1.9% over the 2 in. diameter, with a corresponding transconductance of 118 ± 3.9 mS/mm. The threshold voltage was -5.3 ± 0.07 V. The rf performance uniformity was equally good, with an fT of 8.6 ± 0.8 GHz and fmax of 12.8 ± 2.5 GHz. The results show the excellent uniformity of the MBE technique for producing AlGaN/GaN HEMTs and also the ability of HVPE to provide high quality buffers at low cost.

  5. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    NASA Astrophysics Data System (ADS)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  6. High breakdown single-crystal GaN p-n diodes by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qi, Meng; Zhao, Yuning; Yan, Xiaodong

    2015-12-07

    Molecular beam epitaxy grown GaN p-n vertical diodes are demonstrated on single-crystal GaN substrates. A low leakage current <3 nA/cm{sup 2} is obtained with reverse bias voltage up to −20 V. With a 400 nm thick n-drift region, an on-resistance of 0.23 mΩ cm{sup 2} is achieved, with a breakdown voltage corresponding to a peak electric field of ∼3.1 MV/cm in GaN. Single-crystal GaN substrates with very low dislocation densities enable the low leakage current and the high breakdown field in the diodes, showing significant potential for MBE growth to attain near-intrinsic performance when the density of dislocations is low.

  7. Study on photoemission surface of varied doping GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Du, Ruijuan; Ding, Huan; Gao, Youtang; Chang, Benkang

    2014-09-01

    For varied doping GaN photocathode, from bulk to surface the doping concentrations are distributed from high to low. The varied doping GaN photocathode may produce directional inside electric field within the material, so the higher quantum efficiency can be obtained. The photoemission surface of varied doping GaN photocathode is very important to the high quantum efficiency, but the forming process of the surface state after Cs activation or Cs/O activation has been not known completely. Encircling the photoemission mechanism of varied GaN photocathode, considering the experiment phenomena during the activation and the successful activation results, the varied GaN photocathode surface model [GaN(Mg):Cs]:O-Cs after activation with cesium and oxygen was given. According to GaN photocathode activation process and the change of electronic affinity, the comparatively ideal NEA property can be achieved by Cs or Cs/O activation, and higher quantum efficiency can be obtained. The results show: The effective NEA characteristic of GaN can be gotten only by Cs. [GaN(Mg):Cs] dipoles form the first dipole layer, the positive end is toward the vacuum side. In the activation processing with Cs/O, the second dipole layer is formed by O-Cs dipoles, A O-Cs dipole includes one oxygen atom and two Cs atoms, and the positive end is also toward the vacuum side thus the escape of electrons can be promoted.

  8. Investigation of layered structure SAW devices fabricated using low temperature grown AlN thin film on GaN/sapphire.

    PubMed

    Lin, Hui-Feng; Wu, Chun-Te; Chien, Wei-Cheng; Chen, Sheng-Wen; Kao, Hui-Ling; Chyi, Jen-Inn; Chen, Jyh-Shin

    2005-05-01

    Epitaxial AlN films have been grown on GaN/sapphire using helicon sputtering at 300 degrees C. The surface acoustic wave (SAW) filters fabricated on AlN/GaN/sapphire exhibit more superior characteristics than those made on GaN/sapphire. This composite structure of AlN on GaN may bring about the development of high-frequency components, which integrate and use their semiconducting, optoelectronic, and piezoelectric properties.

  9. Ternary AlGaN Alloys with High Al Content and Enhanced Compositional Homogeneity Grown by Plasma-Assisted Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Fellmann, Vincent; Jaffrennou, Périne; Sam-Giao, Diane; Gayral, Bruno; Lorenz, Katharina; Alves, Eduardo; Daudin, Bruno

    2011-03-01

    We have studied the influence of III/N flux ratio and growth temperature on structural and optical properties of high Al-content, around 50-60%, AlGaN alloy layers grown by plasma-assisted molecular beam epitaxy. In a first part, based on structural analysis by Rutherford Backscattering Spectroscopy, we establish that a III/N flux ratio slightly above 1 produces layers with low amount of structural defects. In a second part, we study the effect of growth temperature on structural and optical properties of layers grown with previously determined optimal III/N flux ratio. We find that optimal growth temperatures for Al0.50Ga0.50N layers with compositional homogeneity related with narrow UV photoluminescence properties are in the low temperature range for growing GaN layers, i.e., 650-680 °C. We propose that lowering Ga adatom diffusion on the surface favors random incorporation of both Ga and Al adatoms on wurtzite crystallographic sites leading to the formation of an homogeneous alloy.

  10. Influence of the AlN nucleation layer on the properties of AlGaN/GaN heterostructure on Si (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu

    2018-07-01

    AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.

  11. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  12. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  13. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  14. Size dictated thermal conductivity of GaN

    NASA Astrophysics Data System (ADS)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  15. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  16. Defect-related photoluminescence in Mg-doped GaN nanostructures

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Shahedipour-Sandvik, F.; Messer, B. J.; Jindal, V.; Tripathi, N.; Tungare, M.

    2009-12-01

    Thin film of GaN:Mg, pyramidal GaN:Mg on GaN, sapphire and AlN substrates were grown in a MOCVD system under same growth conditions and at the same time. In samples with Mg-doped GaN pyramids on GaN:Si template a strong ultraviolet (UVL) band with few phonon replicas dominated at low temperature and was attributed to transitions from shallow donors to shallow Mg acceptor. In samples grown on sapphire and AlN substrates the UVL band appeared as a structureless band with the maximum at about 3.25 eV. There is a possibility that the structureless UVL band and the UVL band with phonon structure have different origin. In addition to the UVL band, the blue luminescence (BL) band peaking at 2.9 eV was observed in samples representing GaN:Mg pyramids on GaN:Si substrate. It is preliminary attributed to transitions from shallow donors to Zn acceptor in GaN:Si substrate.

  17. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi

    2015-08-15

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originatedmore » from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices.« less

  18. Inverted Al0.25Ga0.75N/GaN ultraviolet p-i-n photodiodes formed on p-GaN template layer grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Chang, Kuo-Hua; Sheu, Jinn-Kong; Lee, Ming-Lun; Tu, Shang-Ju; Yang, Chih-Ciao; Kuo, Huan-Shao; Yang, J. H.; Lai, Wei-Chih

    2010-07-01

    Inverted Al0.25Ga0.75N/GaN ultraviolet (UV) p-i-n photodiodes (PDs) were grown by selective-area regrowth on p-GaN template. The inverted devices with low-resistivity n-type AlGaN top-contact layers exhibited a typical zero-bias peak responsivity of 66.7 mA/W at 310 nm corresponding to the external quantum efficiency of 26.6%. The typical UV-to-visible (310/400 nm) spectral rejection ratio at zero-bias was over three orders of magnitude. The differential resistance and detectivity were obtained at approximately 6.2×1012 Ω and 3.4×1013 cm Hz1/2 W-1, respectively. Compared with conventional AlGaN/GaN-based UV p-i-n PDs, the proposed device structure can potentially achieve solar-blind AlGaN/GaN-based p-i-n PDs with low-aluminum content or aluminum-free p-contact layer and reduce excessive tensile strain due to the lattice mismatch between AlGaN and GaN layers.

  19. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  20. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  1. High active nitrogen flux growth of GaN by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McSkimming, Brian M., E-mail: mcskimming@engineering.ucsb.edu; Speck, James S.; Chaix, Catherine

    2015-09-15

    In the present study, the authors report on a modified Riber radio frequency (RF) nitrogen plasma source that provides active nitrogen fluxes more than 30 times higher than those commonly used for plasma assisted molecular beam epitaxy (PAMBE) growth of gallium nitride (GaN) and thus a significantly higher growth rate than has been previously reported. GaN films were grown using N{sub 2} gas flow rates between 5 and 25 sccm while varying the plasma source's RF forward power from 200 to 600 W. The highest growth rate, and therefore the highest active nitrogen flux, achieved was ∼7.6 μm/h. For optimized growth conditions,more » the surfaces displayed a clear step-terrace structure with an average RMS roughness (3 × 3 μm) on the order of 1 nm. Secondary ion mass spectroscopy impurity analysis demonstrates oxygen and hydrogen incorporation of 1 × 10{sup 16} and ∼5 × 10{sup 17}, respectively. In addition, the authors have achieved PAMBE growth of GaN at a substrate temperature more than 150 °C greater than our standard Ga rich GaN growth regime and ∼100 °C greater than any previously reported PAMBE growth of GaN. This growth temperature corresponds to GaN decomposition in vacuum of more than 20 nm/min; a regime previously unattainable with conventional nitrogen plasma sources. Arrhenius analysis of the decomposition rate shows that samples with a flux ratio below stoichiometry have an activation energy greater than decomposition of GaN in vacuum while samples grown at or above stoichiometry have decreased activation energy. The activation energy of decomposition for GaN in vacuum was previously determined to be ∼3.1 eV. For a Ga/N flux ratio of ∼1.5, this activation energy was found to be ∼2.8 eV, while for a Ga/N flux ratio of ∼0.5, it was found to be ∼7.9 eV.« less

  2. Effect of AlInGaN barrier layers with various TMGa flows on optoelectronic characteristics of near UV light-emitting diodes grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fu, Yi-Keng; Lu, Yu-Hsuan; Jiang, Ren-Hao; Chen, Bo-Chun; Fang, Yen-Hsiang; Xuan, Rong; Su, Yan-Kuin; Lin, Chia-Feng; Chen, Jebb-Fang

    2011-08-01

    Near ultraviolet light-emitting diodes (LEDs) with quaternary AlInGaN quantum barriers (QBs) are grown by atmospheric pressure metalorganic vapor phase epitaxy. The indium mole fraction of AlInGaN QB could be enhanced as we increased the TMG flow rate. Both the wavelength shift in EL spectra and forward voltage at 20 mA current injection were reduced by using AlInGaN QB. Under 100 mA current injection, the LED output power with Al 0.089In 0.035Ga 0.876N QB can be enhanced by 15.9%, compared to LED with GaN QB. It should be attributed to a reduction of lattice mismatch induced polarization mismatch in the active layer.

  3. Size dictated thermal conductivity of GaN

    DOE PAGES

    Thomas Edwin Beechem; McDonald, Anthony E.; Fuller, Elliot James; ...

    2016-04-01

    The thermal conductivity on n- and p-type doped gallium nitride (GaN) epilayers having thickness of 3-4 μm was investigated using time domain thermoreflectance (TDTR). Despite possessing carrier concentrations ranging across 3 decades (10 15 – 10 18 cm –3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends–and their overall reduction relative to bulk–are explained leveraging established scattering models where it is shown that size effects play a primary role in limiting thermal conductivity for layers even tens ofmore » microns thick. GaN device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.« less

  4. New CVD-based method for the growth of high-quality crystalline zinc oxide layers

    NASA Astrophysics Data System (ADS)

    Huber, Florian; Madel, Manfred; Reiser, Anton; Bauer, Sebastian; Thonke, Klaus

    2016-07-01

    High-quality zinc oxide (ZnO) layers were grown using a new chemical vapour deposition (CVD)-based low-cost growth method. The process is characterized by total simplicity, high growth rates, and cheap, less hazardous precursors. To produce elementary zinc vapour, methane (CH4) is used to reduce a ZnO powder. By re-oxidizing the zinc with pure oxygen, highly crystalline ZnO layers were grown on gallium nitride (GaN) layers and on sapphire substrates with an aluminum nitride (AlN) nucleation layer. Using simple CH4 as precursor has the big advantage of good controllability and the avoidance of highly toxic gases like nitrogen oxides. In photoluminescence (PL) measurements the samples show a strong near-band-edge emission and a sharp line width at 5 K. The good crystal quality has been confirmed in high resolution X-ray diffraction (HRXRD) measurements. This new growth method has great potential for industrial large-scale production of high-quality single crystal ZnO layers.

  5. Elimination of surface band bending on N-polar InN with thin GaN capping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuzmík, J., E-mail: Jan.Kuzmik@savba.sk; Haščík, Š.; Kučera, M.

    2015-11-09

    0.5–1 μm thick InN (0001) films grown by molecular-beam epitaxy with N- or In-polarity are investigated for the presence of native oxide, surface energy band bending, and effects introduced by 2 to 4 monolayers of GaN capping. Ex situ angle-resolved x-ray photo-electron spectroscopy is used to construct near-surface (GaN)/InN energy profiles, which is combined with deconvolution of In3d signal to trace the presence of InN native oxide for different types of polarity and capping. Downwards surface energy band bending was observed on bare samples with native oxide, regardless of the polarity. It was found that the In-polar InN surface is mostmore » readily oxidized, however, with only slightly less band bending if compared with the N-polar sample. On the other hand, InN surface oxidation was effectively mitigated by GaN capping. Still, as confirmed by ultra-violet photo-electron spectroscopy and by energy band diagram calculations, thin GaN cap layer may provide negative piezoelectric polarization charge at the GaN/InN hetero-interface of the N-polar sample, in addition to the passivation effect. These effects raised the band diagram up by about 0.65 eV, reaching a flat-band profile.« less

  6. Zero-internal fields in nonpolar InGaN/GaN multi-quantum wells grown by the multi-buffer layer technique.

    PubMed

    Song, Hooyoung; Kim, Jin Soak; Kim, Eun Kyu; Seo, Yong Gon; Hwang, Sung-Min

    2010-04-02

    The potential of nonpolar a-plane InGaN/GaN multi-quantum wells (MQWs), which are free from a strong piezoelectric field, was demonstrated. An a-GaN template grown on an r-plane sapphire substrate by the multi-buffer layer technique showed high structural quality with an omega full width at half maximum value along the c-axis of 418 arcsec obtained from high-resolution x-ray diffraction analysis. From barrier analysis by deep level transient spectroscopy, it appeared that a-plane InGaN/GaN MQWs can solve the efficiency droop problem as they have a lower electron capture barrier than the c-plane sample. The peak shift of the temperature-dependent photoluminescence signal for the nonpolar InGaN/GaN MQWs was well fitted by Varshni's empirical equation with zero-internal fields. A high photoluminescence efficiency of 0.27 from this sample also showed that nonpolar MQWs can be the key factor to solve the efficiency limitation in conventional c-plane GaN based light emitting diodes.

  7. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  8. Vertical GaN power diodes with a bilayer edge termination

    DOE PAGES

    Dickerson, Jeramy R.; Allerman, Andrew A.; Bryant, Benjamin N.; ...

    2015-12-07

    Vertical GaN power diodes with a bilayer edge termination (ET) are demonstrated. The GaN p-n junction is formed on a low threading dislocation defect density (10 4 - 10 5 cm -2) GaN substrate, and has a 15-μm-thick n-type drift layer with a free carrier concentration of 5 × 10 15 cm -3. The ET structure is formed by N implantation into the p+-GaN epilayer just outside the p-type contact to create compensating defects. The implant defect profile may be approximated by a bilayer structure consisting of a fully compensated layer near the surface, followed by a 90% compensated (p)more » layer near the n-type drift region. These devices exhibit avalanche breakdown as high as 2.6 kV at room temperature. In addition simulations show that the ET created by implantation is an effective way to laterally distribute the electric field over a large area. This increases the voltage at which impact ionization occurs and leads to the observed higher breakdown voltages.« less

  9. Piezo-generator integrating a vertical array of GaN nanowires.

    PubMed

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  10. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE PAGES

    Parameshwaran, Vijay; Clemens, Bruce

    2016-08-17

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  11. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parameshwaran, Vijay; Clemens, Bruce

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  12. 2D scaling behavior of nanotextured GaN surfaces: A case study of hillocked and terraced surfaces

    NASA Astrophysics Data System (ADS)

    Mutta, Geeta Rani; Carapezzi, Stefania

    2018-07-01

    The 2D scaling properties of GaN surfaces have been studied by means of the 2D height-height correlation function (HHCF). The GaN layers under investigation presented exemplar morphologies, generated by distinct growth methods: a molecular beam epitaxy (MBE) grown surface decorated by hillocks and a metal organic vapor phase epitaxy (MOVPE) grown surface with terraced structure. The 2D statistical analysis of these surfaces has allowed assessing quantitatively the degree of morphological variability along all the different directions across each surface, their corresponding roughness exponents and correlation lengths. A scaling anisotropy as well as correlation length anisotropy has been detected for both hillocked and terraced surfaces. Especially, a marked dependence of correlation length from the direction across the terraced surface has been observed. Additionally, the terraced surfaces showed the lower root mean square (RMS) roughness value and at the same time, the lower roughness exponent value. This could appear as a contradiction, given that a low RMS value is associated to a smooth surface, and usually the roughness exponent is interpreted as a "measure" of the smoothness of the surface, the smoother the surface, the higher (approaching the unity) is the roughness exponent. Our case study is an experimental demonstration in which the roughness exponent should be, more appropriately, interpreted as a quantification of how the roughness changes with length scale.

  13. Effect of p-GaN layer grown with H2 carrier gas on wall-plug efficiency of high-power LEDs

    NASA Astrophysics Data System (ADS)

    Lu, Kuan Fu; Lin, Tien Kun; Liou, Jian Kai; Yang, Chyi Da; Lee, Chong Yi; Tsai, Jeng Da

    2017-06-01

    The effect of employing different carrier gases (H2 only and 1:1 vol% N2:H2) in the p-type GaN (p-GaN) layer on the wall-plug efficiency (WPE) of high-power light-emitting diodes (LEDs) is studied. Since GaN crystal could be a two-dimension (2-D) growth mode in H2 ambient, better quality and smoother surface of the p-GaN were obtained. The current spreading performance of the p-GaN layer using H2 alone as the carrier gas was enhanced, resulting in advanced light output power (LOP). In addition, turn-on voltage and dynamic resistance at 500 mA, which can strongly contribute to the WPE, were also reduced by 0.12 V and 0.13 Ω, respectively. The studied device with H2 as the carrier gas in the p-GaN layer (p-H2 layer) exhibits 9.5% and 12.4% improvements in LOP and WPE at 500 mA over the device (N2/H2 = 1:1), as well as significantly better electrostatic discharge robustness. Therefore, the use of a p-H2 layer can effectively improve the performance of GaN-based LEDs for high power applications.

  14. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  15. Electron band bending of polar, semipolar and non-polar GaN surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartoš, I.; Romanyuk, O., E-mail: romanyuk@fzu.cz; Houdkova, J.

    2016-03-14

    The magnitudes of the surface band bending have been determined by X-ray photoelectron spectroscopy for polar, semipolar, and non-polar surfaces of wurtzite GaN crystals. All surfaces have been prepared from crystalline GaN samples grown by the hydride-vapour phase epitaxy and separated from sapphire substrates. The Ga 3d core level peak shifts have been used for band bending determination. Small band bending magnitudes and also relatively small difference between the band bendings of the surfaces with opposite polarity have been found. These results point to the presence of electron surface states of different amounts and types on surfaces of different polaritymore » and confirm the important role of the electron surface states in compensation of the bound surface polarity charges in wurtzite GaN crystals.« less

  16. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  17. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  18. Near band gap luminescence in hybrid organic-inorganic structures based on sputtered GaN nanorods.

    PubMed

    Forsberg, Mathias; Serban, Elena Alexandra; Hsiao, Ching-Lien; Junaid, Muhammad; Birch, Jens; Pozina, Galia

    2017-04-26

    Novel hybrid organic-inorganic nanostructures fabricated to utilize non-radiative resonant energy transfer mechanism are considered to be extremely attractive for a variety of light emitters for down converting of ultaviolet light and for photovoltaic applications since they can be much more efficient compared to devices grown with common design. Organic-inorganic hybrid structures based on green polyfluorene (F8BT) and GaN (0001) nanorods grown by magnetron sputtering on Si (111) substrates are studied. In such nanorods, stacking faults can form periodic polymorphic quantum wells characterized by bright luminescence. In difference to GaN exciton emission, the recombination rate for the stacking fault related emission increases in the presence of polyfluorene film, which can be understood in terms of Förster interaction mechanism. From comparison of dynamic properties of the stacking fault related luminescence in the hybrid structures and in the bare GaN nanorods, the pumping efficiency of non-radiative resonant energy transfer in hybrids was estimated to be as high as 35% at low temperatures.

  19. Energy bands and acceptor binding energies of GaN

    NASA Astrophysics Data System (ADS)

    Xia, Jian-Bai; Cheah, K. W.; Wang, Xiao-Liang; Sun, Dian-Zhao; Kong, Mei-Ying

    1999-04-01

    The energy bands of zinc-blende and wurtzite GaN are calculated with the empirical pseudopotential method, and the pseudopotential parameters for Ga and N atoms are given. The calculated energy bands are in agreement with those obtained by the ab initio method. The effective-mass theory for the semiconductors of wurtzite structure is established, and the effective-mass parameters of GaN for both structures are given. The binding energies of acceptor states are calculated by solving strictly the effective-mass equations. The binding energies of donor and acceptor are 24 and 142 meV for the zinc-blende structure, 20 and 131, and 97 meV for the wurtzite structure, respectively, which are consistent with recent experimental results. It is proposed that there are two kinds of acceptor in wurtzite GaN. One kind is the general acceptor such as C, which substitutes N, which satisfies the effective-mass theory. The other kind of acceptor includes Mg, Zn, Cd, etc., the binding energy of these acceptors is deviated from that given by the effective-mass theory. In this report, wurtzite GaN is grown by the molecular-beam epitaxy method, and the photoluminescence spectra were measured. Three main peaks are assigned to the donor-acceptor transitions from two kinds of acceptors. Some of the transitions were identified as coming from the cubic phase of GaN, which appears randomly within the predominantly hexagonal material.

  20. Heterojunction light emitting diodes fabricated with different n-layer oxide structures on p-GaN layers by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kong, Bo Hyun; Han, Won Suk; Kim, Young Yi; Cho, Hyung Koun; Kim, Jae Hyun

    2010-06-01

    We grew heterojunction light emitting diode (LED) structures with various n-type semiconducting layers by magnetron sputtering on p-type GaN at high temperature. Because the undoped ZnO used as an active layer was grown under oxygen rich atmosphere, all LED devices showed the EL characteristics corresponding to orange-red wavelength due to high density of oxygen interstitial, which was coincident with the deep level photoluminescence emission of undoped ZnO. The use of the Ga doped layers as a top layer provided the sufficient electron carriers to active region and resulted in the intense EL emission. The LED sample with small quantity of Mg incorporated in MgZnO as an n-type top layer showed more intense emission than the LED with ZnO, in spite of the deteriorated electrical and structural properties of the MgZnO film. This might be due to the improvement of output extraction efficiency induced by rough surface.

  1. Isotype InGaN/GaN heterobarrier diodes by ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fireman, Micha N.; Browne, David A.; Speck, James S.

    The design of isotype InGaN/GaN heterobarrier diode structures grown by ammonia molecular beam epitaxy is presented. On the (0001) Ga-polar plane, a structure consisting of a surface n{sup +} GaN contact layer, followed by a thin InGaN layer, followed by a thick unintentionally doped (UID) GaN layer, and atop a buried n{sup +} GaN contact layer induces a large conduction band barrier via a depleted UID GaN layer. Suppression of reverse and subthreshold current in such isotype barrier devices under applied bias depends on the quality of this composite layer polarization. Sample series were grown under fixed InGaN growth conditionsmore » that varied either the UID GaN NH{sub 3} flow rate or the UID GaN thickness, and under fixed UID GaN growth conditions that varied InGaN growth conditions. Decreases in subthreshold current and reverse bias current were measured for thicker UID GaN layers and increasing InGaN growth rates. Temperature-dependent analysis indicated that although extracted barrier heights were lower than those predicted by 1D Schrödinger Poisson simulations (0.9 eV–1.4 eV for In compositions from 10% to 15%), optimized growth conditions increased the extracted barrier height from ∼11% to nearly 85% of the simulated values. Potential subthreshold mechanisms are discussed, along with those growth factors which might affect their prevalence.« less

  2. Mechanics of graded glass composites and zinc oxide thin films grown at 90 degrees Celsius in water

    NASA Astrophysics Data System (ADS)

    Fillery, Scott Pierson

    2007-06-01

    The purpose of this research was to study the mechanical stability of two different material systems. The glass laminate system, exhibiting a threshold strength when placed under an applied load and ZnO thin films grown on GaN buffered Al2O3 substrates, exhibiting variations in film stability with changes to the Lateral Epitaxial Overgrowth architecture. The glass laminates were fabricated to contain periodic thin layers containing biaxial compressive stresses using ion exchange treatments to create residual compressive stresses at the surface of soda lime silicate glass sheets. Wafer direct bonding of the ion exchanged glass sheets resulted in the fabrication of glass laminates with thin layers of compressive stress adjacent to the glass interfaces. The threshold flexural strength of the ion exchanged glass laminates was determined to be 112 MPa after the introduction of indentation cracks with indent loads ranging from 1kg to 5kg and the laminates were found to exhibit a threshold strength, i.e., a stress below which failure will not occur. Contrary to similar ceramic laminates where cracks either propagate across the compressive layer or bifurcate within the compressive layer, the cracks in the glass laminates were deflected along the interface between the bonded sheets. ZnO films were grown on (0001) GaN buffered Al2O3 substrates by aqueous solution routes at 90°C. The films were found to buckle under compressive residual stresses at film thicknesses greater than 4mum. Lateral epitaxial overgrowth techniques using hexagonal hole arrays showed an increasing film stability with larger array spacing, resulting in film thicknesses up to 92mum. Stress determinations using Raman spectroscopy indicated that stress relaxation at the free surface during film growth played a major role in film stability. Investigations using Finite Element Analysis and Raman spectroscopy demonstrated that the strain energy within the film/substrate system decreased with increasing array

  3. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  4. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  5. Identification of the primary compensating defect level responsible for determining blocking voltage of vertical GaN power diodes

    DOE PAGES

    King, M. P.; Kaplar, R. J.; Dickerson, J. R.; ...

    2016-10-31

    Electrical performance and characterization of deep levels in vertical GaN P-i-N diodes grown on low threading dislocation density (~10 4 –10 6 cm –2) bulk GaN substrates are investigated. The lightly doped n drift region of these devices is observed to be highly compensated by several prominent deep levels detected using deep level optical spectroscopy at E c-2.13, 2.92, and 3.2 eV. A combination of steady-state photocapacitance and lighted capacitance-voltage profiling indicates the concentrations of these deep levels to be N t = 3 × 10 12, 2 × 10 15, and 5 × 10 14 cm –3, respectively. Themore » E c-2.92 eV level is observed to be the primary compensating defect in as-grown n-type metal-organic chemical vapor deposition GaN, indicating this level acts as a limiting factor for achieving controllably low doping. The device blocking voltage should increase if compensating defects reduce the free carrier concentration of the n drift region. Understanding the incorporation of as-grown and native defects in thick n-GaN is essential for enabling large V BD in the next-generation wide-bandgap power semiconductor devices. Furthermore, controlling the as-grown defects induced by epitaxial growth conditions is critical to achieve blocking voltage capability above 5 kV.« less

  6. Radical Beam Gettering Epitaxy of Zno and Gan

    NASA Astrophysics Data System (ADS)

    Georgobiani, A. N.; Demin, V. I.; Vorobiev, M. O.; Gruzintsev, A. N.; Hodos, I. I.; Kotljarevsky, M. B.; Kidalov, V. V.; Rogozin, I. V.

    2002-11-01

    P-type ZnO layers with a hole mobility about 23 cm2/(V s), and a hole concentration about 1015 cm-3 were grown by means of radical-beam gettering epitaxy (the annealing of n-ZnO single crystals in atomic oxygen flux). The effect of native defects on the photoluminescence spectra of the layers was studied. The dominant bands in the spectra peaked at 370.2 and 400 nm. These bands were attributed to the annihilation of exciton localised on neutral Vzn and to electron transitions from the conduction band to singly positively charged Vzn correspondingly. The effect of annealing in atomic nitrogen flux of p-CaN:Mg films on their photoluminescence spectra and on the value of their conductivity were studied. Such annealing leads to appearance of a number of emission bands that peaked at 404.9, 390.8 and 378.9 nm and increases hole concentration from 5 × 1015 to 5 × 1016 cm-3, and the hole mobility from 120 to 150 cm2/(V s). The n-ZnO - p-GaN:Mg electroluminescence heterostructures were obtained. Their spectrum contains bands in the excitonic region of GaN at the wavelength 360.2 nm and in the edge region at wavelengths 378.9 and 390.8 nm.

  7. High In-content InGaN nano-pyramids: Tuning crystal homogeneity by optimized nucleation of GaN seeds

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Gustafsson, Anders; Lenrick, Filip; Lindgren, David; Hultin, Olof; Wallenberg, L. Reine; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-01-01

    Uniform arrays of submicron hexagonal InGaN pyramids with high morphological and material homogeneity, reaching an indium composition of 20%, are presented in this work. The pyramids were grown by selective area metal-organic vapor phase epitaxy and nucleated from small openings in a SiN mask. The growth selectivity was accurately controlled with diffusion lengths of the gallium and indium species, more than 1 μm on the SiN surface. High material homogeneity of the pyramids was achieved by inserting a precisely formed GaN pyramidal seed prior to InGaN growth, leading to the growth of well-shaped InGaN pyramids delimited by six equivalent {" separators="| 10 1 ¯ 1 } facets. Further analysis reveals a variation in the indium composition to be mediated by competing InGaN growth on two types of crystal planes, {" separators="| 10 1 ¯ 1 } and (0001). Typically, the InGaN growth on {" separators="| 10 1 ¯ 1 } planes is much slower than on the (0001) plane. The formation of the (0001) plane and the growth of InGaN on it were found to be dependent on the morphology of the GaN seeds. We propose growth of InGaN pyramids seeded by {" separators="| 10 1 ¯ 1 }-faceted GaN pyramids as a mean to avoid InGaN material grown on the otherwise formed (0001) plane, leading to a significant reduction of variations in the indium composition in the InGaN pyramids. The InGaN pyramids in this work can be used as a high-quality template for optoelectronic devices having indium-rich active layers, with a potential of reaching green, yellow, and red emissions for LEDs.

  8. Magnesium acceptor in gallium nitride. I. Photoluminescence from Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Ghimire, P.; Demchenko, D. O.

    2018-05-01

    Defect-related photoluminescence (PL) is analyzed in detail for n -type, p -type, and semi-insulating Mg-doped GaN grown by different techniques. The ultraviolet luminescence (UVL) band is the dominant PL band in conductive n -type and p -type GaN:Mg samples grown by hydride vapor phase epitaxy (HVPE) and molecular beam epitaxy. The UVL band in undoped and Mg-doped GaN samples is attributed to the shallow M gGa acceptor with the ionization energy of 223 meV. In semi-insulating GaN:Mg samples, very large shifts of the UVL band (up to 0.6 eV) are observed with variation of temperature or excitation intensity. The shifts are attributed to diagonal transitions, likely due to potential fluctuations or near-surface band bending. The blue luminescence (B LMg ) band is observed only in GaN:Mg samples grown by HVPE or metalorganic chemical vapor deposition when the concentration of Mg exceeds 1019c m-3 . The B LMg band is attributed to electron transitions from an unknown deep donor to the shallow M gGa acceptor. Basic properties of the observed PL are explained with a phenomenological model.

  9. Study of GaN nanorods converted from β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  10. Anharmonic phonon decay in cubic GaN

    NASA Astrophysics Data System (ADS)

    Cuscó, R.; Domènech-Amador, N.; Novikov, S.; Foxon, C. T.; Artús, L.

    2015-08-01

    We present a Raman-scattering study of optical phonons in zinc-blende (cubic) GaN for temperatures ranging from 80 to 750 K. The experiments were performed on high-quality, cubic GaN films grown by molecular-beam epitaxy on GaAs (001) substrates. The observed temperature dependence of the optical phonon frequencies and linewidths is analyzed in the framework of anharmonic decay theory, and possible decay channels are discussed in the light of density-functional-theory calculations. The longitudinal-optical (LO) mode relaxation is found to occur via asymmetric decay into acoustic phonons, with an appreciable contribution of higher-order processes. The transverse-optical mode linewidth shows a weak temperature dependence and its frequency downshift is primarily determined by the lattice thermal expansion. The LO phonon lifetime is derived from the observed Raman linewidth and an excellent agreement with previous theoretical predictions is found.

  11. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  12. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  13. High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.

    PubMed

    Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing

    2013-06-17

    High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.

  14. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  15. Influence of surface hydroxylation on 3-aminopropyltriethoxysilane growth mode during chemical functionalization of GaN Surfaces: an angle-resolved X-ray photoelectron spectroscopy Study.

    PubMed

    Arranz, A; Palacio, C; García-Fresnadillo, D; Orellana, G; Navarro, A; Muñoz, E

    2008-08-19

    A comparative study of the chemical functionalization of undoped, n- and p-type GaN layers grown on sapphire substrates by metal-organic chemical vapor deposition was carried out. Both types of samples were chemically functionalized with 3-aminopropyltriethoxysilane (APTES) using a well-established silane-based approach for functionalizing hydroxylated surfaces. The untreated surfaces as well as those modified by hydroxylation and APTES deposition were analyzed using angle-resolved X-ray photoelectron spectroscopy. Strong differences were found between the APTES growth modes on n- and p-GaN surfaces that can be associated with the number of available hydroxyl groups on the GaN surface of each sample. Depending on the density of surface hydroxyl groups, different mechanisms of APTES attachment to the GaN surface take place in such a way that the APTES growth mode changes from a monolayer to a multilayer growth mode when the number of surface hydroxyl groups is decreased. Specifically, a monolayer growth mode with a surface coverage of approximately 78% was found on p-GaN, whereas the formation of a dense film, approximately 3 monolayers thick, was observed on n-GaN.

  16. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  17. First-principles Study of Hydrogen depassivation of Mg acceptor by Be in GaN

    NASA Astrophysics Data System (ADS)

    Zhang, Qiming; Wang, Xiao; Wang, Chihsiang

    2010-03-01

    The process of hydrogen depassivation of the acceptor by can convert the as-grown high-resistivity -doped into a - conducting material. A first-principles study on the process will be presented. The formation energies of various complex of impurities and point defects have been calculated and compared. The diffusion barriers of the hydrogen atom in the doped GaN have been obtained by the Nudge-Elastic-Band method. The results explain successfully the experimental observation that the hole concentration has been significantly enhanced in a Be-implanted Mg-doped GaN.

  18. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, S., E-mail: bolat@ee.bilkent.edu.tr, E-mail: aokyay@ee.bilkent.edu.tr; Tekcan, B.; UNAM, National Nanotechnology Research Center, Bilkent University, Ankara 06800

    We report GaN thin film transistors (TFT) with a thermal budget below 250 °C. GaN thin films are grown at 200 °C by hollow cathode plasma-assisted atomic layer deposition (HCPA-ALD). HCPA-ALD-based GaN thin films are found to have a polycrystalline wurtzite structure with an average crystallite size of 9.3 nm. TFTs with bottom gate configuration are fabricated with HCPA-ALD grown GaN channel layers. Fabricated TFTs exhibit n-type field effect characteristics. N-channel GaN TFTs demonstrated on-to-off ratios (I{sub ON}/I{sub OFF}) of 10{sup 3} and sub-threshold swing of 3.3 V/decade. The entire TFT device fabrication process temperature is below 250 °C, which is the lowest process temperaturemore » reported for GaN based transistors, so far.« less

  19. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  20. Exciton emission from bare and hybrid plasmonic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  1. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  2. Inversion by metalorganic chemical vapor deposition from N- to Ga-polar gallium nitride and its application to multiple quantum well light-emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hosalli, A. M.; Van Den Broeck, D. M.; Bedair, S. M.

    2013-12-02

    We demonstrate a metalorganic chemical vapor deposition growth approach for inverting N-polar to Ga-polar GaN by using a thin inversion layer grown with high Mg flux. The introduction of this inversion layer allowed us to grow p-GaN films on N-polar GaN thin film. We have studied the dependence of hole concentration, surface morphology, and degree of polarity inversion for the inverted Ga-polar surface on the thickness of the inversion layer. We then use this approach to grow a light emitting diode structure which has the MQW active region grown on the advantageous N-polar surface and the p-layer grown on themore » inverted Ga-polar surface.« less

  3. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  4. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  5. GaN microwires as optical microcavities: whispering gallery modes Vs Fabry-Perot modes.

    PubMed

    Coulon, Pierre-Marie; Hugues, Maxime; Alloing, Blandine; Beraudo, Emmanuel; Leroux, Mathieu; Zuniga-Perez, Jesus

    2012-08-13

    GaN microwires grown by metalorganic vapour phase epitaxy and with radii typically on the order of 1-5 micrometers exhibit a number of resonances in their photoluminescence spectra. These resonances include whispering gallery modes and transverse Fabry-Perot modes. A detailed spectroscopic study by polarization-resolved microphotoluminescence, in combination with electron microscopy images, has enabled to differentiate both kinds of modes and determined their main spectral properties. Finally, the dispersion of the ordinary and extraordinary refractive indices of strain-free GaN in the visible-UV range has been obtained thanks to the numerical simulation of the observed modes.

  6. Deep level study of Mg-doped GaN using deep level transient spectroscopy and minority carrier transient spectroscopy

    NASA Astrophysics Data System (ADS)

    Duc, Tran Thien; Pozina, Galia; Amano, Hiroshi; Monemar, Bo; Janzén, Erik; Hemmingsson, Carl

    2016-07-01

    Deep levels in Mg-doped GaN grown by metal organic chemical vapor deposition (MOCVD), undoped GaN grown by MOCVD, and halide vapor phase epitaxy (HVPE)-grown GaN have been studied using deep level transient spectroscopy and minority charge carrier transient spectroscopy on Schottky diodes. One hole trap, labeled HT1, was detected in the Mg-doped sample. It is observed that the hole emission rate of the trap is enhanced by increasing electric field. By fitting four different theoretical models for field-assisted carrier emission processes, the three-dimensional Coulombic Poole-Frenkel (PF) effect, three-dimensional square well PF effect, phonon-assisted tunneling, and one-dimensional Coulombic PF effect including phonon-assisted tunneling, it is found that the one-dimensional Coulombic PF model, including phonon-assisted tunneling, is consistent with the experimental data. Since the trap exhibits the PF effect, we suggest it is acceptorlike. From the theoretical model, the zero field ionization energy of the trap and an estimate of the hole capture cross section have been determined. Depending on whether the charge state is -1 or -2 after hole emission, the zero field activation energy Ei 0 is 0.57 eV or 0.60 eV, respectively, and the hole capture cross section σp is 1.3 ×10-15c m2 or 1.6 ×10-16c m2 , respectively. Since the level was not observed in undoped GaN, it is suggested that the trap is associated with an Mg related defect.

  7. Heavily boron-doped Si layers grown below 700 C by molecular beam epitaxy using a HBO2 source

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.

    1989-01-01

    Boron doping in Si layers grown by molecular beam epitaxy (MBE) at 500-700 C using an HBO2 source has been studied. The maximum boron concentration without detectable oxygen incorporation for a given substrate temperature and Si growth rate has been determined using secondary-ion mass spectrometry analysis. Boron present in the Si MBE layers grown at 550-700 C was found to be electrically active, independent of the amount of oxygen incorporation. By reducing the Si growth rate, highly boron-doped layers have been grown at 600 C without detectable oxygen incorporation.

  8. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  9. Energetics of cubic and hexagonal phases in Mn-doped GaN : First-principles pseudopotential calculations

    NASA Astrophysics Data System (ADS)

    Choi, Eun-Ae; Kang, Joongoo; Chang, K. J.

    2006-12-01

    We perform first-principles pseudopotential calculations to study the influence of Mn doping on the stability of two polytypes, wurtzite and zinc-blende, in GaN . In Mn δ -doped GaN and GaMnN alloys, we find similar critical concentrations of the Mn ions for stabilizing the zinc-blende phase against the wurtzite phase. Using a slab geometry of hexagonal lattices, we find that it is energetically unfavorable to form inversion domains with Mn exposure, in contrast to Mg doping. At the initial stage of epitaxial growth, a stacking fault that leads to the cubic bonds can be generated with the Mn exposure to the Ga-polar surface. However, the influence of the Mn δ -doped layer on the formation of the cubic phase is only effective for GaN layers deposited up to two monolayers. We find that the Mn ions are energetically more stable on the growth front than in the bulk, indicating that these ions act as a surfactant. Thus it is possible to grow cubic GaN if the Mn ions are periodically supplied or diffuse out from the Mn δ -doped layer to the growth front during the growth process.

  10. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  11. Thermal functionalization of GaN surfaces with 1-alkenes.

    PubMed

    Schwarz, Stefan U; Cimalla, Volker; Eichapfel, Georg; Himmerlich, Marcel; Krischok, Stefan; Ambacher, Oliver

    2013-05-28

    A thermally induced functionalization process for gallium nitride surfaces with 1-alkenes is introduced. The resulting functionalization layers are characterized with atomic force microscopy and X-ray photoelectron spectroscopy and compared to reference samples without and with a photochemically generated functionalization layer. The resulting layers show very promising characteristics as functionalization for GaN based biosensors. On the basis of the experimental results, important characteristics of the functionalization layers are estimated and a possible chemical reaction scheme is proposed.

  12. Effect of an Electrochemically Oxidized ZnO Seed Layer on ZnO Nanorods Grown by using Electrodeposition

    NASA Astrophysics Data System (ADS)

    Jeon, Woosung; Leem, Jae-Young

    2018-05-01

    ZnO nanorods were prepared on a Si substrate with and without a ZnO seed layer formed by electro-oxidation to investigate the effect of the seed layer on their growth. The ZnO nanorods grown on the ZnO seed layer had top surfaces that were flat whereas those grown without it had rough top surfaces, as observed in field-emission scanning electron microscopy images. In the Xray diffraction analysis, all ZnO nanorods showed preferential orientation with the (002) plane. In the case of ZnO nanorods prepared with a ZnO seed layer, the residual stress decreased, and the full width at half maximum of the ZnO (002) plane peak decreased. The photoluminescence spectra show a strong and narrow near-band-edge emission peak and high near-band-edge emission to deep-level emission peak ratio for the ZnO nanorods prepared with the seed layer. With respect to the photoresponse properties, the ZnO nanorods grown with the ZnO seed layer showed higher responsivity and faster rise/decay curves than those grown without it. Thus, the ZnO seed layer formed by electro-oxidation improves the structural, optical, and photoresponse properties of the ZnO nanorods formed on it. This method could serve as a new route for improving the properties of optoelectronic devices.

  13. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  14. Optical signature of Mg-doped GaN: Transfer processes

    NASA Astrophysics Data System (ADS)

    Callsen, G.; Wagner, M. R.; Kure, T.; Reparaz, J. S.; Bügler, M.; Brunnmeier, J.; Nenstiel, C.; Hoffmann, A.; Hoffmann, M.; Tweedie, J.; Bryan, Z.; Aygun, S.; Kirste, R.; Collazo, R.; Sitar, Z.

    2012-08-01

    Mg doping of high quality, metal organic chemical vapor deposition grown GaN films results in distinct traces in their photoluminescence and photoluminescence excitation spectra. We analyze GaN:Mg grown on sapphire substrates and identify two Mg related acceptor states, one additional acceptor state and three donor states that are involved in the donor-acceptor pair band transitions situated at 3.26-3.29 eV in GaN:Mg. The presented determination of the donor-acceptor pair band excitation channels by photoluminescence excitation spectroscopy in conjunction with temperature-dependent photoluminescence measurements results in a direct determination of the donor and acceptor binding, localization, and activation energies, which is put into a broader context based on Haynes's rule. Furthermore, we analyze the biexponential decay dynamics of the photoluminescence signal of the acceptor and donor bound excitons. As all observed lifetimes scale with the localization energy of the donor and acceptor related bound excitons, defect and complex bound excitons can be excluded as their origin. Detailed analysis of the exciton transfer processes in the close energetic vicinity of the GaN band edge reveals excitation via free and bound excitonic channels but also via an excited state as resolved for the deepest localized Mg related acceptor bound exciton. For the two Mg acceptor states, we determine binding energies of 164 ± 5 and 195 ± 5 meV, which is in good agreement with recent density functional theory results. This observation confirms and quantifies the general dual nature of acceptor states in GaN based on the presented analysis of the photoluminescence and photoluminescence excitation spectra.

  15. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  16. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  17. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    NASA Astrophysics Data System (ADS)

    Tolosa, Maria D. Reyes; Damonte, Laura C.; Brine, Hicham; Bolink, Henk J.; Hernández-Fenollosa, María A.

    2013-03-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  18. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition.

    PubMed

    Tolosa, Maria D Reyes; Damonte, Laura C; Brine, Hicham; Bolink, Henk J; Hernández-Fenollosa, María A

    2013-03-23

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  19. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  20. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  1. Growth Temperature Dependence of Morphology of GaN Single Crystals in the Na-Li-Ca Flux Method

    NASA Astrophysics Data System (ADS)

    Wu, Xi; Hao, Hangfei; Li, Zhenrong; Fan, Shiji; Xu, Zhuo

    2018-02-01

    In this paper, the effect of growth temperature on the morphology and transparency of the GaN crystals obtained by the Li-Ca-added Na Flux method was studied. Addition of Li-Ca was attempted to control the growth habit and further improve transparency of GaN crystals. The samples with wurtzite structure of GaN were confirmed by the x-ray powder diffraction analysis. GaN single crystal with maximum size of about 6 mm was grown at 750°C. As the growth temperature was increased from 700°C to 850°C, the morphology of the crystals changed from pyramid to prism, and their surfaces became smooth. It was found that high growth temperature was beneficial to obtain a transparent crystal, but the evaporation of sodium would suppress its further growth. The E 2 (high) mode in the Raman spectra was at 568 cm-1, and the full-width at half-maximum values of this peak for the crystals obtained at 700°C, 750°C, 800°C, and 850°C were 7.5 cm-1, 10.3 cm-1, 4.4 cm-1, and 4.0 cm-1, respectively. It indicates that all the crystals are stress free and the transparent crystal grown at high temperature has high structural quality or low impurity concentrations.

  2. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    PubMed

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  3. High external quantum efficiency and fill-factor InGaN/GaN heterojunction solar cells grown by NH3-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lang, J. R.; Neufeld, C. J.; Hurni, C. A.; Cruz, S. C.; Matioli, E.; Mishra, U. K.; Speck, J. S.

    2011-03-01

    High external quantum efficiency (EQE) p-i-n heterojunction solar cells grown by NH3-based molecular beam epitaxy are presented. EQE values including optical losses are greater than 50% with fill-factors over 72% when illuminated with a 1 sun AM0 spectrum. Optical absorption measurements in conjunction with EQE measurements indicate an internal quantum efficiency greater than 90% for the InGaN absorbing layer. By adjusting the thickness of the top p-type GaN window contact layer, it is shown that the short-wavelength (<365 nm) quantum efficiency is limited by the minority carrier diffusion length in highly Mg-doped p-GaN.

  4. Miscut dependent surface evolution in the process of N-polar GaN(000 1 bar) growth under N-rich condition

    NASA Astrophysics Data System (ADS)

    Krzyżewski, Filip; Załuska-Kotur, Magdalena A.; Turski, Henryk; Sawicka, Marta; Skierbiszewski, Czesław

    2017-01-01

    The evolution of surface morphology during the growth of N-polar (000 1 bar) GaN under N-rich conditions is studied by kinetic Monte Carlo (kMC) simulations for two substrates miscuts 2° and 4°. The results are compared with experimentally observed surface morphologies of (000 1 bar) GaN layers grown by plasma-assisted molecular beam epitaxy. The proposed kMC two-component model of GaN(000 1 bar) surface where both types of atoms, nitrogen and gallium, attach to the surface and diffuse independently shows that at relatively high rates of the step flow (miscut angle < 2 °) the low mobility of gallium adatoms causes surface instabilities and leads to experimentally observed roughening while for low rates of the step flow (miscut 4°), smooth surface can be obtained. In the presence of almost immobile nitrogen atoms under N-rich conditions crystal growth is realized by the process of two-dimensional island nucleation and coalescence. Larger crystal miscut, lower growth rate or higher temperature results in similar effect of the surface smoothening. We show that the surface also smoothens for the growth conditions with very high N-excess. In the presence of large number of nitrogen atoms the mobility of gallium atoms changes locally thus providing easier coalescence of separated island.

  5. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    NASA Astrophysics Data System (ADS)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  6. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  7. Radiation sensors based on GaN microwires

    NASA Astrophysics Data System (ADS)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  8. Colloidal quantum dot active layers for light emitting diodes

    NASA Astrophysics Data System (ADS)

    Pagan, Jennifer G.; Stokes, Edward B.; Patel, Kinnari; Burkhart, Casey C.; Ahrens, Michael T.; Barletta, Philip T.; O'Steen, Mark

    2006-07-01

    In this paper the preliminary results of incorporating a novel active layer into a GaN light emitting diode (LED) are discussed. Integration of colloidal CdSe quantum dots into a GaN LED active layer is demonstrated. Properties of p-type Mg doped overgrowth GaN are examined via circular transmission line method (CTLM). Effects on surface roughness due to the active layer incorporation are examined using atomic force microscopy (AFM). Electroluminescence of LED test structures is reported, and an ideality factor of n = 1.6 is demonstrated.

  9. High kappa Dielectrics on InGaAs and GaN: Growth, Interfacial Structural Studies, and Surface Fermi Level Unpinning

    DTIC Science & Technology

    2010-12-24

    nano-thick Al2O3, HfO2, and Ga2O3 (Gd2O3)/ InGaAs (and GaN) using high-resolution x-ray reflectivity using in-situ/ex-situ high-resolution synchrotron...aligned inversion-channel In0.75Ga0.25As MOSFETs using MBE- grown Al2O3/ Ga2O3 (Gd2O3) Chips integrating high κ’s/InGaAs and /Ge onto Si substrates have...using molecular beam epitaxy (MBE)-Al2O3/ Ga2O3 (Gd2O3) [GGO] and atomic layer deposited (ALD)-Al2O3, with gate lengths (LG) of 1 μm and 0.4 μm

  10. Optical transparency of graphene layers grown on metal surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rut’kov, E. V.; Lavrovskaya, N. P.; Sheshenya, E. S., E-mail: sheshenayket@gmail.ru

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electronmore » transfer between graphene and the metal substrate.« less

  11. Flexible GaN for High Performance, Strainable Radio Frequency Devices (Postprint)

    DTIC Science & Technology

    2017-11-02

    devices on van der Waals (vdW) layers has been facilitated by the recent avail - ability of high -quality atomically smooth BN and graphene epi- taxial...AFRL-RX-WP-JA-2017-0333 FLEXIBLE GaN FOR HIGH PERFORMANCE, STRAINABLE RADIO FREQUENCY DEVICES (POSTPRINT) Elizabeth A. Moore and Timothy...2. REPORT TYPE 3. DATES COVERED (From - To) 5 April 2017 Interim 8 September 2014 – 5 March 2017 4. TITLE AND SUBTITLE FLEXIBLE GaN FOR HIGH

  12. Microstructural study of Mg-doped p-type GaN: Correlation between high-resolution electron microscopy and Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Tsen, S.-C. Y.; Smith, David J.; Tsen, K. T.; Kim, W.; Morkoç, H.

    1997-12-01

    A series of Mg-doped GaN films (˜1-1.3 μm) grown by reactive molecular beam epitaxy at substrate temperatures of 750 and 800 °C has been studied by high-resolution electron microscopy (HREM) and Raman spectroscopy. Stacking defects parallel to the substrate surface were observed in samples grown on sapphire substrates at 750 °C with AlN buffer layers (60-70 nm) at low Mg concentration. A transition region with mixed zinc-blende cubic (c) and wurtzite hexagonal (h) phases having the relative orientations of (111)c//(00.1)h and (11¯0)c//(10.0)h was observed for increased Mg concentration. The top surfaces of highly doped samples were rough and assumed a completely zinc-blende phase with some inclined stacking faults. Samples grown with a Mg cell temperature of 350 °C and high doping levels were highly disordered with many small crystals having inclined stacking faults, microtwins, and defective wurtzite and zinc-blende phases. Correlation between HREM and Raman scattering results points towards the presence of compressive lattice distortion along the growth direction which might be attributable to structural defects. The films grown at 800 °C had better quality with less observable defects and less yellow luminescence than samples grown at 750 °C.

  13. Thermal stability of isolated and complexed Ga vacancies in GaN bulk crystals

    NASA Astrophysics Data System (ADS)

    Saarinen, K.; Suski, T.; Grzegory, I.; Look, D. C.

    2001-12-01

    We have applied positron annihilation spectroscopy to show that 2-MeV electron irradiation at 300 K creates primary Ga vacancies in GaN with an introduction rate of 1 cm-1. The Ga vacancies recover in long-range migration processes at 500-600 K with an estimated migration energy of 1.5 (2) eV. Since the native Ga vacancies in as-grown GaN survive up to much higher temperatures (1300-1500 K), we conclude that they are stabilized by forming complexes with oxygen impurities. The estimated binding energy of 2.2 (4) eV of such complexes is in good agreement with the results of theoretical calculations.

  14. Photochemical Modification of Single Crystalline GaN Film Using n-Alkene with Different Carbon Chain Lengths as Biolinker.

    PubMed

    Wang, Chun; Zhuang, Hao; Huang, Nan; Heuser, Steffen; Schlemper, Christoph; Zhai, Zhaofeng; Liu, Baodan; Staedler, Thorsten; Jiang, Xin

    2016-06-14

    As a potential material for biosensing applications, gallium nitride (GaN) films have attracted remarkable attention. In order to construct GaN biosensors, a corresponding immobilization of biolinkers is of great importance in order to render a surface bioactive. In this work, two kinds of n-alkenes with different carbon chain lengths, namely allylamine protected with trifluoroacetamide (TFAAA) and 10-aminodec-1-ene protected with trifluoroacetamide (TFAAD), were used to photochemically functionalize single crystalline GaN films. The successful linkage of both TFAAA and TFAAD to the GaN films is confirmed by time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurement. With increased UV illumination time, the intensity of the secondary ions corresponding to the linker molecules initially increases and subsequently decreases in both cases. Based on the SIMS measurements, the maximum coverage of TFAAA is achieved after 14 h of UV illumination, while only 2 h is required in the case of TFAAD to reach the situation of a fully covered GaN surface. This finding leads to the conclusion that the reaction rate of TFAAD is significantly higher compared to TFAAA. Measurements by atomic force microscopy (AFM) indicate that the coverage of GaN films by a TFAAA layer leads to an increased surface roughness. The atomic terraces, which are clearly observable for the pristine GaN films, disappear once the surface is fully covered by a TFAAA layer. Such TFAAA layers will feature a homogeneous surface topography even for reaction times of 24 h. In contrast to this, TFAAD shows strong cross-polymerization on the surface, this is confirmed by optical microscopy. These results demonstrate that TFAAA is a more suitable candidate as biolinker in context of the GaN surfaces due to its improved controllability.

  15. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  16. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    PubMed Central

    2013-01-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion. PMID:23522332

  17. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  18. Characterization of Polar, Semi-Polar, and Non-Polar p-n Homo and Hetero-junctions grown by Ammonia Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Hurni, Christophe Antoine

    Widespread interest in the group III-Nitrides began with the achievement of p-type conductivity in the early 1990s in Mg-doped GaN films grown by metal organic chemical vapor deposition (MOCVD) by Nakamura et al. Indeed, MOCVD-grown Mg-doped GaN is insulating as-grown, because of the formation of neutral Mg-H complexes. Nakamura et al. showed that a rapid thermal anneal removes the hydrogen and enables p-conductivity. Shortly after this discovery, the first LEDs and lasers were demonstrated by Nakamura et al. The necessary annealing step is problematic for devices which need a buried p-layer, such as hetero-junction bipolar transistors. Ammonia molecular beam epitaxy (NH3-MBE) has a great potential for growing vertical III-Nitrides-based devices, thank to its N-rich growth conditions and all the usual advantages of MBE, which include a low-impurity growth environment, in situ monitoring techniques as well as the ability to grow sharp interfaces. We first investigated the growth of p-GaN by NH3-MBE. We found that the hole concentration strongly depends on the growth temperature. Thanks to comprehensive Hall and transfer length measurements, we found evidences for a compensating donor defects in NH3-MBE-grown Mg-doped GaN films. High-quality p-n junctions with very low reverse current and close to unity ideality factor were also grown and investigated. For the design of heterojunction devices such as laser diodes, light emitting diodes or heterojunction bipolar transistors, hetero-interface's characteristics such as the band offset or interface charges are fundamental. A technique developed by Kroemer et al. uses capacitance-voltage (C-V) profiling to extract band-offsets and charges at a hetero-interface. We applied this technique to the III-Nitrides. We discovered that for the polar III-Nitrides, the technique is not applicable because of the very large polarization charge. We nevertheless successfully measured the polarization charge at the AlGaN/GaN hetero

  19. The investigation of Al0.29Ga0.71N/GaN/AlN and AlN/GaN/AlN thin films grown on Si (111) by RF plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Yusoff, Mohd Zaki Mohd; Mahyuddin, Azzafeerah; Hassan, Zainuriah; Hassan, Haslan Abu; Abdullah, Mat Johar

    2012-06-01

    Recently, gallium nitride (GaN) and its related compounds involving Al and In have attracted much attention because of their potential to be used as high-efficiency UV light emitting devices, and as high frequency and high power electronic devices. Consequently, the growth and physics of GaN-based materials have attracted remarkable scientific attention. In this work, the growth and characterization of epitaxial Al0.29Ga0.71N and AlN layers grown on Si (111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. For AlN/GaN/AlN sample, the maximum Raman intensity at 521.53 cm-1 is attributed to crystalline silicon. It was found that the allowed Raman optical phonon mode of GaN, the E1 (high) is clearly visible, which is located at 570.74 cm-1. Photoluminscence (PL) spectrums of both samples have shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing good crystal quality of the samples have been successfully grown on Si substrate.

  20. A crystallographic investigation of GaN nanostructures by reciprocal space mapping in a grazing incidence geometry.

    PubMed

    Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo; Lee, Dong Ryeol; Lee, Hyun-Hwi

    2009-05-27

    Reciprocal space mapping with a two-dimensional (2D) area detector in a grazing incidence geometry was applied to determine crystallographic orientations of GaN nanostructures epitaxially grown on a sapphire substrate. By using both unprojected and projected reciprocal space mapping with a proper coordinate transformation, the crystallographic orientations of GaN nanostructures with respect to that of a substrate were unambiguously determined. In particular, the legs of multipods in the wurtzite phase were found to preferentially nucleate on the sides of tetrahedral cores in the zinc blende phase.

  1. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  2. Gallium nitride nanoneedles grown in extremely non-equilibrium nitrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mangla, O., E-mail: onkarmangla@gmail.com; Physics Department, Hindu College, University of Delhi, Delhi, 110007; Roy, S.

    2016-05-23

    In the present work, gallium nitride (GaN) nanoneedles are grown on quartz substrates using the high fluence ions of GaN produced by hot, dense and extremely non-equlibrium nitrogen plasma in a modified dense plasma focus device. The formation of nanoneedles is obtained from the scanning electron microscopy with mean size of the head of nanoneedles ~ 70 nm. The nanoneedles are found to be poly-crystalline when studied structurally through the X-ray diffraction. The optical properties of nanoneedles studied using absorption spectra which show more absorption for nanoneedles depsoited one shot of ions irradiation. In addition, the band gap of nanoneedles ismore » found to be increased as compared to bulk GaN. The obtained nanoneedles with increased band gap have potential applications in detector systems.« less

  3. High indium content homogenous InAlN layers grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Wu, Feng; Bonef, Bastien; Speck, James S.

    2016-11-01

    InAlN grown by plasma-assisted molecular beam epitaxy often contains a honeycomb microstructure. The honeycomb microstructure consists of 5-10 nm diameter aluminum-rich regions which are surrounded by indium-rich regions. Layers without this microstructure were previously developed for nominally lattice-matched InAlN and have been developed here for higher indium content InAlN. In this study, InAlN was grown in a nitrogen-rich environment with high indium to aluminum flux ratios at low growth temperatures. Samples were characterized by high-resolution x-ray diffraction, atomic force microscopy, high-angle annular dark-field scanning transmission electron microscopy, and atom probe tomography. Atomic force microscopy showed InAlN layers grown at temperatures below 450 °C under nitrogen-rich conditions were free of droplets. InAlN films with indium contents up to 81% were grown at temperatures between 410 and 440 °C. High-angle annular dark-field scanning transmission electron microscopy and atom probe tomography showed no evidence of honeycomb microstructure for samples with indium contents of 34% and 62%. These layers are homogeneous and follow a random alloy distribution. A growth diagram for InAlN of all indium contents is reported.

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  6. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  7. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    PubMed

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  8. Fabrication of current confinement aperture structure by transforming a conductive GaN:Si epitaxial layer into an insulating GaOx layer.

    PubMed

    Lin, Chia-Feng; Lee, Wen-Che; Shieh, Bing-Cheng; Chen, Danti; Wang, Dili; Han, Jung

    2014-12-24

    We report here a simple and robust process to convert embedded conductive GaN epilayers into insulating GaOx and demonstrate its efficacy in vertical current blocking and lateral current steering in a working LED device. The fabrication processes consist of laser scribing, electrochemical (EC) wet-etching, photoelectrochemical (PEC) oxidation, and thermal oxidization of a sacrificial n(+)-GaN:Si layer. The conversion of GaN is made possible through an intermediate stage of porosification where the standard n-type GaN epilayers can be laterally and selectively anodized into a nanoporous (NP) texture while keeping the rest of the layers intact. The fibrous texture of NP GaN with an average wall thickness of less than 100 nm dramatically increases the surface-to-volume ratio and facilitates a rapid oxidation process of GaN into GaOX. The GaOX aperture was formed on the n-side of the LED between the active region and the n-type GaN layer. The wavelength blueshift phenomena of electroluminescence spectra is observed in the treated aperture-emission LED structure (441.5 nm) when compared to nontreated LED structure (443.7 nm) at 0.1 mA. The observation of aperture-confined electroluminescence from an InGaN LED structure suggests that the NP GaN based oxidation will play an enabling role in the design and fabrication of III-nitride photonic devices.

  9. Defect characterization in Mg-doped GaN studied using a monoenergetic positron beam

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Ishibashi, S.; Tenjinbayashi, K.; Tsutsui, T.; Nakahara, K.; Takamizu, D.; Chichibu, S. F.

    2012-01-01

    Vacancy-type defects in Mg-doped GaN grown by metalorganic vapor phase epitaxy were probed using a monoenergetic positron beam. For a sample fabricated with a high H2-flow rate, before post-growth annealing the major defect species detected by positrons was identified as vacancy-clusters. Evidence suggested that other donor-type defects such as nitrogen vacancies also existed. The defects increased the Fermi level position, and enhanced the diffusion of positrons toward the surface. The annihilation of positrons at the top surface was suppressed by Mg-doping. This was attributed to the introduction of a subsurface layer (<6 nm) with a low defect concentration, where the Fermi level position was considered to decrease due to partial activation of Mg. For samples after annealing, the trapping of positrons by residual vacancy-type defects was observed, and the sample crystal quality was found to depend on that before annealing.

  10. Role of stable and metastable Mg-H complexes in p-type GaN for cw blue laser diodes

    NASA Astrophysics Data System (ADS)

    Castiglia, A.; Carlin, J.-F.; Grandjean, N.

    2011-05-01

    Secondary ion mass spectroscopy (SIMS) and capacitance-voltage measurements were combined to thoroughly study Mg doping in GaN layers grown by metal organic vapor phase epitaxy. First we found that the Mg steady-state incorporation regime occurs for a surface coverage of 0.3 monolayer. Additionally SIMS indicates that H incorporates proportionally with Mg until a certain [Mg] where [H] saturates. After thermal activation, [H] while being much lower still scales with [Mg]. These results suggest that H combines with Mg to form two different types of Mg-H complexes: a metastable one leading to the Mg acceptor after annealing, the other one (dominating at high [Mg]) being stable and electrically inactive. The obtained results allowed us optimizing doping conditions for blue laser diodes.

  11. Proton irradiation effects on deep level states in Mg-doped p-type GaN grown by ammonia-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhang, Z.; Arehart, A. R.; Kyle, E. C. H.; Chen, J.; Zhang, E. X.; Fleetwood, D. M.; Schrimpf, R. D.; Speck, J. S.; Ringel, S. A.

    2015-01-01

    The impact of proton irradiation on the deep level states throughout the Mg-doped p-type GaN bandgap is investigated using deep level transient and optical spectroscopies. Exposure to 1.8 MeV protons of 1 × 1013 cm-2 and 3 × 1013 cm-2 fluences not only introduces a trap with an EV + 1.02 eV activation energy but also brings monotonic increases in concentration for as-grown deep states at EV + 0.48 eV, EV + 2.42 eV, EV + 3.00 eV, and EV + 3.28 eV. The non-uniform sensitivities for individual states suggest different physical sources and/or defect generation mechanisms. Comparing with prior theoretical calculations reveals that several traps are consistent with associations to nitrogen vacancy, nitrogen interstitial, and gallium vacancy origins, and thus are likely generated through displacing nitrogen and gallium atoms from the crystal lattice in proton irradiation environment.

  12. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  13. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  14. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  15. Atomic-scale and pit-free flattening of GaN by combination of plasma pretreatment and time-controlled chemical mechanical polishing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deng, Hui; Endo, Katsuyoshi; Yamamura, Kazuya, E-mail: yamamura@upst.eng.osaka-u.ac.jp

    2015-08-03

    Chemical mechanical polishing (CMP) combined with atmospheric-pressure plasma pretreatment was applied to a GaN (0001) substrate. The irradiation of a CF{sub 4}-containing plasma was proven to be very useful for modifying the surface of GaN. When CMP was conducted on a plasma-irradiated surface, a modified layer of GaF{sub 3} acted as a protective layer on GaN by preventing the formation of etch pits. Within a short duration (8 min) of CMP using a commercially available CeO{sub 2} slurry, an atomically flat surface with a root mean square (rms) roughness of 0.11 nm was obtained. Moreover, etch pits, which are inevitably introduced inmore » conventional CMP, could not be observed at the dislocation sites on the polished GaN surface. It was revealed that CMP combined with the plasma pretreatment was very effective for obtaining a pit-free and atomically flat GaN surface.« less

  16. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  17. Studies on the effect of ammonia flow rate induced defects in gallium nitride grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Suresh, S.; Lourdudoss, S.; Landgren, G.; Baskar, K.

    2010-10-01

    Gallium nitride (GaN) epitaxial layers were grown with different V/III ratios by varying the ammonia (NH 3) flow rate, keeping the flow rate of the other precursor, trimethylgallium (TMG), constant, in an MOCVD system. X-ray rocking curve widths of a (1 0 2) reflection increase with an increase in V/III ratio while the (0 0 2) rocking curve widths decrease. The dislocation density was found to increase with an increase in ammonia flow rate, as determined by hot-wet chemical etching and atomic force microscopy. 77 K photoluminescence studies show near band emission at 3.49 eV and yellow luminescence peaking at 2.2 eV. The yellow luminescence (YL) intensity decreases with an increase in V/III ratio. Positron annihilation spectroscopy studies show that the concentration of Ga-like vacancies increases with an increase in ammonia flow rate. This study confirms that the yellow luminescence in the GaN arises due to deep levels formed by gallium vacancies decorated with oxygen atoms.

  18. Polarization of stacking fault related luminescence in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Pozina, G.; Forsberg, M.; Serban, E. A.; Hsiao, C.-L.; Junaid, M.; Birch, J.; Kaliteevski, M. A.

    2017-01-01

    Linear polarization properties of light emission are presented for GaN nanorods (NRs) grown along [0001] direction on Si(111) substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL) measured at low temperature for a single NR demonstrated an excitonic line at ˜3.48 eV and the stacking faults (SFs) related transition at ˜3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  19. Structure guided GANs

    NASA Astrophysics Data System (ADS)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  20. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  1. Annealing of gallium nitride under high-N 2 pressure

    NASA Astrophysics Data System (ADS)

    Porowski, S.; Jun, J.; Krukowski, S.; Grzegory, I.; Leszczynski, M.; Suski, T.; Teisseyre, H.; Foxon, C. T.; Korakakis, D.

    1999-04-01

    GaN is the key material for blue and ultraviolet optoelectronics. It is a strongly bonded wurztite structure semiconductor with the direct energy gap 3.5 eV. Due to strong bonding, the diffusion processes require high temperatures, above 1300 K. However at this temperature range at ambient pressure, GaN becomes unstable and dissociates into Ga and N 2. Therefore high pressure of N 2 is required to study the diffusion and other annealing related processes. We studied annealing of bulk GaN nitride single crystals grown under high pressure and also annealing of homo- and heteroepitaxial GaN layers grown by MOCVD technique. Annealing at temperatures above 1300 K influences strongly the structural and optical properties of GaN crystals and layers. At this temperature diffusion of the Mg and Zn acceptors have been observed. In spite of very interesting experimental observations the understanding of microscopic mechanisms of these processes is limited.

  2. TOPICAL REVIEW: The doping process and dopant characteristics of GaN

    NASA Astrophysics Data System (ADS)

    Sheu, J. K.; Chi, G. C.

    2002-06-01

    The characteristic effects of doping with impurities such as Si, Ge, Se, O, Mg, Be, and Zn on the electrical and optical properties of GaN-based materials are reviewed. In addition, the roles of unintentionally introduced impurities, such as C, H, and O, and grown-in defects, such as vacancy and antisite point defects, are also discussed. The doping process during epitaxial growth of GaN, AlGaN, InGaN, and their superlattice structures is described. Doping using the diffusion process and ion implantation techniques is also discussed. A p-n junction formed by Si implantation into p-type GaN is successfully fabricated. The results on crystal structure, electrical resistivity, carrier mobility, and optical spectra obtained by means of x-rays, low-temperature Hall measurements, and photoluminescence are also discussed.

  3. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  4. Determination of band offsets at GaN/single-layer MoS{sub 2} heterojunction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tangi, Malleswararao; Mishra, Pawan; Ng, Tien Khee

    2016-07-18

    We report the band alignment parameters of the GaN/single-layer (SL) MoS{sub 2} heterostructure where the GaN thin layer is grown by molecular beam epitaxy on CVD deposited SL-MoS{sub 2}/c-sapphire. We confirm that the MoS{sub 2} is an SL by measuring the separation and position of room temperature micro-Raman E{sup 1}{sub 2g} and A{sup 1}{sub g} modes, absorbance, and micro-photoluminescence bandgap studies. This is in good agreement with HRTEM cross-sectional analysis. The determination of band offset parameters at the GaN/SL-MoS{sub 2} heterojunction is carried out by high-resolution X-ray photoelectron spectroscopy accompanying with electronic bandgap values of SL-MoS{sub 2} and GaN. Themore » valence band and conduction band offset values are, respectively, measured to be 1.86 ± 0.08 and 0.56 ± 0.1 eV with type II band alignment. The determination of these unprecedented band offset parameters opens up a way to integrate 3D group III nitride materials with 2D transition metal dichalcogenide layers for designing and modeling of their heterojunction based electronic and photonic devices.« less

  5. Study of gain and photoresponse characteristics for back-illuminated separate absorption and multiplication GaN avalanche photodiodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaodong; Pan, Ming; Hou, Liwei

    2014-01-07

    The gain and photoresponse characteristics have been numerically studied for back-illuminated separate absorption and multiplication (SAM) GaN avalanche photodiodes (APDs). The parameters of fundamental models are calibrated by simultaneously comparing the simulated dark and light current characteristics with the experimental results. Effects of environmental temperatures and device dimensions on gain characteristics have been investigated, and a method to achieve the optimum thickness of charge layer is obtained. The dependence of gain characteristics and breakdown voltage on the doping concentration of the charge layer is also studied in detail to get the optimal charge layer. The bias-dependent spectral responsivity and quantummore » efficiency are then presented to study the photoresponse mechanisms inside SAM GaN APDs. It is found the responsivity peak red-shifts at first due to the Franz-Keldysh effect and then blue-shifts due to the reach-through effect of the absorption layer. Finally, a new SAM GaN/AlGaN heterojunction APD structure is proposed for optimizing SAM GaN APDs.« less

  6. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  7. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  8. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  9. GaN light-emitting device based on ionic liquid electrolyte

    NASA Astrophysics Data System (ADS)

    Hirai, Tomoaki; Sakanoue, Tomo; Takenobu, Taishi

    2018-06-01

    Ionic liquids (ILs) are attractive materials for fabricating unique hybrid devices based on electronics and electrochemistry; thus, IL-gated transistors and organic light-emitting devices of light-emitting electrochemical cells (LECs) are investigated for future low-voltage and high-performance devices. In LECs, voltage application induces the formation of electrochemically doped p–n homojunctions owing to ion rearrangements in composites of semiconductors and electrolytes, and achieves electron–hole recombination for light emission at the homojunctions. In this work, we applied this concept of IL-induced electrochemical doping to the fabrication of GaN-based light-emitting devices. We found that voltage application to the layered IL/GaN structure accumulated electrons on the GaN surface owing to ion rearrangements and improved the conductivity of GaN. The ion rearrangement also enabled holes to be injected by the strong electric field of electric double layers on hole injection contacts. This simultaneous injection of holes and electrons into GaN mediated by ions achieves light emission at a low voltage of around 3.4 V. The light emission from the simple IL/GaN structure indicates the usefulness of an electrochemical technique in generating light emission with great ease of fabrication.

  10. Photoluminescence and positron annihilation studies on Mg-doped nitrogen-polarity semipolar (101xAF1xAF) GaN heteroepitaxial layers grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Onuma, T.; Uedono, A.; Asamizu, H.; Sato, H.; Kaeding, J. F.; Iza, M.; DenBaars, S. P.; Nakamura, S.; Chichibu, S. F.

    2010-03-01

    The influences of enhanced stacking fault (SF) formation, which is peculiar to nitrogen-(N-) polarity growth and lattice-mismatched semipolar heteroepitaxy, on the electrical properties of (101¯1¯) Mg-doped GaN (GaN:Mg) epilayers were investigated. Although the residual donor concentration was higher than (0001) GaN because of N-polar growth, comparatively low Mg doping (3×1019 cm-3) gave a hole concentration approximately 1.5×1018 cm-3, which was an order of magnitude higher than (0001) GaN:Mg. As the acceptor ionization energy estimated from low temperature photoluminescence was quite similar for (101¯1¯) and (0001) GaN:Mg, the high Mg activation seems to result with the aid of high density SFs. Because the Doppler broadening S parameter for the positron annihilation measurement, which reflects the concentration or size of negatively charged cation vacancies, of (101¯1¯) GaN:Mg was smaller than (0001) case, (101¯1¯) orientation is well suited to Mg-doping.

  11. Effect of V/III ratio on the surface morphology and electrical properties of m-plane (10 1 bar 0) GaN homoepitaxial layers

    NASA Astrophysics Data System (ADS)

    Barry, Ousmane I.; Tanaka, Atsushi; Nagamatsu, Kentaro; Bae, Si-Young; Lekhal, Kaddour; Matsushita, Junya; Deki, Manato; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi

    2017-06-01

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m-plane (10 1 bar 0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m-plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m-GaN samples were characterized. Low leakage current densities of the order of 10-10 A/cm2 at -5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

  12. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  13. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  14. Specific peptide for functionalization of GaN

    NASA Astrophysics Data System (ADS)

    Estephan, E.; Larroque, C.; Cloitre, T.; Cuisinier, F. J. G.; Gergely, C.

    2008-04-01

    Nanobiotechnology aims to exploit biomolecular recognition and self-assembly capabilities for integrating advanced materials into medicine and biology. However frequent problems are encountered at the interface of substrate-biological molecule, as the direct physical adsorption of biological molecules is dependent of unpredictable non-specific interactions with the surface, often causing their denaturation. Therefore, a proper functionalization of the substrate should avoid a loss of biological activity. In this work we address the functionalization of the semiconductor GaN (0001) for biosensing applications. The basic interest of using III-V class semiconductors is their good light emitting properties and a fair chemical stability that allows various applications of these materials. The technology chosen to elaborate GaN-specific peptides is the combinatorial phage-display method, a biological screening procedure based on affinity selection. An M13 bacteriophage library has been used to screen 10 10 different peptides against the GaN (0001) surface to finally isolate one specific peptide. The preferential attachment of the biotinylated selected peptide onto the GaN (0001), in close proximity to a surface of different chemical and structural composition has been demonstrated by fluorescence microscopy. Further physicochemical studies have been initiated to evaluate the semiconductor-peptide interface and understand the details in the specific recognition of peptides for semiconductor substrates. Fourier Transform Infrared spectroscopy in Attenuated Total Reflection mode (FTIR-ATR) has been employed to prove the presence of peptides on the surface. Our Atomic Force Microscopy (AFM) studies on the morphology of the GaN surface after functionalization revealed a total surface coverage by a very thin, homogeneous peptide layer. Due to its good biocompatibility, functionalized GaN devices might evolve in a new class of implantable biosensors for medical applications.

  15. Growth of blue GaN LED structures on 150-mm Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Dadgar, A.; Hums, C.; Diez, A.; Bläsing, J.; Krost, A.

    2006-12-01

    Up to 5.4-μm thick GaN on Si light emitting diode (LED) structures were grown by metalorganic chemical vapor phase epitaxy (MOVPE) on 150 mm Si(1 1 1) substrates. In-situ curvature measurements enable monitoring of stress development during growth and the influence of interlayers on strain balancing after cooling. In X-ray diffraction (XRD) ω-scans the GaN (0 0 0 2) reflection is about 380 arcsec and in θ-2 θ measurements the InGaN/GaN MQW interference peaks are well resolved indicating the high quality of the grown structure. In comparison to the growth on 2-in sapphire the wafer curvature after growth is low (>50 m) for the growth on Si and also during MQW growth at low temperatures a homogeneous wafer temperature can be achieved. The standard deviation of the wavelength over the whole 150-mm test wafer (5-mm edge exclusion) is <3.5 nm and reflects the three different heater zones of the MOVPE system used.

  16. Nonlinear optical effects in semi-polar GaN micro-cavity emitter

    NASA Astrophysics Data System (ADS)

    Butler, Sween; Jiang, Hongxing; Lin, Jingyu; Neogi, Arup

    Nonlinear optical (NLO) response of low dimensional emitters is of current interest because of the need for active elements in photonic applications. NLO effects in a selectively grown array of semi-polar GaN microcavity structures offer a promising route toward devices for integrated optical circuitry in optoelectronics and photonics field. Localized spatial excitation of a single hexagonal GaN microcavity with semipolar facets formed by selective area growth was optimized for nonlinear optical light generation due to second harmonic generation (SHG) and multi-photon luminescence(MPL). Multi-photon transition induced by tightly focused femtosecond NIR incident field results in ultra-violet and yellow luminescence for excitations above and below half bandgap energy, whereas SHG was observed for below half bandgap energy. We show that color and coherence of the light generation from the emitter can be controlled by selective onset of the nonlinear process which depends not only on the incident laser energy and intensity but also on the geometry of the microcavity. Quasi-WGM like modes were observed for off-resonant excitations from the GaN microcavity resulting in enhanced SHG. The directionality of MPL and SHG will be presented as a function of the pump polarization.

  17. Axial p-n junction and space charge limited current in single GaN nanowire.

    PubMed

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-05

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 10 17 at cm -3 assuming a donor level N d of 2-3 × 10 18 at cm -3 . The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  18. Axial p-n junction and space charge limited current in single GaN nanowire

    NASA Astrophysics Data System (ADS)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-01

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 1017 at cm-3 assuming a donor level N d of 2-3 × 1018 at cm-3. The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  19. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  20. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.