Sample records for gan layers deposited

  1. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  2. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  3. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  4. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  5. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  6. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  7. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  8. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  9. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  10. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  11. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  12. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  13. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  14. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  15. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  16. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  17. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  18. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  19. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  20. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  1. Catalytic Activation of Mg-Doped GaN by Hydrogen Desorption Using Different Metal Thin Layers

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Wang, Junxi; Liu, Naixin; Lu, Hongxi; Zeng, Yiping; Wang, Guohong; Li, Jinmin

    2010-10-01

    The annealing of Mg-doped GaN with Pt and Mo layers has been found to effectively improve the hole concentration of such material by more than 2 times as high as those in the same material without metal. Compared with the Ni and Mo catalysts, Pt showed good activation effect for hydrogen desorption and ohmic contact to the Ni/Au electrode. Despite the weak hydrogen desorption, Mo did not diffuse into the GaN epilayer in the annealing process, thus suppressing the carrier compensation phenomenon with respect to Ni and Pt depositions, which resulted in the high activation of Mg acceptors. For the GaN activated with the Ni, Pt, and Mo layers, the blue emission became dominant, followed by a clear peak redshift and the degradation of photoluminescence signal when compared with that of GaN without metal.

  2. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  3. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  4. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  5. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shuo-Wei; Epistar Corporation, Hsinchu 300, Taiwan; Li, Heng

    The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs) with ex-situ sputtered physical vapor deposition (PVD) aluminum nitride (AlN) nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study showsmore » the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.« less

  6. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  7. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  8. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  9. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  10. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  11. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  12. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  13. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  14. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  15. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  16. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  17. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  18. Preparation of gallium nitride surfaces for atomic layer deposition of aluminum oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kerr, A. J.; Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093; Chagarov, E.

    2014-09-14

    A combined wet and dry cleaning process for GaN(0001) has been investigated with XPS and DFT-MD modeling to determine the molecular-level mechanisms for cleaning and the subsequent nucleation of gate oxide atomic layer deposition (ALD). In situ XPS studies show that for the wet sulfur treatment on GaN(0001), sulfur desorbs at room temperature in vacuum prior to gate oxide deposition. Angle resolved depth profiling XPS post-ALD deposition shows that the a-Al{sub 2}O{sub 3} gate oxide bonds directly to the GaN substrate leaving both the gallium surface atoms and the oxide interfacial atoms with XPS chemical shifts consistent with bulk-like charge.more » These results are in agreement with DFT calculations that predict the oxide/GaN(0001) interface will have bulk-like charges and a low density of band gap states. This passivation is consistent with the oxide restoring the surface gallium atoms to tetrahedral bonding by eliminating the gallium empty dangling bonds on bulk terminated GaN(0001)« less

  19. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  20. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  1. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  2. Metal-organic chemical vapor deposition of N-polar InN quantum dots and thin films on vicinal GaN

    NASA Astrophysics Data System (ADS)

    Lund, Cory; Catalano, Massimo; Wang, Luhua; Wurm, Christian; Mates, Thomas; Kim, Moon; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2018-02-01

    N-polar InN layers were deposited using MOCVD on GaN-on-sapphire templates which were miscut 4° towards the GaN m-direction. For thin layers, quantum dot-like features were spontaneously formed to relieve the strain between the InN and GaN layers. As the thickness was increased, the dots elongated along the step direction before growing outward perpendicular to the step direction and coalescing to form a complete InN layer. XRD reciprocal space maps indicated that the InN films relaxed upon quantum dot formation after nominally 1 nm thick growth, resulting in 5-7 nm tall dots with diameters around 20-50 nm. For thicker layers above 10 nm, high electron mobilities of up to 706 cm2/V s were measured using Hall effect measurements indicating high quality layers.

  3. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  4. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  5. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  6. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  7. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    PubMed

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  8. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  9. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  10. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  11. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  12. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  13. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  14. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  15. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  16. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  17. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  18. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  19. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  20. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth

  1. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  2. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  3. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  4. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  5. In0.15Ga0.85N visible-light metal-semiconductor-metal photodetector with GaN interlayers deposited by pulsed NH3

    NASA Astrophysics Data System (ADS)

    Wang, Hongxia; Zhang, Xiaohan; Wang, Hailong; Lv, Zesheng; Li, Yongxian; Li, Bin; Yan, Huan; Qiu, Xinjia; Jiang, Hao

    2018-05-01

    InGaN visible-light metal-semiconductor-metal photodetectors with GaN interlayers deposited by pulsed NH3 were fabricated and characterized. By periodically inserting the GaN thin interlayers, the surface morphology of InGaN active layer is improved and the phase separation is suppressed. At 5 V bias, the dark current reduced from 7.0 × 10-11 A to 7.0 × 10-13 A by inserting the interlayers. A peak responsivity of 85.0 mA/W was measured at 420 nm and 5 V bias, corresponding to an external quantum efficiency of 25.1%. The insertion of GaN interlayers also lead to a sharper spectral response cutoff.

  6. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  7. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  8. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  9. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  10. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    NASA Astrophysics Data System (ADS)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  11. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  12. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  13. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  14. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  15. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  16. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  17. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  18. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  19. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  20. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  1. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  2. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  3. Fully porous GaN p-n junction diodes fabricated by chemical vapor deposition.

    PubMed

    Bilousov, Oleksandr V; Carvajal, Joan J; Geaney, Hugh; Zubialevich, Vitaly Z; Parbrook, Peter J; Martínez, Oscar; Jiménez, Juan; Díaz, Francesc; Aguiló, Magdalena; O'Dwyer, Colm

    2014-10-22

    Porous GaN based LEDs produced by corrosion etching techniques demonstrated enhanced light extraction efficiency in the past. However, these fabrication techniques require further postgrown processing steps, which increases the price of the final system. Also, the penetration depth of these etching techniques is limited, and affects not only the semiconductor but also the other elements constituting the LED when applied to the final device. In this paper, we present the fabrication of fully porous GaN p-n junctions directly during growth, using a sequential chemical vapor deposition (CVD) process to produce the different layers that form the p-n junction. We characterized their diode behavior from room temperature to 673 K and demonstrated their ability as current rectifiers, thus proving the potential of these fully porous p-n junctions for diode and LEDs applications. The electrical and luminescence characterization confirm that high electronic quality porous structures can be obtained by this method, and we believe this investigation can be extended to other III-N materials for the development of white light LEDs, or to reduce reflection losses and narrowing the output light cone for improved LED external quantum efficiencies.

  4. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  5. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  6. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  7. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  8. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    NASA Astrophysics Data System (ADS)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  9. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  10. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  11. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  12. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  14. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  15. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  16. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  17. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  18. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  19. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods.

    PubMed

    Mohammadi, F; Schmitzer, H; Kunert, G; Hommel, D; Ge, J; Duscher, G; Langbein, W; Wagner, H P

    2017-12-15

    We studied the emission of bare and aluminum quinoline (Alq 3 )/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ∼1.5 μm length and ∼250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq 3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq 3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq 3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  20. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  1. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  2. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  3. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  4. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  5. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  6. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  7. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  8. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  9. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  10. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  11. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  12. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  13. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  14. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M.

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reducedmore » channel thermal conductivity must be considered.« less

  15. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  16. Enhanced characteristics of blue InGaN /GaN light-emitting diodes by using selective activation to modulate the lateral current spreading length

    NASA Astrophysics Data System (ADS)

    Lin, Ray-Ming; Lu, Yuan-Chieh; Chou, Yi-Lun; Chen, Guo-Hsing; Lin, Yung-Hsiang; Wu, Meng-Chyi

    2008-06-01

    We have studied the characteristics of blue InGaN /GaN multiquantum-well light-emitting diodes (LEDs) after reducing the length of the lateral current path through the transparent layer through formation of a peripheral high-resistance current-blocking region in the Mg-doped GaN layer. To study the mechanism of selective activation in the Mg-doped GaN layer, we deposited titanium (Ti), gold (Au), Ti /Au, silver, and copper individually onto the Mg-doped GaN layer and investigated their effects on the hole concentration in the p-GaN layer. The Mg-doped GaN layer capped with Ti effectively depressed the hole concentration in the p-GaN layer by over one order of magnitude relative to that of the as-grown layer. This may suggest that high resistive regions are formed by diffusion of Ti and depth of high resistive region from the p-GaN surface depends on the capped Ti film thickness. Selective activation of the Mg-doped GaN layer could be used to modulate the length of the lateral current path. Furthermore, the external quantum efficiency of the LEDs was improved significantly after reducing the lateral current spreading length. In our best result, the external quantum efficiency was 52.3% higher (at 100mA) than that of the as-grown blue LEDs.

  17. High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.

    PubMed

    Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing

    2013-06-17

    High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.

  18. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  19. Optimization of GaN Nanorod Growth Conditions for Coalescence Overgrowth

    DTIC Science & Technology

    2016-02-04

    GaN core and QW deposition, an NR LED array can be implemented by covering the NRs with a transparent conductor . It has been demonstrated that the...with a transparent conductor . It has been demonstrated that the optical and electrical performances of an NR LED array can be comparable to those of a...a process of buffered oxide etching for removing this SiNx layer on the sidewalls is required before sidewall QW deposition. Nevertheless, the

  20. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  1. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  2. Suppression of the self-heating effect in GaN HEMT by few-layer graphene heat spreading elements

    NASA Astrophysics Data System (ADS)

    Volcheck, V. S.; Stempitsky, V. R.

    2017-11-01

    Self-heating has an adverse effect on characteristics of gallium nitride (GaN) high electron mobility transistors (HEMTs). Various solutions to the problem have been proposed, however, a temperature rise due to dissipated electrical power still hinders the production of high power and high speed GaN devices. In this paper, thermal management of GaN HEMT via few-layer graphene (FLG) heat spreading elements is investigated. It is shown that integration of the FLG elements on top of the device structure considerably reduces the maximum temperature and improves the DC and small signal AC performance.

  3. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  4. Energetics of cubic and hexagonal phases in Mn-doped GaN : First-principles pseudopotential calculations

    NASA Astrophysics Data System (ADS)

    Choi, Eun-Ae; Kang, Joongoo; Chang, K. J.

    2006-12-01

    We perform first-principles pseudopotential calculations to study the influence of Mn doping on the stability of two polytypes, wurtzite and zinc-blende, in GaN . In Mn δ -doped GaN and GaMnN alloys, we find similar critical concentrations of the Mn ions for stabilizing the zinc-blende phase against the wurtzite phase. Using a slab geometry of hexagonal lattices, we find that it is energetically unfavorable to form inversion domains with Mn exposure, in contrast to Mg doping. At the initial stage of epitaxial growth, a stacking fault that leads to the cubic bonds can be generated with the Mn exposure to the Ga-polar surface. However, the influence of the Mn δ -doped layer on the formation of the cubic phase is only effective for GaN layers deposited up to two monolayers. We find that the Mn ions are energetically more stable on the growth front than in the bulk, indicating that these ions act as a surfactant. Thus it is possible to grow cubic GaN if the Mn ions are periodically supplied or diffuse out from the Mn δ -doped layer to the growth front during the growth process.

  5. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Polarity determination of polar and semipolar (112¯2) InN and GaN layers by valence band photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Skuridina, D.; Dinh, D. V.; Lacroix, B.; Ruterana, P.; Hoffmann, M.; Sitar, Z.; Pristovsek, M.; Kneissl, M.; Vogt, P.

    2013-11-01

    We demonstrate that the polarity of polar (0001), (0001¯) and semipolar (112¯2) InN and GaN thin layers can be determined by valence band X-ray photoemission spectroscopy (XPS). The polarity of the layers has been confirmed by wet etching and convergent beam electron diffraction. Unlike these two techniques, XPS is a non-destructive method and unaffected by surface oxidation or roughness. Different intensities of the valence band states in spectra recorded by using AlKα X-ray radiation are observed for N-polar and group-III-polar layers. The highest intensity of the valence band state at ≈3.5 eV for InN and ≈5.2 eV for GaN correlates with the group-III polarity, while the highest intensity at ≈6.7 eV for InN and ≈9.5 eV for GaN correlates with the N-polarity. The difference between the peaks for the group-III- and N-polar orientations was found to be statistically significant at the 0.05 significance level. The polarity of semipolar (112¯2) InN and GaN layers can be determined by recording valence band photoelectrons emitted along the [000 ± 1] direction.

  7. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  8. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  9. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  10. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liliental-Weber, Zuzanna

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  11. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE PAGES

    Liliental-Weber, Zuzanna

    2014-09-08

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  12. Optical and structural characteristics of high indium content InGaN/GaN multi-quantum wells with varying GaN cap layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, J.; Zhao, D. G., E-mail: dgzhao@red.semi.ac.cn; Jiang, D. S.

    2015-02-07

    The optical and structural properties of InGaN/GaN multi-quantum wells (MQWs) with different thicknesses of low temperature grown GaN cap layers are investigated. It is found that the MQW emission energy red-shifts and the peak intensity decreases with increasing GaN cap layer thickness, which may be partly caused by increased floating indium atoms accumulated at quantum well (QW) surface. They will result in the increased interface roughness, higher defect density, and even lead to a thermal degradation of QW layers. An extra growth interruption introduced before the growth of GaN cap layer can help with evaporating the floating indium atoms, andmore » therefore is an effective method to improve the optical properties of high indium content InGaN/GaN MQWs.« less

  13. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  14. Novel approach for III-N on Si (111) templates fabrication by low-temperature PA MBE using porous Si layer

    NASA Astrophysics Data System (ADS)

    Zolotukhin, D.; Seredin, P.; Lenshin, A.; Goloshchapov, D.; Mizerov, A.

    2017-11-01

    We report on successful growth of GaN nanorods by low-temperature plasma-assisted molecular beam epitaxy on a Si(111) substrate with and without preformed thin porous Si layer (por-Si). The deposited GaN initially forms islands which act as a seed for the wires. Porous structure of the por-Si layer helps to control nucleation islands sizes and achieve homogeneous distribution of the nanorods diameters. In addition 850 nm-thick crack-free GaN layer was formed on Si(111) substrate with preformed por-Si layer.

  15. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  16. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  17. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  18. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    NASA Astrophysics Data System (ADS)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  19. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  20. Electroless atomic layer deposition

    DOEpatents

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  1. Optical losses in p-type layers of GaN ridge waveguides in the IR region

    NASA Astrophysics Data System (ADS)

    Westreich, Ohad; Katz, Moti; Atar, Gil; Paltiel, Yossi; Sicron, Noam

    2017-07-01

    Optical losses in c-plane (0001) GaN ridge waveguides, containing Mg-doped layers, were measured at 1064 nm, using the Fabry-Perot method. The losses increase linearly with the modal content of the p-layer, indicating that the absorption in these waveguides is dominated by p-layer absorption. The p-layer absorption is strongly anisotropic with E⊥c losses 4 times higher than E∥c. The absorption is temperature independent between 10 °C and 60 °C, supporting the possibility that it is related to Mg-bound holes.

  2. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigatedmore » through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.« less

  3. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  4. Analysis and characterization of Cu2CdSnS4 quaternary alloy nanostructures deposited on GaN

    NASA Astrophysics Data System (ADS)

    Odeh, Ali Abu; Al-Douri, Y.; Ameri, M.; Bouhemadou, A.

    2018-06-01

    Through using spin coating technique, Cu2CdSnS4 (CCTS) quaternary alloy nanostructures were successfully deposited on GaN substrate using a wide range of spin coating speeds; 1500, 2000, 2500, 3000 and 3500 RPM at annealing temperature 300 °C. The optical properties were investigated through UV-vis which revealed the changing of energy band gap as the spin coating speed increases, in addition, to verify specific models of refractive index and optical dielectric constant. The structural properties were studied by X-ray diffraction which indicated that the number and intensity of the peaks were changed as the spin coating speed changes. The morphological and topographical studies of CCTS were elaborated by field emission-scanning electron microscopy and atomic force microscopy. The obtained results suggest that CCTS nanostructures deposited on GaN substrate are very suitable for optoelectronic applications, that are in accordance with the available theoretical and experimental data.

  5. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  6. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  7. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  8. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  9. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  10. Zinc-blende MnN bilayer formation on the GaN(111) surface

    NASA Astrophysics Data System (ADS)

    Gutierrez-Ojeda, S. J.; Guerrero-Sánchez, J.; Garcia-Diaz, R.; Ramirez-Torres, A.; Takeuchi, Noboru; H. Cocoletzi, Gregorio

    2017-07-01

    Atomic layers of manganese nitride, deposited on the cubic gallium nitride (111) surface, are investigated using spin polarized periodic density functional theory calculations. The adsorption of a manganese atom has been evaluated at different high symmetry sites. Incorporation into the GaN substrate by replacing gallium atoms drives the formation of a site in which the displaced Ga atom forms bonds with Ga atoms at the surface. This energetically favorable configuration shows a ferromagnetic alignment. Surface formation energy calculations demonstrate that when a full Mn ML is incorporated into the GaN structure, a Ga ML on top of a MnN bilayer may be formed for very Ga-rich conditions. On the other hand, when a full Mn ML is deposited on top of the nitrogen terminated surface, an epitaxial MnN bilayer is formed with antiferromagnetic characteristics. Density of states and partial density of states are reported to show the antiferromagnetic alignment in both structures. This behavior is mainly induced by the Mn-d orbitals.

  11. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  12. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  13. Luminescence from defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Morkoç, H.

    2006-04-01

    We briefly review the luminescence properties of defects in GaN and focus on the most interesting defects. In particular, the blue luminescence band peaking at about 3 eV is assigned to different defects and even different types of transitions in undoped, Zn-, C-, and Mg-doped GaN. Another omnipresent luminescence band, the yellow luminescence band may have different origin in nearly dislocation-free freestanding GaN templates, undoped thin layers, and carbon-doped GaN. The Y4 and Y7 lines are caused by recombination at unidentified point defects captured by threading edge dislocations.

  14. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  15. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  16. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  17. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  18. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  19. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  20. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  1. Conductivity based on selective etch for GaN devices and applications thereof

    DOEpatents

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  2. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  3. Strained layer relaxation effect on current crowding and efficiency improvement of GaN based LED

    NASA Astrophysics Data System (ADS)

    Aurongzeb, Deeder

    2012-02-01

    Efficiency droop effect of GaN based LED at high power and high temperature is addressed by several groups based on career delocalization and photon recycling effect(radiative recombination). We extend the previous droop models to optical loss parameters. We correlate stained layer relaxation at high temperature and high current density to carrier delocalization. We propose a third order model and show that Shockley-Hall-Read and Auger recombination effect is not enough to account for the efficiency loss. Several strained layer modification scheme is proposed based on the model.

  4. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    NASA Astrophysics Data System (ADS)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  5. Correlation between mobility collapse and carbon impurities in Si-doped GaN grown by low pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaess, Felix; Mita, Seiji; Xie, Jingqiao; Reddy, Pramod; Klump, Andrew; Hernandez-Balderrama, Luis H.; Washiyama, Shun; Franke, Alexander; Kirste, Ronny; Hoffmann, Axel; Collazo, Ramón; Sitar, Zlatko

    2016-09-01

    In the low doping range below 1 × 1017 cm-3, carbon was identified as the main defect attributing to the sudden reduction of the electron mobility, the electron mobility collapse, in n-type GaN grown by low pressure metalorganic chemical vapor deposition. Secondary ion mass spectroscopy has been performed in conjunction with C concentration and the thermodynamic Ga supersaturation model. By controlling the ammonia flow rate, the input partial pressure of Ga precursor, and the diluent gas within the Ga supersaturation model, the C concentration in Si-doped GaN was controllable from 6 × 1019 cm-3 to values as low as 2 × 1015 cm-3. It was found that the electron mobility collapsed as a function of free carrier concentration, once the Si concentration closely approached the C concentration. Lowering the C concentration to the order of 1015 cm-3 by optimizing Ga supersaturation achieved controllable free carrier concentrations down to 5 × 1015 cm-3 with a peak electron mobility of 820 cm2/V s without observing the mobility collapse. The highest electron mobility of 1170 cm2/V s was obtained even in metalorganic vapor deposition-grown GaN on sapphire substrates by optimizing growth parameters in terms of Ga supersaturation to reduce the C concentration.

  6. Properties of Mg and Zn acceptors in MOVPE GaN as studied by optically detected magnetic resonance

    NASA Astrophysics Data System (ADS)

    Kunzer, M.; Baur, J.; Kaufmann, U.; Schneider, J.; Amano, H.; Akasaki, I.

    1997-02-01

    We have studied the photoluminescence (PL) and optically detected magnetic resonance (ODMR) of undoped, n-doped and p-doped thin wurtzite GaN layers grown by metal-organic chemical vapor deposition on sapphire substrates. The ODMR data obtained for undoped. Mg-doped and Zn-doped GaN layers provide an insight into the recombination mechanisms responsible for the broad yellow (2.25 eV), the violet (3.15 eV) and the blue (2.8 eV) PL bands, respectively. The ODMR results for Mg and Zn also show that these acceptors do not behave effective mass like and indicate that the acceptor hole is mainly localized in the nearest neighbor shell surrounding the acceptor core. In addition concentration effects in heavily doped GaN:Mg have been studied.

  7. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  8. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  9. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Co-adsorption of water and oxygen on GaN: Effects of charge transfer and formation of electron depletion layer.

    PubMed

    Wang, Qi; Puntambekar, Ajinkya; Chakrapani, Vidhya

    2017-09-14

    Species from ambient atmosphere such as water and oxygen are known to affect electronic and optical properties of GaN, but the underlying mechanism is not clearly known. In this work, we show through careful measurement of electrical resistivity and photoluminescence intensity under various adsorbates that the presence of oxygen or water vapor alone is not sufficient to induce electron transfer to these species. Rather, the presence of both water and oxygen is necessary to induce electron transfer from GaN that leads to the formation of an electron depletion region on the surface. Exposure to acidic gases decreases n-type conductivity due to increased electron transfer from GaN, while basic gases increase n-type conductivity and PL intensity due to reduced charge transfer from GaN. These changes in the electrical and optical properties, as explained using a new electrochemical framework based on the phenomenon of surface transfer doping, suggest that gases interact with the semiconductor surface through electrochemical reactions occurring in an adsorbed water layer present on the surface.

  11. Near-ultraviolet micro-Raman study of diamond grown on GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nazari, M., E-mail: m-n79@txstate.edu; Hancock, B. L.; Anderson, J.

    2016-01-18

    Ultraviolet (UV) micro-Raman measurements are reported of diamond grown on GaN using chemical vapor deposition. UV excitation permits simultaneous investigation of the diamond (D) and disordered carbon (DC) comprising the polycrystalline layer. From line scans of a cross-section along the diamond growth direction, the DC component of the diamond layer is found to be highest near the GaN-on-diamond interface and diminish with characteristic length scale of ∼3.5 μm. Transmission electron microscopy (TEM) of the diamond near the interface confirms the presence of DC. Combined micro-Raman and TEM are used to develop an optical method for estimating the DC volume fraction.

  12. Structural and magnetic properties of ultra-thin Fe films on metal-organic chemical vapour deposited GaN(0001)

    NASA Astrophysics Data System (ADS)

    Kim, Jun-Young; Ionescu, Adrian; Mansell, Rhodri; Farrer, Ian; Oehler, Fabrice; Kinane, Christy J.; Cooper, Joshaniel F. K.; Steinke, Nina-Juliane; Langridge, Sean; Stankiewicz, Romuald; Humphreys, Colin J.; Cowburn, Russell P.; Holmes, Stuart N.; Barnes, Crispin H. W.

    2017-01-01

    Structural and magnetic properties of 1-10 nm thick Fe films deposited on GaN(0001) were investigated. In-situ reflecting high energy electron diffraction images indicated a α-Fe(110)/GaN(0001) growth of the 3D Volmer-Weber type. The α-Fe(110) X-ray diffraction peak showed a 1° full-width at half-maximum, indicating ≈20 nm grain sizes. A significant reduction in Fe atomic moment from its bulk value was observed for films thinner than 4 nm. Both GaN/Fe interface roughness and Fe film coercivity increased with Fe thickness, indicating a possible deterioration of Fe crystalline quality. Magnetic anisotropy was mainly uniaxial for all films while hexagonal anisotropies appeared for thicknesses higher than 3.7 nm.

  13. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Erofeev, E. V., E-mail: erofeev@micran.ru; Fedin, I. V.; Kutkov, I. V.

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping levelmore » makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.« less

  14. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  15. Colorful Polar Layered Deposits

    NASA Image and Video Library

    2016-03-23

    The North Polar layered deposits provide a record of recent climate changes on Mars as seen by NASA Mars Reconnaissance Orbiter spacecraft. Color variations between layers are due to differences in composition of the dust.

  16. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  17. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    PubMed Central

    Liao, Yu-Kuang; Liu, Yung-Tsung; Hsieh, Dan-Hua; Shen, Tien-Lin; Hsieh, Ming-Yang; Tzou, An-Jye; Chen, Shih-Chen; Tsai, Yu-Lin; Lin, Wei-Sheng; Chan, Sheng-Wen; Shen, Yen-Ping; Cheng, Shun-Jen; Chen, Chyong-Hua; Wu, Kaung-Hsiung; Chen, Hao-Ming; Kuo, Shou-Yi; Charlton, Martin D. B.; Hsieh, Tung-Po; Kuo, Hao-Chung

    2017-01-01

    Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs) with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD) and chemical bath deposition (CBD) as used by the Cu(In,Ga)Se2 (CIGS) thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase. PMID:28383488

  18. Morphological, Structural and Optical Evolution of Ag Nanostructures on c-Plane GaN Through the Variation of Deposition Amount and Temperature

    NASA Astrophysics Data System (ADS)

    Sui, Mao; Li, Ming-Yu; Pandey, Puran; Zhang, Quanzhen; Kunwar, Sundar; Lee, Jihoon

    2018-03-01

    Owing to their tunable properties, Ag nanostructures have been widely adapted in various applications and the morphological control can determine their performance and effectiveness. In this work, we demonstrate the morphological and optical evolution of Ag nanostructures on GaN (0001) by the systematic control of deposition amount at two distinctive annealing temperatures. Based on the Volmer-Weber and coalescence growth models, the nanostructure growth commenced by the thermal solid-state-dewetting evolve in terms of size, density and configuration. At 450 °C, the round-dome shaped Ag nanoparticles (regime I), irregular Ag nano-mounds (regime II) and void-layer structures (regime III) are observed along with the gradually increased deposition amount. As a sharp distinction, the solid state dewetting process occur more radically at 700 °C and also, the Ag sublimation and the effect on the nanostructure formation are observed in a clear regime shift scaled by the deposition amount. Meanwhile, a strong dependency of reflectance spectra evolution on the Ag nanostructure morphology is witnessed for both sets. In particular, Ag dipolar resonance peaks are significantly red-shifted from VIS to NIR regions along with the nanostructure evolution. The reflectance, PL and Raman intensity variation are also observed and discussed based on the evolution of Ag nanostructures.

  19. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  20. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  1. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  2. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  3. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  4. Single layers and multilayers of GaN and AlN in square-octagon structure: Stability, electronic properties, and functionalization

    NASA Astrophysics Data System (ADS)

    Gürbüz, E.; Cahangirov, S.; Durgun, E.; Ciraci, S.

    2017-11-01

    Further to planar single-layer hexagonal structures, GaN and AlN can also form free-standing, single-layer structures constructed from squares and octagons. We performed an extensive analysis of dynamical and thermal stability of these structures in terms of ab initio finite-temperature molecular dynamics and phonon calculations together with the analysis of Raman and infrared active modes. These single-layer square-octagon structures of GaN and AlN display directional mechanical properties and have wide, indirect fundamental band gaps, which are smaller than their hexagonal counterparts. These density functional theory band gaps, however, increase and become wider upon correction. Under uniaxial and biaxial tensile strain, the fundamental band gaps decrease and can be closed. The electronic and magnetic properties of these single-layer structures can be modified by adsorption of various adatoms, or by creating neutral cation-anion vacancies. The single-layer structures attain magnetic moment by selected adatoms and neutral vacancies. In particular, localized gap states are strongly dependent on the type of vacancy. The energetics, binding, and resulting electronic structure of bilayer, trilayer, and three-dimensional (3D) layered structures constructed by stacking the single layers are affected by vertical chemical bonds between adjacent layers. In addition to van der Waals interaction, these weak vertical bonds induce buckling in planar geometry and enhance their binding, leading to the formation of stable 3D layered structures. In this respect, these multilayers are intermediate between van der Waals solids and wurtzite crystals, offering a wide range of tunability.

  5. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  6. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  7. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  8. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  9. An Introduction to Atomic Layer Deposition

    NASA Technical Reports Server (NTRS)

    Dwivedi, Vivek H.

    2017-01-01

    Atomic Layer Deposition has been instrumental in providing a deposition method for multiple space flight applications. It is well known that ALD is a cost effective nanoadditive-manufacturing technique that allows for the conformal coating of substrates with atomic control in a benign temperature and pressure environment. Through the introduction of paired precursor gases, thin films can be deposited on a myriad of substrates from flat surfaces to those with significant topography. By providing atomic layer control, where single layers of atoms can be deposited, the fabrication of metal transparent films, precise nano-laminates, and coatings of nano-channels, pores and particles is achievable. The feasibility of this technology for NASA line of business applications range from thermal systems, optics, sensors, to environmental protection. An overview of this technology will be presented.

  10. Stress related aspects of GaN technology physics

    NASA Astrophysics Data System (ADS)

    Suhir, Ephraim

    2015-03-01

    Simple, easy-to-use and physically meaningful analytical models have been developed for the assessment of the combined effect of the lattice and thermal mismatch on the induced stresses in an elongated bi-material assembly, as well as on the thermal mismatch on the thermal stresses in a tri-material assembly, in which the lattice mismatched stresses are eliminated in one way or another. This could be done, e.g., by using a polished or an etched substrate. The analysis is carried out in application to Gallium Nitride (GaN)-Silicon Carbide (SiC) and GaN-diamond (C) filmsubstrate assemblies. The calculated data are obtained, assuming that no annealing or other stress reduction means is applied. The data agree reasonably well with the reported (available) in-situ measurements. The most important conclusion from the computed data is that even if a reasonably good lattice match takes place (as, e.g., in the case of a GaN film fabricated on a SiC substrate, when the mismatch strain is only about 3%) and, in addition, the temperature change (from the fabrication/growth temperature to the operation temperature) is significant (as high as 1000 °C), the thermal stresses are still considerably lower than the lattice-mismatch stresses. Although there are structural and technological means for further reduction of the lattice-mismatch stresses (e.g., by high temperature annealing or by providing one or more buffering layers, or by using patterned or porous substrates), there is still a strong incentive to eliminate completely the lattice mismatch stresses. This seems to be indeed possible, if polished or otherwise flattened (e.g., chemically etched) substrates and sputter deposited GaN film is employed. In such a case only thermal stresses remain, but even these could be reduced, if necessary, by using compliant buffering layers, including layers of variable compliance, or by introducing variable compliance into the properly engineered substrate. In any event, it is expected

  11. Effect of Same-Temperature GaN Cap Layer on the InGaN/GaN Multiquantum Well of Green Light-Emitting Diode on Silicon Substrate

    PubMed Central

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow. PMID:24369453

  12. Effect of same-temperature GaN cap layer on the InGaN/GaN multiquantum well of green light-emitting diode on silicon substrate.

    PubMed

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow.

  13. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  14. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  15. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  16. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  17. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  18. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    NASA Astrophysics Data System (ADS)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  19. Method for depositing layers of high quality semiconductor material

    DOEpatents

    Guha, Subhendu; Yang, Chi C.

    2001-08-14

    Plasma deposition of substantially amorphous semiconductor materials is carried out under a set of deposition parameters which are selected so that the process operates near the amorphous/microcrystalline threshold. This threshold varies as a function of the thickness of the depositing semiconductor layer; and, deposition parameters, such as diluent gas concentrations, must be adjusted as a function of layer thickness. Also, this threshold varies as a function of the composition of the depositing layer, and in those instances where the layer composition is profiled throughout its thickness, deposition parameters must be adjusted accordingly so as to maintain the amorphous/microcrystalline threshold.

  20. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    NASA Astrophysics Data System (ADS)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  1. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  2. Polyelectrolyte layer-by-layer deposition in cylindrical nanopores.

    PubMed

    Lazzara, Thomas D; Lau, K H Aaron; Abou-Kandil, Ahmed I; Caminade, Anne-Marie; Majoral, Jean-Pierre; Knoll, Wolfgang

    2010-07-27

    Layer-by-layer (LbL) deposition of polyelectrolytes within nanopores in terms of the pore size and the ionic strength was experimentally studied. Anodic aluminum oxide (AAO) membranes, which have aligned, cylindrical, nonintersecting pores, were used as a model nanoporous system. Furthermore, the AAO membranes were also employed as planar optical waveguides to enable in situ monitoring of the LbL process within the nanopores by optical waveguide spectroscopy (OWS). Structurally well-defined N,N-disubstituted hydrazine phosphorus-containing dendrimers of the fourth generation, with peripherally charged groups and diameters of approximately 7 nm, were used as the model polyelectrolytes. The pore diameter of the AAO was varied between 30-116 nm and the ionic strength was varied over 3 orders of magnitude. The dependence of the deposited layer thickness on ionic strength within the nanopores is found to be significantly stronger than LbL deposition on a planar surface. Furthermore, deposition within the nanopores can become inhibited even if the pore diameter is much larger than the diameter of the G4-polyelectrolyte, or if the screening length is insignificant relative to the dendrimer diameter at high ionic strengths. Our results will aid in the template preparation of polyelectrolyte multilayer nanotubes, and our experimental approach may be useful for investigating theories regarding the partitioning of nano-objects within nanopores where electrostatic interactions are dominant. Furthermore, we show that the enhanced ionic strength dependence of polyelectrolyte transport within the nanopores can be used to selectively deposit a LbL multilayer atop a nanoporous substrate.

  3. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  4. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  5. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  6. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2017-06-27

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves electrochemically exchanging a mediating element on a substrate with a noble metal film by alternatingly sweeping potential in forward and reverse directions for a predetermined number of times in an electrochemical cell. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis.

  7. Nano-scaled Pt/Ag/Ni/Au contacts on p-type GaN for low contact resistance and high reflectivity.

    PubMed

    Kwon, Y W; Ju, I C; Kim, S K; Choi, Y S; Kim, M H; Yoo, S H; Kang, D H; Sung, H K; Shin, K; Ko, C G

    2011-07-01

    We synthesized the vertical-structured LED (VLED) using nano-scaled Pt between p-type GaN and Ag-based reflector. The metallization scheme on p-type GaN for high reflectance and low was the nano-scaled Pt/Ag/Ni/Au. Nano-scaled Pt (5 A) on Ag/Ni/Au exhibited reasonably high reflectance of 86.2% at the wavelength of 460 nm due to high transmittance of light through nano-scaled Pt (5 A) onto Ag layer. Ohmic behavior of contact metal, Pt/Ag/Ni/Au, to p-type GaN was achieved using surface treatments of p-type GaN prior to the deposition of contact metals and the specific contact resistance was observed with decreasing Pt thickness of 5 A, resulting in 1.5 x 10(-4) ohms cm2. Forward voltages of Pt (5 A)/Ag/Ni contact to p-type GaN showed 4.19 V with the current injection of 350 mA. Output voltages with various thickness of Pt showed the highest value at the smallest thickness of Pt due to its high transmittance of light onto Ag, leading to high reflectance. Our results propose that nano-scaled Pt/Ag/Ni could act as a promising contact metal to p-type GaN for improving the performance of VLEDs.

  8. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  9. Characterization of 380nm UV-LEDs grown on free-standing GaN by atmospheric-pressure metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shieh, C. Y.; Li, Z. Y.; Kuo, H. C.; Chang, J. Y.; Chi, G. C.

    2014-03-01

    We reported the defects and optical characterizations of the ultraviolet light-emitting diodes grown on free-standing GaN substrate (FS-GaN) and sapphire. Cross-sectional transmission electron microscopy (TEM) images showed that the total defect densities of grown UV LEDs on FS-GaN and sapphire including edge, screw and mixed type were 3.6×106 cm-2 and 5.5×108 cm-2. When substrate of UV LEDs was changed from sapphire to FS-GaN, it can be clearly found that the crystallography of GaN epilayers was drastically different from that GaN epilayers on sapphire. Besides, the microstructures or indium clustering can be not observed at UV LEDs on FS-GaN from TEM measurement. The internal quantum efficiency of UVLEDs on FS-GaN and sapphire were 34.8 % and 39.4 % respectively, which attributed to indium clustering in multi-layers quantum wells (MQWs) of UV LEDs on sapphire. The relationship between indiumclustering and efficiency droop were investigated by temperature-dependent electroluminescence (TDEL) measurements.

  10. Nanostructure templating using low temperature atomic layer deposition

    DOEpatents

    Grubbs, Robert K [Albuquerque, NM; Bogart, Gregory R [Corrales, NM; Rogers, John A [Champaign, IL

    2011-12-20

    Methods are described for making nanostructures that are mechanically, chemically and thermally stable at desired elevated temperatures, from nanostructure templates having a stability temperature that is less than the desired elevated temperature. The methods comprise depositing by atomic layer deposition (ALD) structural layers that are stable at the desired elevated temperatures, onto a template employing a graded temperature deposition scheme. At least one structural layer is deposited at an initial temperature that is less than or equal to the stability temperature of the template, and subsequent depositions made at incrementally increased deposition temperatures until the desired elevated temperature stability is achieved. Nanostructure templates include three dimensional (3D) polymeric templates having features on the order of 100 nm fabricated by proximity field nanopatterning (PnP) methods.

  11. Study on photoemission surface of varied doping GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Du, Ruijuan; Ding, Huan; Gao, Youtang; Chang, Benkang

    2014-09-01

    For varied doping GaN photocathode, from bulk to surface the doping concentrations are distributed from high to low. The varied doping GaN photocathode may produce directional inside electric field within the material, so the higher quantum efficiency can be obtained. The photoemission surface of varied doping GaN photocathode is very important to the high quantum efficiency, but the forming process of the surface state after Cs activation or Cs/O activation has been not known completely. Encircling the photoemission mechanism of varied GaN photocathode, considering the experiment phenomena during the activation and the successful activation results, the varied GaN photocathode surface model [GaN(Mg):Cs]:O-Cs after activation with cesium and oxygen was given. According to GaN photocathode activation process and the change of electronic affinity, the comparatively ideal NEA property can be achieved by Cs or Cs/O activation, and higher quantum efficiency can be obtained. The results show: The effective NEA characteristic of GaN can be gotten only by Cs. [GaN(Mg):Cs] dipoles form the first dipole layer, the positive end is toward the vacuum side. In the activation processing with Cs/O, the second dipole layer is formed by O-Cs dipoles, A O-Cs dipole includes one oxygen atom and two Cs atoms, and the positive end is also toward the vacuum side thus the escape of electrons can be promoted.

  12. Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties

    DTIC Science & Technology

    2010-04-01

    observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b

  13. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    DOE PAGES

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; ...

    2014-11-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing,more » between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection.« less

  14. Atomic and molecular layer deposition for surface modification

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi; Sievänen, Jenni; Salo, Erkki

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjetmore » printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.« less

  15. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  16. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  17. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  18. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    NASA Astrophysics Data System (ADS)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  19. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  20. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  1. Size dictated thermal conductivity of GaN

    NASA Astrophysics Data System (ADS)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  2. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi

    2015-08-15

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originatedmore » from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices.« less

  3. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    NASA Astrophysics Data System (ADS)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  4. Metal deposition using seed layers

    DOEpatents

    Feng, Hsein-Ping; Chen, Gang; Bo, Yu; Ren, Zhifeng; Chen, Shuo; Poudel, Bed

    2013-11-12

    Methods of forming a conductive metal layers on substrates are disclosed which employ a seed layer to enhance bonding, especially to smooth, low-roughness or hydrophobic substrates. In one aspect of the invention, the seed layer can be formed by applying nanoparticles onto a surface of the substrate; and the metallization is achieved by electroplating an electrically conducting metal onto the seed layer, whereby the nanoparticles serve as nucleation sites for metal deposition. In another approach, the seed layer can be formed by a self-assembling linker material, such as a sulfur-containing silane material.

  5. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  6. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  7. Inversion by metalorganic chemical vapor deposition from N- to Ga-polar gallium nitride and its application to multiple quantum well light-emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hosalli, A. M.; Van Den Broeck, D. M.; Bedair, S. M.

    2013-12-02

    We demonstrate a metalorganic chemical vapor deposition growth approach for inverting N-polar to Ga-polar GaN by using a thin inversion layer grown with high Mg flux. The introduction of this inversion layer allowed us to grow p-GaN films on N-polar GaN thin film. We have studied the dependence of hole concentration, surface morphology, and degree of polarity inversion for the inverted Ga-polar surface on the thickness of the inversion layer. We then use this approach to grow a light emitting diode structure which has the MQW active region grown on the advantageous N-polar surface and the p-layer grown on themore » inverted Ga-polar surface.« less

  8. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  9. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  10. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  11. Size dictated thermal conductivity of GaN

    DOE PAGES

    Thomas Edwin Beechem; McDonald, Anthony E.; Fuller, Elliot James; ...

    2016-04-01

    The thermal conductivity on n- and p-type doped gallium nitride (GaN) epilayers having thickness of 3-4 μm was investigated using time domain thermoreflectance (TDTR). Despite possessing carrier concentrations ranging across 3 decades (10 15 – 10 18 cm –3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends–and their overall reduction relative to bulk–are explained leveraging established scattering models where it is shown that size effects play a primary role in limiting thermal conductivity for layers even tens ofmore » microns thick. GaN device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.« less

  12. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  13. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    NASA Astrophysics Data System (ADS)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  14. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  15. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  16. Graphene oxide assisted synthesis of GaN nanostructures for reducing cell adhesion.

    PubMed

    Yang, Rong; Zhang, Ying; Li, Jingying; Han, Qiusen; Zhang, Wei; Lu, Chao; Yang, Yanlian; Dong, Hongwei; Wang, Chen

    2013-11-21

    We report a general approach for the synthesis of large-scale gallium nitride (GaN) nanostructures by the graphene oxide (GO) assisted chemical vapor deposition (CVD) method. A modulation effect of GaN nanostructures on cell adhesion has been observed. The morphology of the GaN surface can be controlled by GO concentrations. This approach, which is based on the predictable choice of the ratio of GO to catalysts, can be readily extended to the synthesis of other materials with controllable nanostructures. Cell studies show that GaN nanostructures reduced cell adhesion significantly compared to GaN flat surfaces. The cell-repelling property is related to the nanostructure and surface wettability. These observations of the modulation effect on cell behaviors suggest new opportunities for novel GaN nanomaterial-based biomedical devices. We believe that potential applications will emerge in the biomedical and biotechnological fields.

  17. Topography and stratigraphy of Martian polar layered deposits

    NASA Technical Reports Server (NTRS)

    Blasius, K. R.; Cutts, J. A.; Howard, A. D.

    1982-01-01

    The first samples of high resolution Viking Orbiter topographic and stratigraphic data for the layered polar deposits of Mars are presented, showing that these deposits are with respect to both slopes and angular relief similar to those in the south. It is also demonstrated that, in conjunction with stereophotogrammetry, photoclinometry holds promise as a tool for detailed layered deposit studies. The spring season photography, which lends itself to photoclinometric analysis, covers the entire area of the north polar deposits. Detailed tests of layered terrain evolution hypotheses will be made, upon refinement of the data by comparison with stereo data. A more promising refining technique will make use of averaging perpendicular to selected sections to enhance SNR. Local reliefs of 200-800 m, and slopes of 1-8 deg, lead to initial calculations of average layer thickness which yields results of 14-46 m, linearly correlated with slope.

  18. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  19. Dual ion beam assisted deposition of biaxially textured template layers

    DOEpatents

    Groves, James R.; Arendt, Paul N.; Hammond, Robert H.

    2005-05-31

    The present invention is directed towards a process and apparatus for epitaxial deposition of a material, e.g., a layer of MgO, onto a substrate such as a flexible metal substrate, using dual ion beams for the ion beam assisted deposition whereby thick layers can be deposited without degradation of the desired properties by the material. The ability to deposit thicker layers without loss of properties provides a significantly broader deposition window for the process.

  20. Influence of surface hydroxylation on 3-aminopropyltriethoxysilane growth mode during chemical functionalization of GaN Surfaces: an angle-resolved X-ray photoelectron spectroscopy Study.

    PubMed

    Arranz, A; Palacio, C; García-Fresnadillo, D; Orellana, G; Navarro, A; Muñoz, E

    2008-08-19

    A comparative study of the chemical functionalization of undoped, n- and p-type GaN layers grown on sapphire substrates by metal-organic chemical vapor deposition was carried out. Both types of samples were chemically functionalized with 3-aminopropyltriethoxysilane (APTES) using a well-established silane-based approach for functionalizing hydroxylated surfaces. The untreated surfaces as well as those modified by hydroxylation and APTES deposition were analyzed using angle-resolved X-ray photoelectron spectroscopy. Strong differences were found between the APTES growth modes on n- and p-GaN surfaces that can be associated with the number of available hydroxyl groups on the GaN surface of each sample. Depending on the density of surface hydroxyl groups, different mechanisms of APTES attachment to the GaN surface take place in such a way that the APTES growth mode changes from a monolayer to a multilayer growth mode when the number of surface hydroxyl groups is decreased. Specifically, a monolayer growth mode with a surface coverage of approximately 78% was found on p-GaN, whereas the formation of a dense film, approximately 3 monolayers thick, was observed on n-GaN.

  1. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  2. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  3. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  4. Atomic layer deposition of nanoporous biomaterials.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narayan, R. J.; Adiga, S. P.; Pellin, M. J.

    2010-03-01

    Due to its chemical stability, uniform pore size, and high pore density, nanoporous alumina is being investigated for use in biosensing, drug delivery, hemodialysis, and other medical applications. In recent work, we have examined the use of atomic layer deposition for coating the surfaces of nanoporous alumina membranes. Zinc oxide coatings were deposited on nanoporous alumina membranes using atomic layer deposition. The zinc oxide-coated nanoporous alumina membranes demonstrated antimicrobial activity against Escherichia coli and Staphylococcus aureus bacteria. These results suggest that atomic layer deposition is an attractive technique for modifying the surfaces of nanoporous alumina membranes and other nanostructured biomaterials.more » Nanoporous alumina, also known as anodic aluminum oxide (AAO), is a nanomaterial that exhibits several unusual properties, including high pore densities, straight pores, small pore sizes, and uniform pore sizes. In 1953, Keller et al. showed that anodizing aluminum in acid electrolytes results in a thick layer of nearly cylindrical pores, which are arranged in a close-packed hexagonal cell structure. More recently, Matsuda & Fukuda demonstrated preparation of highly ordered platinum and gold nanohole arrays using a replication process. In this study, a negative structure of nanoporous alumina was initially fabricated and a positive structure of a nanoporous metal was subsequently fabricated. Over the past fifteen years, nanoporous alumina membranes have been used as templates for growth of a variety of nanostructured materials, including nanotubes, nanowires, nanorods, and nanoporous membranes.« less

  5. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    NASA Astrophysics Data System (ADS)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  6. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  7. Vertical GaN power diodes with a bilayer edge termination

    DOE PAGES

    Dickerson, Jeramy R.; Allerman, Andrew A.; Bryant, Benjamin N.; ...

    2015-12-07

    Vertical GaN power diodes with a bilayer edge termination (ET) are demonstrated. The GaN p-n junction is formed on a low threading dislocation defect density (10 4 - 10 5 cm -2) GaN substrate, and has a 15-μm-thick n-type drift layer with a free carrier concentration of 5 × 10 15 cm -3. The ET structure is formed by N implantation into the p+-GaN epilayer just outside the p-type contact to create compensating defects. The implant defect profile may be approximated by a bilayer structure consisting of a fully compensated layer near the surface, followed by a 90% compensated (p)more » layer near the n-type drift region. These devices exhibit avalanche breakdown as high as 2.6 kV at room temperature. In addition simulations show that the ET created by implantation is an effective way to laterally distribute the electric field over a large area. This increases the voltage at which impact ionization occurs and leads to the observed higher breakdown voltages.« less

  8. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  9. Hydroquinone-ZnO nano-laminate deposited by molecular-atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Huang, Jie; Lucero, Antonio T.; Cheng, Lanxia; Hwang, Hyeon Jun; Ha, Min-Woo; Kim, Jiyoung

    2015-03-01

    In this study, we have deposited organic-inorganic hybrid semiconducting hydroquinone (HQ)/zinc oxide (ZnO) superlattices using molecular-atomic layer deposition, which enables accurate control of film thickness, excellent uniformity, and sharp interfaces at a low deposition temperature (150 °C). Self-limiting growth of organic layers is observed for the HQ precursor on ZnO surface. Nano-laminates were prepared by varying the number of HQ to ZnO cycles in order to investigate the physical and electrical effects of different HQ to ZnO ratios. It is indicated that the addition of HQ layer results in enhanced mobility and reduced carrier concentration. The highest Hall mobility of approximately 2.3 cm2/V.s and the lowest n-type carrier concentration of approximately 1.0 × 1018/cm3 were achieved with the organic-inorganic superlattice deposited with a ratio of 10 ZnO cycles to 1 HQ cycle. This study offers an approach to tune the electrical transport characteristics of ALD ZnO matrix thin films using an organic dopant. Moreover, with organic embedment, this nano-laminate material may be useful for flexible electronics.

  10. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  11. On the Edge of the South Pole Layered Deposit

    NASA Image and Video Library

    2016-10-05

    This image shows the edge of the Martian South Polar layered deposit. The stack of fine layering is highlighted by the rays of the polar sun. These layers show the pervasive red coloring of Mars which have built up over the ages. While this is a polar deposit, no ice or frost is visible on these layers, as they face the sun. However, if you look beyond the rim of the layered slope at the 'top' of the deposit, you can see that red rock and dust are covered with frost, as well as small radial channels that are evidence of polar spider networks. http://photojournal.jpl.nasa.gov/catalog/PIA21105

  12. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  13. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  14. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  15. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  16. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  17. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  18. Weathering and erosion of the polar layered deposits on Mars

    NASA Technical Reports Server (NTRS)

    Herkenhoff, K. E.

    1990-01-01

    The Martial polar layered deposits are widely believed to be composed of water ice and silicates, but the relative amount of each component is unknown. The conventional wisdom among Mars researchers is that the deposits were formed by periodic variations in the deposition of dust and ice caused by climate changes over the last 10 to 100 million years. It is assumed here that water ice is an important constituent of the layered deposits, that the deposits were formed by eolian processes, and that the origin and evolution of the north and south polar deposits were similar. Weathering of the layered deposits by sublimation of water ice can account for the geologic relationships in the polar regions. The nonvolatile components of the layered deposits appears to consist mainly of bright red dust, with small amounts of dark dust or sand. Dark dust, perhaps similar to the magnetic material found at the Viking Lander sites, may perferentially form filamentary residue particles upon weathering of the deposits. Once eroded, these particles may saltate to form the dark dunes found in both polar regions.

  19. Reactor design rules for GaN epitaxial layer growths on sapphire in metal-organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Kim, Keunjoo; Noh, Sam Kyu

    2000-08-01

    The thermal process of the growth of GaN-based semiconductors was analysed for two home-made horizontal reactors. The reactors were designed to make the ammonia gas flow in the opposite direction to the main gas flow. For two horizontal reactors different in dimension, the low Reynolds numbers of Re = 2.94 and 4.15 were chosen for stable laminar flow and the Rayleigh numbers governing the heat convection were optimized to the values of Ra = 6.0 and 76.2, respectively. The qualities of GaN and InGaN films were characterized by Hall effect measurement, x-ray diffraction and photoluminescence and compared with respect to the reactor dependency.

  20. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  1. Droplet heteroepitaxy of zinc-blende vs. wurtzite GaN quantum dots

    NASA Astrophysics Data System (ADS)

    Reese, C.; Jeon, S.; Hill, T.; Jones, C.; Shusterman, S.; Yacoby, Y.; Clarke, R.; Deng, H.; Goldman, Rs

    We have developed a GaN droplet heteroepitaxy process based upon plasma-assisted molecular-beam epitaxy. Using various surface treatments and Ga deposition parameters, we have demonstrated polycrystalline, zinc-blende (ZB), and wurtzite (WZ) GaN quantum dots (QDs) on Si(001), r-Al2O3, Si(111), and c-GaN substrates. For the polar substrates (i.e. Si(111) and c-GaN), high-resolution transmission electron microscopy and coherent Bragg rod analysis reveals the formation of coherent WZ GaN QDs with nitridation-temperature-dependent sizes and densities. For the non-polar substrates (i.e. Si(001) and r-Al2O3) , QDs with strong near-band photoluminescence emission are observed and ZB GaN QD growth on Si(001) is demonstrated for the first time.

  2. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  3. Study on GaN nanostructures: Growth and the suppression of the yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, Ting; Chen, Fei; Ji, Xiaohong; Zhang, Qinyuan

    2018-07-01

    GaN nanostructures were synthesized via a simple chemical vapor deposition using Ga2O3 and NH3 as precursors. Structural and morphological properties were systematically characterized by field emission scanning electron microscopy, X-ray diffractometer, transmission electron microscopy, and Raman spectroscopy. The configuration of GaN nanostructures was found to be strongly dependent on the growth temperature and the NH3 flow rate. Photoluminescence analysis revealed that all the fabricated GaN NSs exhibited a strong ultra-violet emission (∼364 nm), and the yellow emission of GaN nanorods can be suppressed at appropriate III/V ratio. The suppression of the yellow emission was attributed to the low density of surface or the VGa defect. The work demonstrates that the GaN nanostructures have potential applications in the optoelectronic and nanoelectronic devices.

  4. Process for depositing Cr-bearing layer

    DOEpatents

    Ellis, Timothy W.; Lograsso, Thomas A.; Eshelman, Mark A.

    1995-05-09

    A method of applying a Cr-bearing layer to a substrate, comprises introducing an organometallic compound, in vapor or solid powder form entrained in a carrier gas to a plasma of an inductively coupled plasma torch or device to thermally decompose the organometallic compound and contacting the plasma and the substrate to be coated so as to deposit the Cr-bearing layer on the substrate. A metallic Cr, Cr alloy or Cr compound such as chromium oxide, nitride and carbide can be provided on the substrate. Typically, the organometallic compound is introduced to an inductively coupled plasma torch that is disposed in ambient air so to thermally decompose the organometallic compound in the plasma. The plasma is directed at the substrate to deposit the Cr-bearing layer or coating on the substrate.

  5. Process for depositing Cr-bearing layer

    DOEpatents

    Ellis, T.W.; Lograsso, T.A.; Eshelman, M.A.

    1995-05-09

    A method of applying a Cr-bearing layer to a substrate, comprises introducing an organometallic compound, in vapor or solid powder form entrained in a carrier gas to a plasma of an inductively coupled plasma torch or device to thermally decompose the organometallic compound and contacting the plasma and the substrate to be coated so as to deposit the Cr-bearing layer on the substrate. A metallic Cr, Cr alloy or Cr compound such as chromium oxide, nitride and carbide can be provided on the substrate. Typically, the organometallic compound is introduced to an inductively coupled plasma torch that is disposed in ambient air so to thermally decompose the organometallic compound in the plasma. The plasma is directed at the substrate to deposit the Cr-bearing layer or coating on the substrate. 7 figs.

  6. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  7. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  8. Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Wonjong; Cho, Gu Young; Noh, Seungtak

    2015-01-15

    An ultrathin yttria-stabilized zirconia (YSZ) blocking layer deposited by atomic layer deposition (ALD) was utilized for improving the performance and reliability of low-temperature solid oxide fuel cells (SOFCs) supported by an anodic aluminum oxide substrate. Physical vapor-deposited YSZ and gadolinia-doped ceria (GDC) electrolyte layers were deposited by a sputtering method. The ultrathin ALD YSZ blocking layer was inserted between the YSZ and GDC sputtered layers. To investigate the effects of an inserted ultrathin ALD blocking layer, SOFCs with and without an ultrathin ALD blocking layer were electrochemically characterized. The open circuit voltage (1.14 V) of the ALD blocking-layered SOFC was visiblymore » higher than that (1.05 V) of the other cell. Furthermore, the ALD blocking layer augmented the power density and improved the reproducibility.« less

  9. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  10. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  11. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  12. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  13. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  14. Codoping characteristics of Zn with Mg in GaN

    NASA Astrophysics Data System (ADS)

    Kim, K. S.; Han, M. S.; Yang, G. M.; Youn, C. J.; Lee, H. J.; Cho, H. K.; Lee, J. Y.

    2000-08-01

    The doping characteristics of Mg-Zn codoped GaN films grown by metalorganic chemical vapor deposition are investigated. By means of the concept of Mg-Zn codoping technique, we have grown p-GaN showing a low electrical resistivity (0.72 Ω cm) and a high hole concentration (8.5×1017cm-3) without structural degradation of the film. It is thought that the codoping of Zn atoms with Mg raises the Mg activation ratio by reducing the hydrogen solubility in p-GaN. In addition, the measured specific contact resistance of Mg-Zn codoped GaN film is 5.0×10-4 Ω cm2, which is one order of magnitude lower than that of Mg doped only GaN film (1.9×10-3 Ω cm2).

  15. Deposits of the Peruvian Pisco Formation compared to layered deposits on Mars

    NASA Astrophysics Data System (ADS)

    Sowe, M.; Bishop, J. L.; Gross, C.; Walter, S.

    2013-09-01

    Deposits of the Peruvian Pisco Formation are morphologically similar to the mounds of Juventae Chasma at the equatorial region on Mars (Fig. 1). By analyzing these deposits, we hope to gain information about the environmental conditions that prevailed during sediment deposition and erosion, hence conditions that might be applicable to the Martian layered and hydrated deposits. Mariner 9 data of the Martian mid-latitudes have already shown evidence of the wind-sculptured landforms that display the powerful prevailing eolian regime [1]. In addition, [2] reported on similarities between Martian erosional landforms and those of the rainless coastal desert of central Peru from the Paracas peninsula to the Rio Ica. As indicated by similar erosional patterns, hyper-arid conditions and unidirectional winds must have dominated at least after deposition of the sediments, which are intermixed volcaniclastic materials and evaporate minerals at both locations. Likewise, variations in composition are displayed by alternating layers of different competence. The Pisco formation bears yardangs on siltstones, sandstones and clays with volcaniclastic admixtures [3] whereas the presence of sulphate minerals and the omnipresent mafic mineralogy has been reported for the layered mounds of Juventae Chasma equally [4]. Likewise, a volcanic airfall deposition and lacustrine formation have been proposed for the sulphate-rich deposits of Juventae Chasma [5,6]. In order to find out about potential spectral similarities, we performed a detailed spectral analysis of the surface by using LANDSAT and Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) VNIR/ SWIR data (visible to near-infrared and shortwave infrared region).

  16. Inkjet Deposition of Layer by Layer Assembled Films

    PubMed Central

    Andres, Christine M.; Kotov, Nicholas A.

    2010-01-01

    Layer-by-layer assembly (LBL) can create advanced composites with exceptional properties unavailable by other means, but the laborious deposition process and multiple dipping cycles hamper their utilization in microtechnologies and electronics. Multiple rinse steps provide both structural control and thermodynamic stability to LBL multilayers but they significantly limit their practical applications and contribute significantly to the processing time and waste. Here we demonstrate that by employing inkjet technology one can deliver the necessary quantities of LBL components required for film build-up without excess, eliminating the need for repetitive rinsing steps. This feature differentiates this approach from all other recognized LBL modalities. Using a model system of negatively charged gold nanoparticles and positively charged poly(diallyldimethylammonium) chloride, the material stability, nanoscale control over thickness and particle coverage offered by the inkjet LBL technique are shown to be equal or better than the multilayers made with traditional dipping cycles. The opportunity for fast deposition of complex metallic patterns using a simple inkjet printer was also shown. The additive nature of LBL deposition based on the formation of insoluble nanoparticle-polyelectrolyte complexes of various compositions provides an excellent opportunity for versatile, multi-component, and non-contact patterning for the simple production of stratified patterns that are much needed in advanced devices. PMID:20863114

  17. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  18. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  19. Tellurium n-type doping of highly mismatched amorphous GaN 1-xAs x alloys in plasma-assisted molecular beam epitaxy

    DOE PAGES

    Novikov, S. V.; Ting, M.; Yu, K. M.; ...

    2014-10-01

    In this paper we report our study on n-type Te doping of amorphous GaN 1-xAs x layers grown by plasma-assisted molecular beam epitaxy. We have used a low temperature PbTe source as a source of tellurium. Reproducible and uniform tellurium incorporation in amorphous GaN 1-xAs x layers has been successfully achieved with a maximum Te concentration of 9×10²⁰ cm⁻³. Tellurium incorporation resulted in n-doping of GaN 1-xAs x layers with Hall carrier concentrations up to 3×10¹⁹ cm⁻³ and mobilities of ~1 cm²/V s. The optimal growth temperature window for efficient Te doping of the amorphous GaN 1-xAs x layers hasmore » been determined.« less

  20. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  1. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  2. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery.

    PubMed

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-10-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10(15) cm(-3), by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  4. Atomic layer deposition of alternative glass microchannel plates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstratedmore » due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)« less

  5. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  6. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  7. Stratigraphy and erosional landforms of layered deposits in Valles Marineris, Mars

    NASA Technical Reports Server (NTRS)

    Komatsu, G.; Geissler, P. E.; Strom, R. G.; Singer, R. B.

    1993-01-01

    Satellite imagery is used to identify stratigraphy and erosional landforms of 13 layered deposits in the Valles Marineris region of Mars (occurring, specifically, in Gangis, Juventae, Hebes, Ophir-Candor, Melas, and Capri-Eos Chasmata), based on albedo and erosional styles. Results of stratigraphic correlations show that the stratigraphy of layered deposits in the Hebes, Juventae, and Gangis Chasmata are not well correlated, indicating that at least these chasmata had isolated depositional environments resulting in different stratigraphic sequences. On the other hand, the layered deposits in Ophir-Candor and Melas Chasmata appear to have been connected in each chasma. Some of the layered deposits display complexities which indicate changes in space and time in the dominant source materials.

  8. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  9. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  10. Influence of the AlN nucleation layer on the properties of AlGaN/GaN heterostructure on Si (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu

    2018-07-01

    AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.

  11. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  12. Process for ion-assisted laser deposition of biaxially textured layer on substrate

    DOEpatents

    Russo, Richard E.; Reade, Ronald P.; Garrison, Stephen M.; Berdahl, Paul

    1995-01-01

    A process for depositing a biaxially aligned intermediate layer over a non-single crystal substrate is disclosed which permits the subsequent deposition thereon of a biaxially oriented superconducting film. The process comprises depositing on a substrate by laser ablation a material capable of being biaxially oriented and also capable of inhibiting the migration of substrate materials through the intermediate layer into such a superconducting film, while simultaneously bombarding the substrate with an ion beam. In a preferred embodiment, the deposition is carried out in the same chamber used to subsequently deposit a superconducting film over the intermediate layer. In a further aspect of the invention, the deposition of the superconducting layer over the biaxially oriented intermediate layer is also carried out by laser ablation with optional additional bombardment of the coated substrate with an ion beam during the deposition of the superconducting film.

  13. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  14. Layered Mantling Deposits in the Northern Mid-Latitudes

    NASA Image and Video Library

    2017-02-22

    Ice-rich mantling deposits accumulate from the atmosphere in the Martian mid-latitudes in cycles during periods of high obliquity (axial tilt), as recently as several million years ago. These deposits accumulate over cycles in layers, and here in the southern mid-latitudes, where the deposits have mostly eroded away due to warmer temperatures, small patches of the remnant layered deposits can still be observed. The map is projected here at a scale of 25 centimeters (9.8 inches) per pixel. [The original image scale is 29.5 centimeters (11.6 inches) per pixel (with 1 x 1 binning); objects on the order of 89 centimeters (35 inches) across are resolved.] North is up. http://photojournal.jpl.nasa.gov/catalog/PIA21462

  15. Study on the structural, optical, and electrical properties of the yellow light-emitting diode grown on free-standing (0001) GaN substrate

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, GaN-based yellow light-emitting diodes (LEDs) were homoepitaxially grown on free-standing (0001) GaN substrates by metal-organic chemical vapor deposition. X-ray diffraction (XRD), photoluminescence (PL), and electroluminescence (EL) measurements were conducted to investigate the structural, optical, and electrical properties of the yellow LED. The XRD measurement results showed that the InGaN/GaN multiple quantum wells (MQWs) in the LED structure have good periodicity because the distinct MQWs related higher order satellite peaks can be clearly observed from the profile of 2θ-ω XRD scan. The low temperature (10 K) and room temperature PL measurement results yield an internal quantum efficiency of 16% for the yellow LED. The EL spectra of the yellow LED present well Gaussian distribution with relatively low linewidth (47-55 nm), indicating the homogeneous In-content in the InGaN quantum well layers in the yellow LED structure. It is believed that this work will aid in the future development of GaN on GaN LEDs with long emission wavelength.

  16. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    NASA Technical Reports Server (NTRS)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  17. Process for ion-assisted laser deposition of biaxially textured layer on substrate

    DOEpatents

    Russo, R.E.; Reade, R.P.; Garrison, S.M.; Berdahl, P.

    1995-07-11

    A process for depositing a biaxially aligned intermediate layer over a non-single crystal substrate is disclosed which permits the subsequent deposition thereon of a biaxially oriented superconducting film. The process comprises depositing on a substrate by laser ablation a material capable of being biaxially oriented and also capable of inhibiting the migration of substrate materials through the intermediate layer into such a superconducting film, while simultaneously bombarding the substrate with an ion beam. In a preferred embodiment, the deposition is carried out in the same chamber used to subsequently deposit a superconducting film over the intermediate layer. In a further aspect of the invention, the deposition of the superconducting layer over the biaxially oriented intermediate layer is also carried out by laser ablation with optional additional bombardment of the coated substrate with an ion beam during the deposition of the superconducting film. 8 figs.

  18. Deuterium retention and release behaviours of tungsten and deuterium co-deposited layers

    NASA Astrophysics Data System (ADS)

    Qiao, L.; Zhang, H. W.; Xu, J.; Chai, L. Q.; Hu, M.; Wang, P.

    2018-04-01

    Tungsten (W) layer deposited in argon and deuterium atmosphere by magnetron sputtering was used as a model system to study the deuterium (D) retention and release behavior in co-deposited W layer. After deposition several selected samples were exposed in deuterium plasma at 370 K with a flux of 4.0 × 1021 D/(m2 s) up to a fluence of 1.1 × 1025 D/m2. Structures of co-deposited W layers are investigated by field-emission scanning electron microscopy (FESEM) and X-ray diffraction (XRD), and the corresponding D retention and release behaviors are studied as functions of deposition and exposure parameters using thermal desorption spectroscopy (TDS). Two main D release peaks were detected from TDS spectra located near 600 and 800 K in these W and D co-deposited layers, and total deuterium retention increased linearly as a function of W layer's thickness. After deuterium plasma exposure, the total D retention amount in W layer increases significantly and D release peak shifts to lower temperature. Clearly, despite the high density of defects expected in co-deposited W layers, the initial deuterium retention before exposure to the deuterium plasma is low even for the samples with a W&D layer. But due to the high densities of defects, during the deuterium plasma exposure the deuterium retention increases faster for co-deposited layer than for the bulk W sample.

  19. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  20. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  1. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  2. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  3. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    NASA Astrophysics Data System (ADS)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  4. Characterization of nano-porosity in molecular layer deposited films.

    PubMed

    Perrotta, Alberto; Poodt, Paul; van den Bruele, F J Fieke; Kessels, W M M Erwin; Creatore, Mariadriana

    2018-06-12

    Molecular layer deposition (MLD) delivers (ultra-) thin organic and hybrid materials, with atomic-level thickness control. However, such layers are often reported to be unstable under ambient conditions, due to the interaction of water and oxygen with the hybrid structure, consequently limiting their applications. In this contribution, we investigate the impact of porosity in MLD layers on their degradation. Alucone layers were deposited by means of trimethylaluminium and ethylene glycol, adopting both temporal and spatial MLD and characterized by means of FT-IR spectroscopy, spectroscopic ellipsometry, and ellipsometric porosimetry. The highest growth per cycle (GPC) achieved by spatial MLD resulted in alucone layers with very low stability in ambient air, leading to their conversion to AlOx. Alucones deposited by means of temporal MLD, instead, showed a lower GPC and a higher ambient stability. Ellipsometric porosimetry showed the presence of open nano-porosity in pristine alucone layers. Pores with a diameter in the range of 0.42-2 nm were probed, with a relative content between 1.5% and 5%, respectively, which are attributed to the temporal and spatial MLD layers. We concluded that a correlation exists between the process GPC, the open-porosity relative content, and the degradation of alucone layers.

  5. Synthesis and excellent field emission properties of three-dimensional branched GaN nanowire homostructures

    NASA Astrophysics Data System (ADS)

    Li, Enling; Sun, Lihe; Cui, Zhen; Ma, Deming; Shi, Wei; Wang, Xiaolin

    2016-10-01

    Three-dimensional branched GaN nanowire homostructures have been synthesized on the Si substrate via a two-step approach by chemical vapor deposition. Structural characterization reveals that the single crystal GaN nanowire trunks have hexagonal wurtzite characteristics and grow along the [0001] direction, while the homoepitaxial single crystal branches grow in a radial direction from the six-sided surfaces of the trunks. The field emission measurements demonstrate that the branched GaN nanowire homostructures have excellent field emission properties, with low turn-on field at 2.35 V/μm, a high field enhancement factor of 2938, and long emission current stability. This indicates that the present branched GaN nanowire homostructures will become valuable for practical field emission applications.

  6. Thermal functionalization of GaN surfaces with 1-alkenes.

    PubMed

    Schwarz, Stefan U; Cimalla, Volker; Eichapfel, Georg; Himmerlich, Marcel; Krischok, Stefan; Ambacher, Oliver

    2013-05-28

    A thermally induced functionalization process for gallium nitride surfaces with 1-alkenes is introduced. The resulting functionalization layers are characterized with atomic force microscopy and X-ray photoelectron spectroscopy and compared to reference samples without and with a photochemically generated functionalization layer. The resulting layers show very promising characteristics as functionalization for GaN based biosensors. On the basis of the experimental results, important characteristics of the functionalization layers are estimated and a possible chemical reaction scheme is proposed.

  7. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  8. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  9. Method of depositing a high-emissivity layer

    DOEpatents

    Wickersham, Charles E.; Foster, Ellis L.

    1983-01-01

    A method of depositing a high-emissivity layer on a substrate comprising RF sputter deposition of a carbide-containing target in an atmosphere of a hydrocarbon gas and a noble gas. As the carbide is deposited on the substrate the hydrocarbon gas decomposes to hydrogen and carbon. The carbon deposits on the target and substrate causing a carbide/carbon composition gradient to form on the substrate. At a sufficiently high partial pressure of hydrocarbon gas, a film of high-emissivity pure carbon will eventually form over the substrate.

  10. ON AERODYNAMIC AND BOUNDARY LAYER RESISTANCES WITHIN DRY DEPOSITION MODELS

    EPA Science Inventory

    There have been many empirical parameterizations for the aerodynamic and boundary layer resistances proposed in the literature, e.g. those of the Meyers Multi-Layer Deposition Model (MLM) used with the nation-wide dry deposition network. Many include arbitrary constants or par...

  11. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  12. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  13. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  14. Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers.

    PubMed

    Andringa, Anne-Marije; Perrotta, Alberto; de Peuter, Koen; Knoops, Harm C M; Kessels, Wilhelmus M M; Creatore, Mariadriana

    2015-10-14

    Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells, and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low-temperature plasma-assisted atomic layer deposition (ALD) approach, consisting of half-reactions of the substrate with the precursor SiH2(NH(t)Bu)2 and with N2-fed plasma. The deposited films have been characterized in terms of their refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and Fourier-transform infrared spectroscopy (FTIR). The SiNx thin-film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O, and H impurity levels decrease when the deposition temperature increases. The relative open porosity content of the layers has been studied by means of multisolvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameters: water (∼0.3 nm), ethanol (∼0.4 nm), and toluene (∼0.6 nm). Irrespective of the deposition temperature, and hence the impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing to the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms that, according to the IUPAC classification, are characteristic of nonporous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNx layers of a few hundred

  15. Refractive index of erbium doped GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alajlouni, S.; Sun, Z. Y.; Li, J.

    2014-08-25

    GaN is an excellent host for erbium (Er) to provide optical emission in the technologically important as well as eye-safe 1540 nm wavelength window. Er doped GaN (GaN:Er) epilayers were synthesized on c-plane sapphire substrates using metal organic chemical vapor deposition. By employing a pulsed growth scheme, the crystalline quality of GaN:Er epilayers was significantly improved over those obtained by conventional growth method of continuous flow of reaction precursors. X-ray diffraction rocking curve linewidths of less than 300 arc sec were achieved for the GaN (0002) diffraction peak, which is comparable to the typical results of undoped high quality GaN epilayers andmore » represents a major improvement over previously reported results for GaN:Er. Spectroscopic ellipsometry was used to determine the refractive index of the GaN:Er epilayers in the 1540 nm wavelength window and a linear dependence on Er concentration was found. The observed refractive index increase with Er incorporation and the improved crystalline quality of the GaN:Er epilayers indicate that low loss GaN:Er optical waveguiding structures are feasible.« less

  16. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  19. Electrochemical fabrication and optoelectronic properties of hybrid heterostructure of CuPc/porous GaN

    NASA Astrophysics Data System (ADS)

    Peng, Fei; Qin, Shuang-Jiao; Hu, Li-Feng; Wang, Juan-Ye; Yang, Jia-Mei; Chen, Xue-Qing; Pan, Ge-Bo

    2016-05-01

    A new hybrid heterostructure of p-type copper phthalocyanine (CuPc) and n-type porous GaN (PGaN) has been fabricated by electrophoretic deposition. The influence of CuPc concentration, electric field intensity, and deposition time on the growth of CuPc film has been explored. The as-prepared CuPc films are made of numerous nanorods. The X-ray diffraction (XRD) spectra revealed that the CuPc films are the β phase and amorphous type on pristine and porous GaN, respectively. Moreover, the prototype devices were fabricated on the basis of the CuPc/PGaN heterostructures. The devices exhibited excellent photodetector performance under ultraviolet (UV) light illumination.

  20. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  1. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    PubMed

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  2. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  3. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    NASA Astrophysics Data System (ADS)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  4. Reading the climate record of the martian polar layered deposits

    USGS Publications Warehouse

    Hvidberg, C.S.; Fishbaugh, K.E.; Winstrup, M.; Svensson, A.; Byrne, S.; Herkenhoff, K. E.

    2012-01-01

    The martian polar regions have layered deposits of ice and dust. The stratigraphy of these deposits is exposed within scarps and trough walls and is thought to have formed due to climate variations in the past. Insolation has varied significantly over time and caused dramatic changes in climate, but it has remained unclear whether insolation variations could be linked to the stratigraphic record. We present a model of layer formation based on physical processes that expresses polar deposition rates of ice and dust in terms of insolation. In this model, layer formation is controlled by the insolation record, and dust-rich layers form by two mechanisms: (1) increased summer sublimation during high obliquity, and (2) variations in the polar deposition of dust modulated by obliquity variations. The model is simple, yet physically plausible, and allows for investigations of the climate control of the polar layered deposits (PLD). We compare the model to a stratigraphic column obtained from the north polar layered deposits (NPLD) (Fishbaugh, K.E., Hvidberg, C.S., Byrne, S., Russel, P.S., Herkenhoff, K.E., Winstrup, M., Kirk, R. [2010a]. Geophys. Res. Lett., 37, L07201) and show that the model can be tuned to reproduce complex layer sequences. The comparison with observations cannot uniquely constrain the PLD chronology, and it is limited by our interpretation of the observed stratigraphic column as a proxy for NPLD composition. We identified, however, a set of parameters that provides a chronology of the NPLD tied to the insolation record and consistently explains layer formation in accordance with observations of NPLD stratigraphy. This model dates the top 500 m of the NPLD back to ∼1 million years with an average net deposition rate of ice and dust of 0.55 mm a−1. The model stratigraphy contains a quasi-periodic ∼30 m cycle, similar to a previously suggested cycle in brightness profiles from the NPLD (Laskar, J., Levrard, B., Mustard, F. [2002]. Nature, 419, 375

  5. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  6. Colloidal quantum dot active layers for light emitting diodes

    NASA Astrophysics Data System (ADS)

    Pagan, Jennifer G.; Stokes, Edward B.; Patel, Kinnari; Burkhart, Casey C.; Ahrens, Michael T.; Barletta, Philip T.; O'Steen, Mark

    2006-07-01

    In this paper the preliminary results of incorporating a novel active layer into a GaN light emitting diode (LED) are discussed. Integration of colloidal CdSe quantum dots into a GaN LED active layer is demonstrated. Properties of p-type Mg doped overgrowth GaN are examined via circular transmission line method (CTLM). Effects on surface roughness due to the active layer incorporation are examined using atomic force microscopy (AFM). Electroluminescence of LED test structures is reported, and an ideality factor of n = 1.6 is demonstrated.

  7. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    NASA Astrophysics Data System (ADS)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  8. Separation of effects of InGaN/GaN superlattice on performance of light-emitting diodes using mid-temperature-grown GaN layer

    NASA Astrophysics Data System (ADS)

    Sugimoto, Kohei; Okada, Narihito; Kurai, Satoshi; Yamada, Yoichi; Tadatomo, Kazuyuki

    2018-06-01

    We evaluated the electrical properties of InGaN-based light-emitting diodes (LEDs) with a superlattice (SL) layer or a mid-temperature-grown GaN (MT-GaN) layer just beneath the multiple quantum wells (MQWs). Both the SL layer and the MT-GaN layer were effective in improving the electroluminescence (EL) intensity. However, the SL layer had a more pronounced effect on the EL intensity than did the MT-GaN layer. Based on a comparison with devices with an MT-GaN layer, the overall effects of the SL could be separated into the effect of the V-pits and the structural or compositional effect of the SL. It was observed that the V-pits formed account for 30% of the improvement in the LED performance while the remaining 70% can be attributed to the structural or compositional effect of the SL.

  9. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    NASA Astrophysics Data System (ADS)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  10. Flexible GaN for High Performance, Strainable Radio Frequency Devices (Postprint)

    DTIC Science & Technology

    2017-11-02

    devices on van der Waals (vdW) layers has been facilitated by the recent avail - ability of high -quality atomically smooth BN and graphene epi- taxial...AFRL-RX-WP-JA-2017-0333 FLEXIBLE GaN FOR HIGH PERFORMANCE, STRAINABLE RADIO FREQUENCY DEVICES (POSTPRINT) Elizabeth A. Moore and Timothy...2. REPORT TYPE 3. DATES COVERED (From - To) 5 April 2017 Interim 8 September 2014 – 5 March 2017 4. TITLE AND SUBTITLE FLEXIBLE GaN FOR HIGH

  11. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  12. Nano-Al{sub 2}O{sub 3} multilayer film deposition on cotton fabrics by layer-by-layer deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan

    Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less

  13. Photochemical Modification of Single Crystalline GaN Film Using n-Alkene with Different Carbon Chain Lengths as Biolinker.

    PubMed

    Wang, Chun; Zhuang, Hao; Huang, Nan; Heuser, Steffen; Schlemper, Christoph; Zhai, Zhaofeng; Liu, Baodan; Staedler, Thorsten; Jiang, Xin

    2016-06-14

    As a potential material for biosensing applications, gallium nitride (GaN) films have attracted remarkable attention. In order to construct GaN biosensors, a corresponding immobilization of biolinkers is of great importance in order to render a surface bioactive. In this work, two kinds of n-alkenes with different carbon chain lengths, namely allylamine protected with trifluoroacetamide (TFAAA) and 10-aminodec-1-ene protected with trifluoroacetamide (TFAAD), were used to photochemically functionalize single crystalline GaN films. The successful linkage of both TFAAA and TFAAD to the GaN films is confirmed by time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurement. With increased UV illumination time, the intensity of the secondary ions corresponding to the linker molecules initially increases and subsequently decreases in both cases. Based on the SIMS measurements, the maximum coverage of TFAAA is achieved after 14 h of UV illumination, while only 2 h is required in the case of TFAAD to reach the situation of a fully covered GaN surface. This finding leads to the conclusion that the reaction rate of TFAAD is significantly higher compared to TFAAA. Measurements by atomic force microscopy (AFM) indicate that the coverage of GaN films by a TFAAA layer leads to an increased surface roughness. The atomic terraces, which are clearly observable for the pristine GaN films, disappear once the surface is fully covered by a TFAAA layer. Such TFAAA layers will feature a homogeneous surface topography even for reaction times of 24 h. In contrast to this, TFAAD shows strong cross-polymerization on the surface, this is confirmed by optical microscopy. These results demonstrate that TFAAA is a more suitable candidate as biolinker in context of the GaN surfaces due to its improved controllability.

  14. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  15. A combined scanning tunneling microscope-atomic layer deposition tool.

    PubMed

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  16. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  17. Density of Mars' south polar layered deposits.

    PubMed

    Zuber, Maria T; Phillips, Roger J; Andrews-Hanna, Jeffrey C; Asmar, Sami W; Konopliv, Alexander S; Lemoine, Frank G; Plaut, Jeffrey J; Smith, David E; Smrekar, Suzanne E

    2007-09-21

    Both poles of Mars are hidden beneath caps of layered ice. We calculated the density of the south polar layered deposits by combining the gravity field obtained from initial results of radio tracking of the Mars Reconnaissance Orbiter with existing surface topography from the Mars Orbiter Laser Altimeter on the Mars Global Surveyor spacecraft and basal topography from the Mars Advanced Radar for Subsurface and Ionospheric Sounding on the Mars Express spacecraft. The results indicate a best-fit density of 1220 kilograms per cubic meter, which is consistent with water ice that has approximately 15% admixed dust. The results demonstrate that the deposits are probably composed of relatively clean water ice and also refine the martian surface-water inventory.

  18. Layered Deposits on the floor of Ganges Chasma

    NASA Technical Reports Server (NTRS)

    2002-01-01

    (Released 29 March 2002) The Science The Story These layered deposits are located on the floor of a large canyon called Ganges Chasma which is a part of the Valles Marineris. Dramatic layering can be seen throughout the deposit. Different styles of erosion are manifest in these different layers and at different locations within the layered material. For example, the southern portion of these deposits have a pronounced fluting, whereas in other areas the same layers are more intact. Relatively dark dunes and sand sheets can be observed surrounding the relatively brighter layered material in the upper right and lower portions of the image. Darker material also appears to mantle select areas of the layered deposits. The formation of the dunes is influenced by topography; this influence is best illustrated in the upper left of the image where a small hillock has interfered with the local wind flow. Impact craters of all sizes are noticeably absent in this image, indicating a relatively young age for this surface. This image is approximately 22 km wide and 60 km in length; north is toward the top. The Story If this wonderfully textured landform were on Earth, it would have to be designated as a 'national park,' much like the popular canyon parklands of the American Southwest. Look for the oblong plateau at the center right of this image, and see how the terrain descends from it on all sides. The southerly canyon wall (bottom third of the image) displays a visually beautiful canyon slope, with descending erosional flutes that cut pathways through the differently hued rock and mineral layers. While the northern side of the plateau might not look as dramatic, don't miss the dark-colored sand dunes that lie at the base of the canyon. Why did they form in just that place? To find out, look for the small hillock in the top left of the image that has interfered with the wind's flow, causing the ripply dunes to form. With so many interesting and physically stunning features

  19. Characteristics of layered tin disulfide deposited by atomic layer deposition with H2S annealing

    NASA Astrophysics Data System (ADS)

    Lee, Seungjin; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Choi, Hyeongsu; Park, Hyunwoo; Jeon, Hyeongtag

    2017-04-01

    Tin disulfide (SnS2) has attracted much attention as a two-dimensional (2D) material. A high-quality, low-temperature process for producing 2D materials is required for future electronic devices. Here, we investigate tin disulfide (SnS2) layers deposited via atomic layer deposition (ALD) using tetrakis(dimethylamino)tin (TDMASn) as a Sn precursor and H2S gas as a sulfur source at low temperature (150° C). The crystallinity of SnS2 was improved by H2S gas annealing. We carried out H2S gas annealing at various conditions (250° C, 300° C, 350° C, and using a three-step method). Angle-resolved X-ray photoelectron spectroscopy (ARXPS) results revealed the valence state corresponding to Sn4+ and S2- in the SnS2 annealed with H2S gas. The SnS2 annealed with H2S gas had a hexagonal structure, as measured via X-ray diffraction (XRD) and the clearly out-of-plane (A1g) mode in Raman spectroscopy. The crystallinity of SnS2 was improved after H2S annealing and was confirmed using the XRD full-width at half-maximum (FWHM). In addition, high-resolution transmission electron microscopy (HR-TEM) images indicated a clear layered structure.

  20. Investigation of HCl-based surface treatment for GaN devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, Hiroshi, E-mail: okada@ee.tut.ac.jp; Department of Electrical and Electronic Information Engineering, Toyohashi University of Technology, 1-1 Hibarigaoka, Tempaku-cho, Toyohashi 441-8580; Shinohara, Masatohi

    2016-02-01

    Surface treatments of GaN in HCl-based solutions are studied by X-ray photoelectron spectroscopy (XPS) and electrical characterization of fabricated GaN surfaces. A dilute-HCl treatment (HCl:H{sub 2}O=1:1) at room temperature and a boiled-HCl treatment (undiluted HCl) at 108°C are made on high-temperature annealed n-GaN. From the XPS study, removal of surface oxide by the dilute-HCl treatment was found, and more thoroughly oxide-removal was confirmed in the boiled-HCl treatment. Effect of the surface treatment on electrical characteristics on AlGaN/GaN transistor is also studied by applying treatment processes prior to the surface SiN deposition. Increase of drain current is found in boiled-HCl treatedmore » samples. The results suggest that the boiled-HCl treatment is effective for GaN device fabrication.« less

  1. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  2. Effect of intermediate layers on atomic layer deposition-aluminum oxide protected silver mirrors

    NASA Astrophysics Data System (ADS)

    Fryauf, David M.; Diaz Leon, Juan J.; Phillips, Andrew C.; Kobayashi, Nobuhiko P.

    2017-07-01

    This work investigates intermediate materials deposited between silver (Ag) thin-film mirrors and an aluminum oxide (AlOx) barrier overlayer and compares the effects on mirror durability to environmental stresses. Physical vapor deposition of various fluorides, oxides, and nitrides in combination with AlOx by atomic layer deposition (ALD) is used to develop several coating recipes. Ag-AlOx samples with different intermediate materials undergo aggressive high-temperature (80°C), high-humidity (80%) (HTHH) testing for 10 days. Reflectivity of mirror samples is measured before and after HTHH testing, and image processing techniques are used to analyze the specular surface of the samples after HTHH testing. Among the seven intermediate materials used in this work, TiN, MgAl2O4, NiO, and Al2O3 intermediate layers offer more robust protection against chemical corrosion and moisture when compared with samples with no intermediate layer. In addition, results show that the performance of the ALD-AlOx barrier overlayer depends significantly on the ALD-growth process temperature. Because higher durability is observed in samples with less transparent TiN and NiO layers, we propose a figure of merit based on post-HTHH testing reflectivity change and specular reflective mirror surface area remaining after HTHH testing to judge overall barrier performance.

  3. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  4. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  5. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  6. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  7. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: Swain@iae.re.kr; Mishra, Chinmayee; Lee, Chan Gi

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leachmore » residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.« less

  8. Fabrication of current confinement aperture structure by transforming a conductive GaN:Si epitaxial layer into an insulating GaOx layer.

    PubMed

    Lin, Chia-Feng; Lee, Wen-Che; Shieh, Bing-Cheng; Chen, Danti; Wang, Dili; Han, Jung

    2014-12-24

    We report here a simple and robust process to convert embedded conductive GaN epilayers into insulating GaOx and demonstrate its efficacy in vertical current blocking and lateral current steering in a working LED device. The fabrication processes consist of laser scribing, electrochemical (EC) wet-etching, photoelectrochemical (PEC) oxidation, and thermal oxidization of a sacrificial n(+)-GaN:Si layer. The conversion of GaN is made possible through an intermediate stage of porosification where the standard n-type GaN epilayers can be laterally and selectively anodized into a nanoporous (NP) texture while keeping the rest of the layers intact. The fibrous texture of NP GaN with an average wall thickness of less than 100 nm dramatically increases the surface-to-volume ratio and facilitates a rapid oxidation process of GaN into GaOX. The GaOX aperture was formed on the n-side of the LED between the active region and the n-type GaN layer. The wavelength blueshift phenomena of electroluminescence spectra is observed in the treated aperture-emission LED structure (441.5 nm) when compared to nontreated LED structure (443.7 nm) at 0.1 mA. The observation of aperture-confined electroluminescence from an InGaN LED structure suggests that the NP GaN based oxidation will play an enabling role in the design and fabrication of III-nitride photonic devices.

  9. Surface Ages and Resurfacing Rates of the Polar Layered Deposits on Mars

    USGS Publications Warehouse

    Herkenhoff, K. E.; Plaut, J.J.

    2000-01-01

    Interpretation of the polar stratigraphy of Mars in terms of global climate changes is complicated by the significant difference in surface ages between the north and south polar layered terrains inferred from crater statistics. We have reassessed the cratering record in both polar regions using Viking Orbiter and Mariner 9 images. No craters have been found in the north polar layered terrain, but the surface of most of the south polar layered deposits appears to have been stable for many of the orbital/axial cycles that are thought to have induced global climate changes on Mars. The inferred surface age of the south polar layered deposits (about 10 Ma) is two orders of magnitude greater than the surface age of the north polar layered deposits and residual cap (at most 100 ka). Similarly, modeled resurfacing rates are at least 20 times greater in the north than in the south. These results are consistent with the hypotheses that polar layered deposit resurfacing rates are highest in areas covered by perennial ice and that the differences in polar resurfacing rates result from the 6.4 km difference in elevation between the polar regions. Deposition on the portion of the south polar layered deposits that is not covered by the perennial ice cap may have ceased about 5 million years ago when the obliquity of Mars no longer exceeded 40??. ?? 2000 Academic Press.

  10. The Interior Layered Deposits of Valles Marineris: Layering, Erosional Processes, and Age Relationships

    NASA Technical Reports Server (NTRS)

    Weitz, C. M.; Parker, T.; Anderson, F. S.; Grant, J. A.

    2001-01-01

    We have used Viking and Mars Global Surveyor data to study the interior layered deposits in detail. We have identified features which may support fluvial activity within Valles Marineris. Stratigraphic relationships indicate the deposits are younger than the wallrock. Additional information is contained in the original extended abstract.

  11. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  12. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Ueno, Kohei; Fudetani, Taiga; Arakawa, Yasuaki; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-12-01

    We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD) technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm-3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V-1 s-1 at a carrier concentration of 3.9 × 1020 cm-3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  13. Atomic-scale and pit-free flattening of GaN by combination of plasma pretreatment and time-controlled chemical mechanical polishing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deng, Hui; Endo, Katsuyoshi; Yamamura, Kazuya, E-mail: yamamura@upst.eng.osaka-u.ac.jp

    2015-08-03

    Chemical mechanical polishing (CMP) combined with atmospheric-pressure plasma pretreatment was applied to a GaN (0001) substrate. The irradiation of a CF{sub 4}-containing plasma was proven to be very useful for modifying the surface of GaN. When CMP was conducted on a plasma-irradiated surface, a modified layer of GaF{sub 3} acted as a protective layer on GaN by preventing the formation of etch pits. Within a short duration (8 min) of CMP using a commercially available CeO{sub 2} slurry, an atomically flat surface with a root mean square (rms) roughness of 0.11 nm was obtained. Moreover, etch pits, which are inevitably introduced inmore » conventional CMP, could not be observed at the dislocation sites on the polished GaN surface. It was revealed that CMP combined with the plasma pretreatment was very effective for obtaining a pit-free and atomically flat GaN surface.« less

  14. Effect of screw threading dislocations and inverse domain boundaries in GaN on the shape of reciprocal-space maps.

    PubMed

    Barchuk, Mykhailo; Motylenko, Mykhaylo; Lukin, Gleb; Pätzold, Olf; Rafaja, David

    2017-04-01

    The microstructure of polar GaN layers, grown by upgraded high-temperature vapour phase epitaxy on [001]-oriented sapphire substrates, was studied by means of high-resolution X-ray diffraction and transmission electron microscopy. Systematic differences between reciprocal-space maps measured by X-ray diffraction and those which were simulated for different densities of threading dislocations revealed that threading dislocations are not the only microstructure defect in these GaN layers. Conventional dark-field transmission electron microscopy and convergent-beam electron diffraction detected vertical inversion domains as an additional microstructure feature. On a series of polar GaN layers with different proportions of threading dislocations and inversion domain boundaries, this contribution illustrates the capability and limitations of coplanar reciprocal-space mapping by X-ray diffraction to distinguish between these microstructure features.

  15. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  16. The metalorganic chemical vapor deposition of III-V nitrides for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Grudowski, Paul Alexander

    Nitride-based light-emitting diodes (LEDs) and laser diodes are important for large-area LED displays, flat-panel displays, traffic signals, and optical data storage, due to their characteristic ultraviolet and visible light emission. However, much of the research and development addressing material related problems is recent. The room-temperature continuous wave (CW) operation of nitride-based laser diodes remains a major milestone because the material quality requirements for these devices are extremely high. This study investigates nitride material development by the metalorganic chemical vapor deposition (MOCVD) and characterization of GaN, AlGaN, and InGaN, and by qualifying these materials with fabricated devices. The ultimate goal was to develop a working laser diode. The nitride epitaxial films were characterized by 300K Hall effect, x-ray diffraction (XRD), photoluminescence (PL), cathodoluminescence (CL), secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). GaN grown heteroepitaxially on (0001) sapphire substrates was first optimized. A low-temperature GaN nucleation layer was developed that gave subsequent high-temperature GaN layers with low background carrier concentrations (n < 1×10sp{17}\\ cmsp{-3}). Intentional p-type hole concentrations up to 2× 10sp{18} cmsp{-3} and n-type electron concentrations up to 1× 10sp{19} cmsp{-3} were achieved at 300K with magnesium and silicon, respectively. The ternary alloy Insb{x}Gasb{1-x}N was grown with indium compositions up to x = 0.25. These films exhibited strong and narrow 300K PL bandedge peaks. Multiple-quantum-well structures with Insb{0.13}Gasb{0.87}N wells and Insb{0.03}Gasb{0.97}N barriers were grown and gave enhanced PL intensity compared to single InGaN layers. Modulation-doped MQW's produced enhanced PL intensity compared to uniformly-doped MQW's. 300K photopumping experiments produced stimulated emission from a five-period MQW

  17. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  18. Structure guided GANs

    NASA Astrophysics Data System (ADS)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  19. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  20. Carrier and photon dynamics in a topological insulator Bi{sub 2}Te{sub 3}/GaN type II staggered heterostructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaturvedi, P.; Chouksey, S.; Banerjee, D.

    2015-11-09

    We have demonstrated a type-II band-aligned heterostructure between pulsed laser deposited topological insulator bismuth telluride and metal organic-chemical-vapour deposited GaN on a sapphire substrate. The heterostructure shows a large valence band-offset of 3.27 eV as determined from x-ray photoelectron spectroscopy, which is close to the bandgap of GaN (3.4 eV). Further investigation using x-ray diffraction, Raman spectroscopy, and energy-dispersive x-ray spectrum reveals the stoichiometric and material properties of bismuth telluride on GaN. Steady state photon emission from GaN is found to be modulated by the charge transfer process due to diffusion across the junction. The time constant involved with the charge transfermore » process is found to be 0.6 ns by transient absorption spectroscopy. The heterostructure can be used for designing devices with different functionalities and improving the performance of the existing devices on GaN.« less

  1. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  2. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  3. Study of gain and photoresponse characteristics for back-illuminated separate absorption and multiplication GaN avalanche photodiodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaodong; Pan, Ming; Hou, Liwei

    2014-01-07

    The gain and photoresponse characteristics have been numerically studied for back-illuminated separate absorption and multiplication (SAM) GaN avalanche photodiodes (APDs). The parameters of fundamental models are calibrated by simultaneously comparing the simulated dark and light current characteristics with the experimental results. Effects of environmental temperatures and device dimensions on gain characteristics have been investigated, and a method to achieve the optimum thickness of charge layer is obtained. The dependence of gain characteristics and breakdown voltage on the doping concentration of the charge layer is also studied in detail to get the optimal charge layer. The bias-dependent spectral responsivity and quantummore » efficiency are then presented to study the photoresponse mechanisms inside SAM GaN APDs. It is found the responsivity peak red-shifts at first due to the Franz-Keldysh effect and then blue-shifts due to the reach-through effect of the absorption layer. Finally, a new SAM GaN/AlGaN heterojunction APD structure is proposed for optimizing SAM GaN APDs.« less

  4. A subsurface depocenter in the South Polar Layered Deposits of Mars

    NASA Astrophysics Data System (ADS)

    Whitten, J. L.; Campbell, B. A.; Morgan, G. A.

    2017-08-01

    The South Polar Layered Deposits (SPLD) are one of the largest water ice reservoirs on Mars, and their accumulation is driven by variations in the climate primarily controlled by orbital forcings. Patterns of subsurface layering in the SPLD provide important information about past atmospheric dust content, periods of substantial erosion, and variations in local or regional deposition. Here we analyze the SPLD using SHAllow RADar (SHARAD) sounder data to gain a unique perspective on the interior structure of the deposits and to determine what subsurface layers indicate about the preserved climate history. SHARAD data reveal a major deviation from the gently domical layering typical of the SPLD: a subsurface elongate dome. The dome most likely formed due to variations in the accumulation of ice and snow across the cap, with a higher rate occurring in this region over a prolonged period. This SPLD depositional center provides an important marker of south polar climate patterns.

  5. Caracterisation of Titanium Nitride Layers Deposited by Reactive Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Roşu, Radu Alexandru; Şerban, Viorel-Aurel; Bucur, Alexandra Ioana; Popescu, Mihaela; Uţu, Dragoş

    2011-01-01

    Forming and cutting tools are subjected to the intense wear solicitations. Usually, they are either subject to superficial heat treatments or are covered with various materials with high mechanical properties. In recent years, thermal spraying is used increasingly in engineering area because of the large range of materials that can be used for the coatings. Titanium nitride is a ceramic material with high hardness which is used to cover the cutting tools increasing their lifetime. The paper presents the results obtained after deposition of titanium nitride layers by reactive plasma spraying (RPS). As deposition material was used titanium powder and as substratum was used titanium alloy (Ti6Al4V). Macroscopic and microscopic (scanning electron microscopy) images of the deposited layers and the X ray diffraction of the coatings are presented. Demonstration program with layers deposited with thickness between 68,5 and 81,4 μm has been achieved and presented.

  6. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  7. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  8. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  9. GaN light-emitting device based on ionic liquid electrolyte

    NASA Astrophysics Data System (ADS)

    Hirai, Tomoaki; Sakanoue, Tomo; Takenobu, Taishi

    2018-06-01

    Ionic liquids (ILs) are attractive materials for fabricating unique hybrid devices based on electronics and electrochemistry; thus, IL-gated transistors and organic light-emitting devices of light-emitting electrochemical cells (LECs) are investigated for future low-voltage and high-performance devices. In LECs, voltage application induces the formation of electrochemically doped p–n homojunctions owing to ion rearrangements in composites of semiconductors and electrolytes, and achieves electron–hole recombination for light emission at the homojunctions. In this work, we applied this concept of IL-induced electrochemical doping to the fabrication of GaN-based light-emitting devices. We found that voltage application to the layered IL/GaN structure accumulated electrons on the GaN surface owing to ion rearrangements and improved the conductivity of GaN. The ion rearrangement also enabled holes to be injected by the strong electric field of electric double layers on hole injection contacts. This simultaneous injection of holes and electrons into GaN mediated by ions achieves light emission at a low voltage of around 3.4 V. The light emission from the simple IL/GaN structure indicates the usefulness of an electrochemical technique in generating light emission with great ease of fabrication.

  10. Ice sublimation and rheology - Implications for the Martian polar layered deposits

    NASA Astrophysics Data System (ADS)

    Hofstadter, M. D.; Murray, B. C.

    1990-04-01

    If the sublimation and creep of water ice are important processes in the Martian polar layered deposits, ice-rich scenario formation and evolution schemes must invoke a mechanism for the inhibition of sublimation, such as a dust layer derived from the residue of the sublimating deposits. This layer could be of the order of 1 m in thickness. If the deposits are ice-rich, flows of more than 1 km should have occurred. It is noted that the dust particles in question may be cemented by such ice that may be present, but that impurities may also have served to cement dust particles together even in the absence of ice.

  11. Ice sublimation and rheology - Implications for the Martian polar layered deposits

    NASA Technical Reports Server (NTRS)

    Hofstadter, Mark D.; Murray, Bruce C.

    1990-01-01

    If the sublimation and creep of water ice are important processes in the Martian polar layered deposits, ice-rich scenario formation and evolution schemes must invoke a mechanism for the inhibition of sublimation, such as a dust layer derived from the residue of the sublimating deposits. This layer could be of the order of 1 m in thickness. If the deposits are ice-rich, flows of more than 1 km should have occurred. It is noted that the dust particles in question may be cemented by such ice that may be present, but that impurities may also have served to cement dust particles together even in the absence of ice.

  12. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    NASA Astrophysics Data System (ADS)

    Kato, Riku; Frusawa, Hiroshi

    2015-07-01

    We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs) encapsulated by the layer-by-layer (LbL) deposition of cationic and anionic polyelectrolytes (PEs). Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition), and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition). The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  13. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents.

    PubMed

    Kato, Riku; Frusawa, Hiroshi

    2015-07-08

    We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs) encapsulated by the layer-by-layer (LbL) deposition of cationic and anionic polyelectrolytes (PEs). Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition), and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition). The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  14. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  15. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  16. Exciton emission from bare and hybrid plasmonic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  17. Inorganic-Organic Coating via Molecular Layer Deposition Enables Long Life Sodium Metal Anode.

    PubMed

    Zhao, Yang; Goncharova, Lyudmila V; Zhang, Qian; Kaghazchi, Payam; Sun, Qian; Lushington, Andrew; Wang, Biqiong; Li, Ruying; Sun, Xueliang

    2017-09-13

    Metallic Na anode is considered as a promising alternative candidate for Na ion batteries (NIBs) and Na metal batteries (NMBs) due to its high specific capacity, and low potential. However, the unstable solid electrolyte interphase layer caused by serious corrosion and reaction in electrolyte will lead to big challenges, including dendrite growth, low Coulombic efficiency and even safety issues. In this paper, we first demonstrate the inorganic-organic coating via advanced molecular layer deposition (alucone) as a protective layer for metallic Na anode. By protecting Na anode with controllable alucone layer, the dendrites and mossy Na formation have been effectively suppressed and the lifetime has been significantly improved. Moreover, the molecular layer deposition alucone coating shows better performances than the atomic layer deposition Al 2 O 3 coating. The novel design of molecular layer deposition protected Na metal anode may bring in new opportunities to the realization of the next-generation high energy-density NIBs and NMBs.

  18. Structural investigation of re-deposited layers in JET

    NASA Astrophysics Data System (ADS)

    Likonen, J.; Vainonen-Ahlgren, E.; Khriachtchev, L.; Coad, J. P.; Rubel, M.; Renvall, T.; Arstila, K.; Hole, D. E.; Contributors to the EFDA-JET Work-programme

    2008-07-01

    JET Mk-II Gas Box divertor tiles exposed in 1998-2001 have been analysed with various ion beam techniques, secondary ion mass spectrometry (SIMS) and Raman spectroscopy. Inner divertor wall tiles removed in 2001 were covered with a duplex film. The inner layer was very rich in metallic impurities, with Be/C ˜ 1 and H-isotopes only present at low concentrations. The outer layer contained higher concentrations of D than normal for plasma-facing surfaces in JET (D/C ˜ 0.4), and Be/C ˜ 0.14. Raman and SIMS analyses show that the deposited films on inner divertor tiles are hydrogenated amorphous carbon with low sp 3 fractions. The deposits have polymeric structure and low density. Both Raman scattering and SIMS indicate that films on inner divertor wall Tiles 1 and 3, and on floor Tile 4 have some differences in the chemical structure of the deposited films

  19. Optimization of the Automated Spray Layer-by-Layer Technique for Thin Film Deposition

    DTIC Science & Technology

    2010-06-01

    pieces. All silicon was cleaned with ethanol and Milli-Q water to hydroxylate the surface. Quartz Crystal Microbalance Si02 coated sensors (Q-sense...was deposited onto a SiO2 coated QCM crystal using the automated dipping process described earlier. Once the film was deposited, it was dried over...night, and then placed in the QCM -D device. An additional layer of PAH was deposited onto the crystal in the QCM -D chamber at a flow rate of 1pL/minute

  20. Vacancy-type defects in Mg-doped GaN grown by ammonia-based molecular beam epitaxy probed using a monoenergetic positron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uedono, Akira; Malinverni, Marco; Martin, Denis

    Vacancy-type defects in Mg-doped GaN were probed using a monoenergetic positron beam. GaN films with a thickness of 0.5–0.7 μm were grown on GaN/sapphire templates using ammonia-based molecular beam epitaxy and characterized by measuring Doppler broadening spectra. Although no vacancies were detected in samples with a Mg concentration [Mg] below 7 × 10{sup 19 }cm{sup −3}, vacancy-type defects were introduced starting at above [Mg] = 1 × 10{sup 20 }cm{sup −3}. The major defect species was identified as a complex between Ga vacancy (V{sub Ga}) and multiple nitrogen vacancies (V{sub N}s). The introduction of vacancy complexes was found to correlate with a decreasemore » in the net acceptor concentration, suggesting that the defect introduction is closely related to the carrier compensation. We also investigated Mg-doped GaN layers grown using In as the surfactant. The formation of vacancy complexes was suppressed in the subsurface region (≤80 nm). The observed depth distribution of defects was attributed to the thermal instability of the defects, which resulted in the introduction of vacancy complexes during the deposition process.« less

  1. Effect of V/III ratio on the surface morphology and electrical properties of m-plane (10 1 bar 0) GaN homoepitaxial layers

    NASA Astrophysics Data System (ADS)

    Barry, Ousmane I.; Tanaka, Atsushi; Nagamatsu, Kentaro; Bae, Si-Young; Lekhal, Kaddour; Matsushita, Junya; Deki, Manato; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi

    2017-06-01

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m-plane (10 1 bar 0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m-plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m-GaN samples were characterized. Low leakage current densities of the order of 10-10 A/cm2 at -5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

  2. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  3. Specific peptide for functionalization of GaN

    NASA Astrophysics Data System (ADS)

    Estephan, E.; Larroque, C.; Cloitre, T.; Cuisinier, F. J. G.; Gergely, C.

    2008-04-01

    Nanobiotechnology aims to exploit biomolecular recognition and self-assembly capabilities for integrating advanced materials into medicine and biology. However frequent problems are encountered at the interface of substrate-biological molecule, as the direct physical adsorption of biological molecules is dependent of unpredictable non-specific interactions with the surface, often causing their denaturation. Therefore, a proper functionalization of the substrate should avoid a loss of biological activity. In this work we address the functionalization of the semiconductor GaN (0001) for biosensing applications. The basic interest of using III-V class semiconductors is their good light emitting properties and a fair chemical stability that allows various applications of these materials. The technology chosen to elaborate GaN-specific peptides is the combinatorial phage-display method, a biological screening procedure based on affinity selection. An M13 bacteriophage library has been used to screen 10 10 different peptides against the GaN (0001) surface to finally isolate one specific peptide. The preferential attachment of the biotinylated selected peptide onto the GaN (0001), in close proximity to a surface of different chemical and structural composition has been demonstrated by fluorescence microscopy. Further physicochemical studies have been initiated to evaluate the semiconductor-peptide interface and understand the details in the specific recognition of peptides for semiconductor substrates. Fourier Transform Infrared spectroscopy in Attenuated Total Reflection mode (FTIR-ATR) has been employed to prove the presence of peptides on the surface. Our Atomic Force Microscopy (AFM) studies on the morphology of the GaN surface after functionalization revealed a total surface coverage by a very thin, homogeneous peptide layer. Due to its good biocompatibility, functionalized GaN devices might evolve in a new class of implantable biosensors for medical applications.

  4. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  5. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Mapping fault-controlled volatile migration in equatorial layered deposits on Mars

    NASA Astrophysics Data System (ADS)

    Okubo, C. H.

    2006-12-01

    Research in terrestrial settings shows that clastic sedimentary deposits are productive host rocks for underground volatile reservoirs because of their high porosity and permeability. Within such reservoirs, faults play an important role in controlling pathways for volatile migration, because faults act as either barriers or conduits. Therefore faults are important volatile concentrators, which means that evidence of geochemical, hydrologic and biologic processes are commonly concentrated at these locations. Accordingly, faulted sedimentary deposits on Mars are plausible areas to search for evidence of past volatile activity and associated processes. Indeed, evidence for volatile migration through layered sedimentary deposits on Mars has been documented in detail by the Opportunity rover in Meridiani Planum. Thus evidence for past volatile- driven processes that could have occurred within the protective depths of these deposits may now exposed at the surface and more likely found around faults. Owing to the extensive distribution of layered deposits on Mars, a major challenge in looking for and investigating evidence of past volatile processes in these deposits is identifying and prioritizing study areas. Toward this end, this presentation details initial results of a multiyear project to develop quantitative maps of latent pathways for fault-controlled volatile migration through the layered sedimentary deposits on Mars. Available MOC and THEMIS imagery are used to map fault traces within equatorial layered deposits, with an emphasis on proposed regions for MSL landing sites. These fault maps define regions of interest for stereo imaging by HiRISE and identify areas to search for existing MOC stereo coverage. Stereo coverage of identified areas of interest allows for the construction of digital elevation models and ultimately extraction of fault plane and displacement vector orientations. These fault and displacement data will be fed through numerical modeling

  7. An Inventory of Impact Craters on the Martian South Polar Layered Deposits

    NASA Technical Reports Server (NTRS)

    Plaut, J. J.

    2005-01-01

    The polar layered deposits (PLD) of Mars continue to be a focus of study due to the possibility that these finely layered, volatile-rich deposits hold a record of recent eras in Martian climate history. Recently, the visible sensor on 2001 Mars Odyssey s Thermal Emission Imaging System (THEMIS) has acquired 36 meter/pixel contiguous single-band visible image data sets of both the north and the south polar layered deposits, during the local spring and summer seasons. In addition, significant coverage has been obtained at the THEMIS visible sensor s full resolution of 18 meters/pixel. This paper reports on the use of these data sets to further characterize the population of impact craters on the south polar layered deposits (SPLD), and the implications of the observed population for the age and evolution of the SPLD.

  8. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  9. Suppression of Mg propagation into subsequent layers grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  10. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  11. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  12. Deposition, Heat Treatment And Characterization of Two Layer Bioactive Coatings on Cylindrical PEEK

    PubMed Central

    Durham, John W.; Rabiei, Afsaneh

    2015-01-01

    Polyether ether ketone (PEEK) rods were coated via ion beam asssited deposition (IBAD) at room temperature. The coating consists of a two-layer design of yttria-stabilized zirconia (YSZ) as a heat-protection layer, and hydroxyapatite (HA) as a top layer to increase bioactivity. A rotating substrate holder was designed to deposit an even coating on the cylindrical surface of PEEK rods; the uniformity is verified by cross-sectional measurements using scanning electron microscopy (SEM). Deposition is followed by heat treatment of the coating using microwave annealing and autoclaving. Transmission electron microscopy (TEM) showed a dense, uniform columnar grain structure in the YSZ layer that is well bonded to the PEEK substrate, while the calcium phosphate layer was amorphous and pore-free in its as-deposited state. Subsequent heat treatment via microwave energy introduced HA crystallization in the calcium phosphate layer and additional autoclaving further expanded the crystallization of the HA layer. Chemical composition evaluation of the coating indicated the Ca/P ratios of the HA layer to be near that of stoichiometric HA, with minor variations through the HA layer thickness. The adhesion strength of as-deposited HA/YSZ coatings on smooth, polished PEEK surfaces was mostly unaffected by microwave heat treatment, but decreased with additional autoclave treatment. Increasing surface roughness showed improvement of bond strength. PMID:27713592

  13. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  14. Pulsed laser deposition of functionalized Mg-Al layered double hydroxide thin films

    NASA Astrophysics Data System (ADS)

    Vlad, A.; Birjega, R.; Tirca, I.; Matei, A.; Mardare, C. C.; Hassel, A. W.; Nedelcea, A.; Dinescu, M.; Zavoianu, R.

    2018-02-01

    In this paper, magnesium-aluminium layered double hydroxide (LDH) has been functionalized with sodium dodecyl sulfate (DS) and deposited as thin film by pulsed laser deposition (PLD). Mg, Al-LDH powders were prepared by co-precipitation and used as reference material. Intercalation of DS as an anionic surfactant into the LDHs host layers has been prepared in two ways: co-precipitation (P) and reconstruction (R). DS intercalation occurred in LDH powder via both preparation methods. The films deposited via PLD, in particular at 532 and 1064 nm, preserve the organic intercalated layered structure of the targets prepared from these powders. The results reveal the ability of proposed deposition technique to produce functional composite organo-modified LDHs thin films.

  15. Synthesis of GaN by high-pressure ammonolysis of gallium triiodide

    NASA Astrophysics Data System (ADS)

    Purdy, Andrew P.; Case, Sean; Muratore, Nicole

    2003-05-01

    The ammonothermal conversion of GaI 3 to both cubic (zinc-blende) and hexagonal GaN was explored in detail. Gallium triiodide, anhydrous NH 3, and in some cases CuI or LiI co-mineralizers, were sealed in quartz tubes and heated in a pressurized autoclave from 300°C to 515°C. At hot-zone temperatures above 430°C, a deposit of mostly c-GaN collects in the upper portion of the tube, and deposits of phase-pure c-GaN were reliably produced on a 50-60 mg scale when CuI co-mineralizer was added. Crystal morphologies of these microcrystalline c-GaN products are highly dependent on growth conditions and range from triangular prisms to triangular plates, dendritic crystals, and irregular particles. Hexagonal GaN products were either in the form of microrods or micron sized prisms. Nanorods, of presumably h-GaN, also formed in some reactions in low yields, intermixed with microcrystalline c-GaN products.

  16. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  17. Atomic Layer Deposition of L-Alanine Polypeptide

    DOE PAGES

    Fu, Yaqin; Li, Binsong; Jiang, Ying-Bing; ...

    2014-10-30

    L-Alanine polypeptide thin films were synthesized via atomic layer deposition (ALD). Rather, instead of using an amino acid monomer as the precursor, an L-alanine amino acid derivatized with a protecting group was used to prevent self-polymerization, increase the vapor pressure, and allow linear cycle-by-cycle growth emblematic of ALD. Moreover, the successful deposition of a conformal polypeptide film has been confirmed by FTIR, TEM, and Mass Spectrometry, and the ALD process has been extended to polyvaline.

  18. Defect analysis of the LED structure deposited on the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Nie, Qichu; Jiang, Zhimin; Gan, Zhiyin; Liu, Sheng; Yan, Han; Fang, Haisheng

    2018-04-01

    Transmission electron microscope (TEM) and double-crystal X-ray diffraction (DCXRD) measurements have been performed to investigate dislocations of the whole structure of the LED layers deposited on both the conventional (unpatterned sapphire substrate, UPSS) and patterned sapphire substrates (PSS). TEM results show that there exists a dislocation-accumulated region near the substrate/GaN interface, where the dislocation density is much higher with the UPPS than that with the PSS. It indicates that the pattern on the substrate surface is able to block the formation and propagation of dislocations. Further analysis discloses that slope of the pattern is found to suppress the deposition of GaN, and thus to provide more spaces for the epitaxially lateral overgrowth (ELO) of high temperature GaN, which significantly reduces the number of the initial islands, and minimizes dislocation formation due to the island coalescence. V-defect incorporating the threading dislocation is detected in the InGaN/GaN multi-quantum wells (MQWs), and its propagation mechanism is determined as the decrease of the surface energy due to the incorporation of indium. In addition, temperature dependence of dislocation formation is further investigated. The results show that dislocation with the screw component decreases monotonously as temperature goes up. However, edge dislocation firstly drops, and then increases by temperature due to the enhanced thermal mismatch stress. It implies that an optimized range of the growth temperature can be obtained to improve quality of the LED layers.

  19. Wafer-scale Fabrication of Non-Polar Mesoporous GaN Distributed Bragg Reflectors via Electrochemical Porosification.

    PubMed

    Zhu, Tongtong; Liu, Yingjun; Ding, Tao; Fu, Wai Yuen; Jarman, John; Ren, Christopher Xiang; Kumar, R Vasant; Oliver, Rachel A

    2017-03-27

    Distributed Bragg reflectors (DBRs) are essential components for the development of optoelectronic devices. For many device applications, it is highly desirable to achieve not only high reflectivity and low absorption, but also good conductivity to allow effective electrical injection of charges. Here, we demonstrate the wafer-scale fabrication of highly reflective and conductive non-polar gallium nitride (GaN) DBRs, consisting of perfectly lattice-matched non-polar (11-20) GaN and mesoporous GaN layers that are obtained by a facile one-step electrochemical etching method without any extra processing steps. The GaN/mesoporous GaN DBRs exhibit high peak reflectivities (>96%) across the entire visible spectrum and wide spectral stop-band widths (full-width at half-maximum >80 nm), while preserving the material quality and showing good electrical conductivity. Such mesoporous GaN DBRs thus provide a promising and scalable platform for high performance GaN-based optoelectronic, photonic, and quantum photonic devices.

  20. Method of depositing multi-layer carbon-based coatings for field emission

    DOEpatents

    Sullivan, John P.; Friedmann, Thomas A.

    1999-01-01

    A novel field emitter device for cold cathode field emission applications, comprising a multi-layer resistive carbon film. The multi-layered film of the present invention is comprised of at least two layers of a resistive carbon material, preferably amorphous-tetrahedrally coordinated carbon, such that the resistivities of adjacent layers differ. For electron emission from the surface, the preferred structure comprises a top layer having a lower resistivity than the bottom layer. For edge emitting structures, the preferred structure of the film comprises a plurality of carbon layers, wherein adjacent layers have different resistivities. Through selection of deposition conditions, including the energy of the depositing carbon species, the presence or absence of certain elements such as H, N, inert gases or boron, carbon layers having desired resistivities can be produced. Field emitters made according the present invention display improved electron emission characteristics in comparison to conventional field emitter materials.

  1. To what extent can intracrater layered deposits that lack clear sedimentary textures be used to infer depositional environments?

    NASA Astrophysics Data System (ADS)

    Cadieux, Sarah B.; Kah, Linda C.

    2015-03-01

    Craters within Arabia Terra, Mars, contain hundreds of meters of layered strata showing systematic alternation between slope- and cliff-forming units, suggesting either rhythmic deposition of distinct lithologies or similar lithologies that experienced differential cementation. On Earth, rhythmically deposited strata can be examined in terms of stratal packaging, wherein the interplay of tectonics, sediment deposition, and base level (i.e., the position above which sediment accumulation is expected to be temporary) result in changes in the amount of space available for sediment accumulation. These predictable patterns of sediment deposition can be used to infer changes in basin accommodation regardless of the mechanism of deposition (e.g. fluvial, lacustrine, or aeolian). Here, we analyze sedimentary deposits from three craters (Becquerel Crater, Danielson Crater, Crater A) in Arabia Terra. Each crater contains layered deposits that are clearly observed in orbital images. Although orbital images are insufficient to specifically determine the origin of sedimentary deposits, depositional couplets can be interpreted in terms of potential accommodation space available for deposition, and changes in the distribution of couplet thickness through stratigraphy can be interpreted in terms of changing base level and the production of new accommodation space. Differences in stratal packaging in these three craters suggest varying relationships between sedimentary influx, sedimentary base level, and concomitant changes in accommodation space. Previous groundwater upwelling models hypothesize that layered sedimentary deposits were deposited under warm climate conditions of early Mars. Here, we use observed stacking patterns to propose a model for deposition under cold climate conditions, wherein episodic melting of ground ice could raise local base level, stabilize sediment deposition, and result in differential cementation of accumulated strata. Such analysis demonstrates that

  2. Highly sensitive hydrogen sensor based on graphite-InP or graphite-GaN Schottky barrier with electrophoretically deposited Pd nanoparticles

    PubMed Central

    2011-01-01

    Depositions on surfaces of semiconductor wafers of InP and GaN were performed from isooctane colloid solutions of palladium (Pd) nanoparticles (NPs) in AOT reverse micelles. Pd NPs in evaporated colloid and in layers deposited electrophoretically were monitored by SEM. Diodes were prepared by making Schottky contacts with colloidal graphite on semiconductor surfaces previously deposited with Pd NPs and ohmic contacts on blank surfaces. Forward and reverse current-voltage characteristics of the diodes showed high rectification ratio and high Schottky barrier heights, giving evidence of very small Fermi level pinning. A large increase of current was observed after exposing diodes to flow of gas blend hydrogen in nitrogen. Current change ratio about 700,000 with 0.1% hydrogen blend was achieved, which is more than two orders-of-magnitude improvement over the best result reported previously. Hydrogen detection limit of the diodes was estimated at 1 ppm H2/N2. The diodes, besides this extremely high sensitivity, have been temporally stable and of inexpensive production. Relatively more expensive GaN diodes have potential for functionality at high temperatures. PMID:21831273

  3. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  4. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  5. Optical regulation of protein adsorption and cell adhesion by photoresponsive GaN nanowires.

    PubMed

    Li, Jingying; Han, Qiusen; Zhang, Ying; Zhang, Wei; Dong, Mingdong; Besenbacher, Flemming; Yang, Rong; Wang, Chen

    2013-10-09

    Interfacing nanowires with living cells is attracting more and more interest due to the potential applications, such as cell culture engineering and drug delivery. We report on the feasibility of using photoresponsive semiconductor gallium nitride (GaN) nanowires (NWs) for regulating the behaviors of biomolecules and cells at the nano/biointerface. The GaN NWs have been fabricated by a facile chemical vapor deposition method. The superhydrophobicity to superhydrophilicity transition of the NWs is achieved by UV illumination. Bovine serum albumin adsorption could be modulated by photoresponsive GaN NWs. Tunable cell detachment and adhesion are also observed. The mechanism of the NW surface responsible for modulating both of protein adsorption and cell adhesion is discussed. These observations of the modulation effects on protein adsorption and cell adhesion by GaN NWs could provide a novel approach toward the regulation of the behaviors of biomolecules and cells at the nano/biointerface, which may be of considerable importance in the development of high-performance semiconductor nanowire-based biomedical devices for cell culture engineering, bioseparation, and diagnostics.

  6. Improved Efficiency of Polymer Solar Cells by means of Coating Hole Transporting Layer as Double Layer Deposition

    NASA Astrophysics Data System (ADS)

    Chonsut, T.; Kayunkid, N.; Rahong, S.; Rangkasikorn, A.; Wirunchit, S.; Kaewprajak, A.; Kumnorkaew, P.; Nukeaw, J.

    2017-09-01

    Polymer solar cells is one of the promising technologies that gain tremendous attentions in the field of renewable energy. Optimization of thickness for each layer is an important factor determining the efficiency of the solar cells. In this work, the optimum thickness of Poly(3,4-ethylenedioxythione): poly(styrenesulfonate) (PEDOT:PSS), a famous polymer widely used as hole transporting layer in polymer solar cells, is determined through the analyzing of device’s photovoltaic parameters, e.g. short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) as well as power conversion efficiency (PCE). The solar cells were prepared with multilayer of ITO/PEDOT:PSS/PCDTBT:PC70BM/TiOx/Al by rapid convective deposition. In such preparation technique, the thickness of the thin film is controlled by the deposition speed. The faster deposition speed is used, the thicker film is obtained. Furthermore, double layer deposition of PEDOT:PSS was introduced as an approach to improve solar cell efficiency. The results obviously reveal that, with the increase of PEDOT:PSS thickness, the increments of Jsc and FF play the important role to improve PCE from 3.21% to 4.03%. Interestingly, using double layer deposition of PEDOT:PSS shows the ability to enhance the performance of the solar cells to 6.12% under simulated AM 1.5G illumination of 100 mW/cm2.

  7. InGaN laser diode with metal-free laser ridge using n+-GaN contact layers

    NASA Astrophysics Data System (ADS)

    Malinverni, Marco; Tardy, Camille; Rossetti, Marco; Castiglia, Antonino; Duelk, Marcus; Vélez, Christian; Martin, Denis; Grandjean, Nicolas

    2016-06-01

    We report on InGaN edge emitting laser diodes with a top metal electrode located beside the laser ridge. Current spreading over the ridge is achieved via a highly doped n+-type GaN layer deposited on top of the structure. The low sheet resistance of the n+-GaN layer ensures excellent lateral current spreading, while carrier injection is confined all along the ridge thanks to current tunneling at the interface between the n+-GaN top layer and the p++-GaN layer. Continuous-wave lasing at 400 nm with an output power of 100 mW is demonstrated on uncoated facet devices with a threshold current density of 2.4 kA·cm-2.

  8. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    PubMed

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  9. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  10. Method of depositing multi-layer carbon-based coatings for field emission

    DOEpatents

    Sullivan, J.P.; Friedmann, T.A.

    1999-08-10

    A novel field emitter device is disclosed for cold cathode field emission applications, comprising a multi-layer resistive carbon film. The multi-layered film of the present invention is comprised of at least two layers of a resistive carbon material, preferably amorphous-tetrahedrally coordinated carbon, such that the resistivities of adjacent layers differ. For electron emission from the surface, the preferred structure comprises a top layer having a lower resistivity than the bottom layer. For edge emitting structures, the preferred structure of the film comprises a plurality of carbon layers, wherein adjacent layers have different resistivities. Through selection of deposition conditions, including the energy of the depositing carbon species, the presence or absence of certain elements such as H, N, inert gases or boron, carbon layers having desired resistivities can be produced. Field emitters made according the present invention display improved electron emission characteristics in comparison to conventional field emitter materials. 8 figs.

  11. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    NASA Astrophysics Data System (ADS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-11-01

    A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  12. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  13. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  14. Strain engineering of atomic and electronic structures of few-monolayer-thick GaN

    NASA Astrophysics Data System (ADS)

    Kolobov, A. V.; Fons, P.; Saito, Y.; Tominaga, J.; Hyot, B.; André, B.

    2017-07-01

    Two-dimensional (2D) semiconductors possess the potential to ultimately minimize the size of devices and concomitantly drastically reduce the corresponding energy consumption. In addition, materials in their atomic-scale limit often possess properties different from their bulk counterparts paving the way to conceptually novel devices. While graphene and 2D transition-metal dichalcogenides remain the most studied materials, significant interest also exists in the fabrication of atomically thin structures from traditionally 3D semiconductors such as GaN. While in the monolayer limit GaN possesses a graphenelike structure and an indirect band gap, it was recently demonstrated that few-layer GaN acquires a Haeckelite structure in the direction of growth with an effectively direct gap. In this work, we demonstrate the possibility of strain engineering of the atomic and electronic structure of few-monolayer-thick GaN structures, which opens new avenues for their practical application in flexible nanoelectronics and nano-optoelectronics. Our simulations further suggest that due to the weak van der Waals-like interaction between a substrate and an overlayer, the use of a MoS2 substrate may be a promising route to fabricate few-monolayer Haeckelite GaN experimentally.

  15. MARTA GANs: Unsupervised Representation Learning for Remote Sensing Image Classification

    NASA Astrophysics Data System (ADS)

    Lin, Daoyu; Fu, Kun; Wang, Yang; Xu, Guangluan; Sun, Xian

    2017-11-01

    With the development of deep learning, supervised learning has frequently been adopted to classify remotely sensed images using convolutional networks (CNNs). However, due to the limited amount of labeled data available, supervised learning is often difficult to carry out. Therefore, we proposed an unsupervised model called multiple-layer feature-matching generative adversarial networks (MARTA GANs) to learn a representation using only unlabeled data. MARTA GANs consists of both a generative model $G$ and a discriminative model $D$. We treat $D$ as a feature extractor. To fit the complex properties of remote sensing data, we use a fusion layer to merge the mid-level and global features. $G$ can produce numerous images that are similar to the training data; therefore, $D$ can learn better representations of remotely sensed images using the training data provided by $G$. The classification results on two widely used remote sensing image databases show that the proposed method significantly improves the classification performance compared with other state-of-the-art methods.

  16. Origin and evolution of the layered deposits in the Valles Marineris, Mars

    NASA Technical Reports Server (NTRS)

    Nedell, Susan S.; Squyres, Steven W.; Andersen, David W.

    1987-01-01

    Four hypotheses are discussed concerning the origin of the layered deposits in the Martian Valles Marineris, whose individual thicknesses range from about 70 to 300 m. The hypothesized processes are: (1) aeolian deposition; (2) deposition of remnants of the material constituting the canyon walls; (3) deposition of volcanic eruptions; and (4) deposition in standing bodies of water. The last process is chosen as most consistent with the rhythm and lateral continuity of the layers, as well as their great thickness and stratigraphic relationship with other units in the canyons. Attention is given to ways in which the sediments could have entered an ice-covered lake; several geologically feasible mechanisms are identified.

  17. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  18. Radiation sensors based on GaN microwires

    NASA Astrophysics Data System (ADS)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  19. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  20. Less strained and more efficient GaN light-emitting diodes with embedded silica hollow nanospheres

    PubMed Central

    Kim, Jonghak; Woo, Heeje; Joo, Kisu; Tae, Sungwon; Park, Jinsub; Moon, Daeyoung; Park, Sung Hyun; Jang, Junghwan; Cho, Yigil; Park, Jucheol; Yuh, Hwankuk; Lee, Gun-Do; Choi, In-Suk; Nanishi, Yasushi; Han, Heung Nam; Char, Kookheon; Yoon, Euijoon

    2013-01-01

    Light-emitting diodes (LEDs) become an attractive alternative to conventional light sources due to high efficiency and long lifetime. However, different material properties between GaN and sapphire cause several problems such as high defect density in GaN, serious wafer bowing, particularly in large-area wafers, and poor light extraction of GaN-based LEDs. Here, we suggest a new growth strategy for high efficiency LEDs by incorporating silica hollow nanospheres (S-HNS). In this strategy, S-HNSs were introduced as a monolayer on a sapphire substrate and the subsequent growth of GaN by metalorganic chemical vapor deposition results in improved crystal quality due to nano-scale lateral epitaxial overgrowth. Moreover, well-defined voids embedded at the GaN/sapphire interface help scatter lights effectively for improved light extraction, and reduce wafer bowing due to partial alleviation of compressive stress in GaN. The incorporation of S-HNS into LEDs is thus quite advantageous in achieving high efficiency LEDs for solid-state lighting. PMID:24220259

  1. Graphene transparent electrode for enhanced optical power and thermal stability in GaN light-emitting diodes.

    PubMed

    Youn, Doo-Hyeb; Yu, Young-Jun; Choi, Hongkyw; Kim, Suck-Hwan; Choi, Sung-Yool; Choi, Choon-Gi

    2013-02-22

    We report an improvement of the optical power and thermal stability of GaN LEDs using a chemically doped graphene transparent conducting layer (TCL) and a low-resistance contact structure. In order to obtain low contact resistance between the TCL and p-GaN surface, a patterned graphene TCL with Cr/Au electrodes is suggested. A bi-layer patterning method of a graphene TCL was utilized to prevent the graphene from peeling off the p-GaN surface. To improve the work function and the sheet resistance of graphene, CVD (chemical vapor deposition) graphene was doped by a chemical treatment using a HNO(3) solution. The effect of the contact resistance on the power degradation of LEDs at a high injection current level was investigated. In addition, the enhancement of the optical power via an increase in the current spreading and a decrease in the potential barrier of the graphene TCL was investigated.

  2. Graphene transparent electrode for enhanced optical power and thermal stability in GaN light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Youn, Doo-Hyeb; Yu, Young-Jun; Choi, HongKyw; Kim, Suck-Hwan; Choi, Sung-Yool; Choi, Choon-Gi

    2013-02-01

    We report an improvement of the optical power and thermal stability of GaN LEDs using a chemically doped graphene transparent conducting layer (TCL) and a low-resistance contact structure. In order to obtain low contact resistance between the TCL and p-GaN surface, a patterned graphene TCL with Cr/Au electrodes is suggested. A bi-layer patterning method of a graphene TCL was utilized to prevent the graphene from peeling off the p-GaN surface. To improve the work function and the sheet resistance of graphene, CVD (chemical vapor deposition) graphene was doped by a chemical treatment using a HNO3 solution. The effect of the contact resistance on the power degradation of LEDs at a high injection current level was investigated. In addition, the enhancement of the optical power via an increase in the current spreading and a decrease in the potential barrier of the graphene TCL was investigated.

  3. Detailed View of Cliff-face in the North Polar Layered Deposits

    NASA Technical Reports Server (NTRS)

    1998-01-01

    On Earth, geologists use layers of rock to 'read' the history of our planet. Where rocks were initially formed as layers of sediment, the historic record of Earth is deciphered by knowing that older layers are found beneath the younger layers. Scientists investigating changes in Earth's climate over the past few million years also use this principle to examine cores of ice from Greenland and Antarctica. Layered rock and layered polar deposits on Mars may also preserve a comparable record of that planet's geologic and environmental history.

    The martian north and south polar regions are covered by large areas of layered deposits. Since their discovery in the early 1970's, these polar layered deposits have been cited as the best evidence that the martian climate experiences cyclic changes over time. It was proposed that detailed investigation of the polar layers (e.g., by landers and/or human beings) would reveal a climate record of Mars in much the same way that ice cores from Antarctica are used to study past climates on Earth. On January 3, 1999, NASA's Mars Polar Lander and Deep Space 2 Penetrators will launch on a journey to study the upper layers of these deposits in the martian southern hemisphere.

    Meanwhile, investigation of the north polar layered deposits has advanced significantly this year with the acquisition of MGS data. The Mars Orbiter Laser Altimeter acquired new topographic profiles over the north polar deposits in June and early July, 1998, and dozens of new high resolution images were taken by the Mars Global Surveyor (MGS) Mars Orbiter Camera (MOC) from mid-July to mid-September, 1998. When it was proposed to NASA in 1985, one of the original objectives of MOC was to determine whether the polar layered deposits--then thought to consist of 10 to 100 layers each between 10 and 100 meters (33 to 330 feet) thick--have more and thinner layers in them. The layers were proposed to have formed by slow accumulation of dust and ice

  4. Influences of growth parameters on the reaction pathway during GaN synthesis

    NASA Astrophysics Data System (ADS)

    Zhang, Zhi; Liu, Zhongyi; Fang, Haisheng

    2018-01-01

    Gallium nitride (GaN) film growth is a complicated physical and chemical process including fluid flow, heat transfer, species transport and chemical reaction. Study of the reaction mechanism, i.e., the reaction pathway, is important for optimizing the growth process in the actual manufacture. In the paper, the growth pathway of GaN in a closed-coupled showerhead metal-organic chemical vapor deposition (CCS-MOCVD) reactor is investigated in detail using computational fluid dynamics (CFD). Influences of the process parameters, such as the chamber pressure, the inlet temperature, the susceptor temperature and the pre-exponential factor, on the reaction pathway are examined. The results show that increases of the chamber pressure or the inlet temperature, as well as reductions of the susceptor temperature or the pre-exponential factor lead to the adduct route dominating the growth. The deposition rate contributed by the decomposition route, however, can be enhanced dramatically by increasing the inlet temperature, the susceptor temperature and the pre-exponential factor.

  5. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  6. Kinetics of optically excited charge carriers at the GaN surface: Influence of catalytic Pt nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Winnerl, Andrea, E-mail: andrea.winnerl@wsi.tum.de; Pereira, Rui N.; Stutzmann, Martin

    2015-10-21

    In this work, we use GaN with different deposited Pt nanostructures as a controllable model system to investigate the kinetics of photo-generated charge carriers in hybrid photocatalysts. We combine conductance and contact potential difference measurements to investigate the influence of Pt on the processes involved in the capture and decay of photo-generated charge carriers at and close to the GaN surface. We found that in the presence of Pt nanostructures the photo-excitation processes are similar to those found in Pt free GaN. However, in GaN with Pt nanostructures, photo-generated holes are preferentially trapped in surface states of the GaN coveredmore » with Pt and/or in electronic states of the Pt and lead to an accumulation of positive charge there, whereas negative charge is accumulated in localized states in a shallow defect band of the GaN covered with Pt. This preferential accumulation of photo-generated electrons close to the surface is responsible for a dramatic acceleration of the turn-off charge transfer kinetics and a stronger dependence of the surface photovoltage on light intensity when compared to a Pt free GaN surface. Our study shows that in hybrid photocatalysts, the metal nanostructures induce a spatially inhomogeneous surface band bending of the semiconductor that promotes a lateral drift of photogenerated charges towards the catalytic nanostructures.« less

  7. Nanoparticle layer deposition for highly controlled multilayer formation based on high- coverage monolayers of nanoparticles

    PubMed Central

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2015-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers – nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular – layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. PMID:26726273

  8. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    PubMed

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  9. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  10. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    NASA Astrophysics Data System (ADS)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  11. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  12. High power blue laser diodes on semipolar (202¯1¯) GaN substrates

    NASA Astrophysics Data System (ADS)

    Pourhashemi, Seyed Arash

    High power blue laser didoes (LDs), among other applications, show the promise of realizing efficient and reliable solid state lighting systems. Since first GaN optoelectronic devices were demonstrated in early 1990s, GaN LDs were traditionally fabricated on polar c-plane. However in recent years there has been a growing interest in nonpolar and semipolar planes. Nonpolar and semipolar devices offer the prospect of achieving higher efficiencies though elimination or reduction of polarization-related electric fields. In this project I investigated semipolar (202 ¯1 ¯) plane of GaN for blue LDs fabrication. Results include blue LD (Lambda=450 nm) with highest output power, differential quantum efficiency (?d) and external quantum efficiency (EQE) reported for a GaN LD on a semipolar plane to date. Output power of 2.52 W, etad=50% and EQE=39% were achieved in pulsed mode and output power of 1.71 W was achieved in true CW mode. Moreover, use of indium tin oxide (ITO) as cladding layer in order to reduce the thickness of Mg-doped p-GaN layer was investigated. Blue LDs with ITO cladding were demonstrated in this work with highest output power, etad and EQE reported for a GaN LD with transparent conducting oxide (TCO) cladding layer to date. The lack of any natural cleavage plane orthogonal to the in-plane projection of the c-axis on semipolar planes has made Cl2-based dry etch processes the most common way to form mirror facets for semipolar LDs. However, mirror facets fabricated by dry etching can be inclined or rough. For this work, mechanical polishing was used to form LD mirror facets. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high power CW semipolar LDs.

  13. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2005-10-18

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  14. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2003-09-09

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  15. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  16. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  17. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    PubMed

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  18. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  19. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer

    DOEpatents

    Chavarkar, Prashant; Smorchkova, Ioulia P.; Keller, Stacia; Mishra, Umesh; Walukiewicz, Wladyslaw; Wu, Yifeng

    2005-02-01

    A Group III nitride based high electron mobility transistors (HEMT) is disclosed that provides improved high frequency performance. One embodiment of the HEMT comprises a GaN buffer layer, with an Al.sub.y Ga.sub.1-y N (y=1 or y 1) layer on the GaN buffer layer. An Al.sub.x Ga.sub.1-x N (0.ltoreq.x.ltoreq.0.5) barrier layer on to the Al.sub.y Ga.sub.1-y N layer, opposite the GaN buffer layer, Al.sub.y Ga.sub.1-y N layer having a higher Al concentration than that of the Al.sub.x Ga.sub.1-x N barrier layer. A preferred Al.sub.y Ga.sub.1-y N layer has y=1 or y.about.1 and a preferred Al.sub.x Ga.sub.1-x N barrier layer has 0.ltoreq.x.ltoreq.0.5. A 2DEG forms at the interface between the GaN buffer layer and the Al.sub.y Ga.sub.1-y N layer. Respective source, drain and gate contacts are formed on the Al.sub.x Ga.sub.1-x N barrier layer. The HEMT can also comprising a substrate adjacent to the buffer layer, opposite the Al.sub.y Ga.sub.1-y N layer and a nucleation layer between the Al.sub.x Ga.sub.1-x N buffer layer and the substrate.

  20. Simulating Porous Magnetite Layer Deposited on Alloy 690TT Steam Generator Tubes

    PubMed Central

    Jeon, Soon-Hyeok; Son, Yeong-Ho; Choi, Won-Ik; Song, Geun Dong; Hur, Do Haeng

    2018-01-01

    In nuclear power plants, the main corrosion product that is deposited on the outside of steam generator tubes is porous magnetite. The objective of this study was to simulate porous magnetite that is deposited on thermally treated (TT) Alloy 690 steam generator tubes. A magnetite layer was electrodeposited on an Alloy 690TT substrate in an Fe(III)-triethanolamine solution. After electrodeposition, the dense magnetite layer was immersed to simulate porous magnetite deposits in alkaline solution for 50 days at room temperature. The dense morphology of the magnetite layer was changed to a porous structure by reductive dissolution reaction. The simulated porous magnetite layer was compared with flakes of steam generator tubes, which were collected from the secondary water system of a real nuclear power plant during sludge lancing. Possible nuclear research applications using simulated porous magnetite specimens are also proposed. PMID:29301316

  1. Liquid-phase-deposited siloxane-based capping layers for silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Veith-Wolf, Boris; Wang, Jianhui; Hannu-Kuure, Milja

    2015-02-02

    We apply non-vacuum processing to deposit dielectric capping layers on top of ultrathin atomic-layer-deposited aluminum oxide (AlO{sub x}) films, used for the rear surface passivation of high-efficiency crystalline silicon solar cells. We examine various siloxane-based liquid-phase-deposited (LPD) materials. Our optimized AlO{sub x}/LPD stacks show an excellent thermal and chemical stability against aluminum metal paste, as demonstrated by measured surface recombination velocities below 10 cm/s on 1.3 Ωcm p-type silicon wafers after firing in a belt-line furnace with screen-printed aluminum paste on top. Implementation of the optimized LPD layers into an industrial-type screen-printing solar cell process results in energy conversion efficiencies ofmore » up to 19.8% on p-type Czochralski silicon.« less

  2. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  3. Transparent Oxide TFTs Fabricated by Atomic Layer Deposition

    DTIC Science & Technology

    2014-04-17

    Transparent Oxide TFTs Fabricated by Atomic Layer Deposition(FA2386-11-1-114052) Yukiharu Uraoka, Nara Institute of Science and Technology Term...2011.5.1-2012.4.30 Purpose and Background: In recent years, the application of zinc oxide (ZnO) thin films as an active channel layer in TFTs has...or other flexible substrates. Higher field-effect mobility of ZnO TFTs than a-Si:H TFTs has been recently demonstrated. However, reliability for

  4. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    PubMed

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  5. GdN nanoisland-based GaN tunnel junctions.

    PubMed

    Krishnamoorthy, Sriram; Kent, Thomas F; Yang, Jing; Park, Pil Sung; Myers, Roberto C; Rajan, Siddharth

    2013-06-12

    Tunnel junctions could have a great impact on gallium nitride and aluminum nitride-based devices such as light-emitting diodes and lasers by overcoming critical challenges related to hole injection and p-contacts. This paper demonstrates the use of GdN nanoislands to enhance interband tunneling and hole injection into GaN p-n junctions by several orders of magnitude, resulting in low tunnel junction specific resistivity (1.3 × 10(-3) Ω-cm(2)) compared to the previous results in wide band gap semiconductors. Tunnel injection of holes was confirmed by low-temperature operation of GaN p-n junction with a tunneling contact layer, and strong electroluminescence down to 20 K. The low tunnel junction resistance combined with low optical absorption loss in GdN is very promising for incorporation in GaN-based light emitters.

  6. Structural defects in bulk GaN

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Z.; dos Reis, R.; Mancuso, M.; Song, C. Y.; Grzegory, I.; Porowski, S.; Bockowski, M.

    2014-10-01

    Transmission Electron Microscopy (TEM) studies of undoped and Mg doped GaN layers grown on the HVPE substrates by High Nitrogen Pressure Solution (HNPS) with the multi-feed-seed (MFS) configuration are shown. The propagation of dislocations from the HVPE substrate to the layer is observed. Due to the interaction between these dislocations in the thick layers much lower density of these defects is observed in the upper part of the HNPS layers. Amorphous Ga precipitates with attached voids pointing toward the growth direction are observed in the undoped layer. This is similar to the presence of Ga precipitates in high-pressure platelets, however the shape of these precipitates is different. The Mg doped layers do not show Ga precipitates, but MgO rectangular precipitates are formed, decorating the dislocations. Results of TEM studies of HVPE layers grown on Ammonothermal substrates are also presented. These layers have superior crystal quality in comparison to the HNPS layers, as far as density of dislocation is concern. Occasionally some small inclusions can be found, but their chemical composition was not yet determined. It is expected that growth of the HNPS layers on these substrate will lead to large layer thickness obtained in a short time and with high crystal perfection needed in devices.

  7. First high-resolution stratigraphic column of the Martian north polar layered deposits

    USGS Publications Warehouse

    Fishbaugh, K.E.; Hvidberg, C.S.; Byrne, S.; Russell, P.S.; Herkenhoff, K. E.; Winstrup, M.; Kirk, R.

    2010-01-01

    This study achieves the first high-spatial-resolution, layer-scale, measured stratigraphic column of the Martian north polar layered deposits using a 1m-posting DEM. The marker beds found throughout the upper North Polar Layered Deposits range in thickness from 1.6 m-16.0 m +/-1.4 m, and 6 of 13 marker beds are separated by ???25-35 m. Thin-layer sets have average layer separations of 1.6 m. These layer separations may account for the spectral-power-peaks found in previous brightness-profile analyses. Marker-bed layer thicknesses show a weak trend of decreasing thickness with depth that we interpret to potentially be the result of a decreased accumulation rate in the past, for those layers. However, the stratigraphic column reveals that a simple rhythmic or bundled layer sequence is not immediately apparent throughout the column, implying that the relationship between polar layer formation and cyclic climate forcing is quite complex. Copyright ?? 2010 by the American Geophysical Union.

  8. First high-resolution stratigraphic column of the Martian north polar layered deposits

    NASA Astrophysics Data System (ADS)

    Fishbaugh, Kathryn E.; Hvidberg, Christine S.; Byrne, Shane; Russell, Patrick S.; Herkenhoff, Kenneth E.; Winstrup, Mai; Kirk, Randolph

    2010-04-01

    This study achieves the first high-spatial-resolution, layer-scale, measured stratigraphic column of the Martian north polar layered deposits using a 1m-posting DEM. The marker beds found throughout the upper North Polar Layered Deposits range in thickness from 1.6 m-16.0 m +/- 1.4 m, and 6 of 13 marker beds are separated by ˜25-35 m. Thin-layer sets have average layer separations of 1.6 m. These layer separations may account for the spectral-power-peaks found in previous brightness-profile analyses. Marker-bed layer thicknesses show a weak trend of decreasing thickness with depth that we interpret to potentially be the result of a decreased accumulation rate in the past, for those layers. However, the stratigraphic column reveals that a simple rhythmic or bundled layer sequence is not immediately apparent throughout the column, implying that the relationship between polar layer formation and cyclic climate forcing is quite complex.

  9. High kappa Dielectrics on InGaAs and GaN: Growth, Interfacial Structural Studies, and Surface Fermi Level Unpinning

    DTIC Science & Technology

    2010-12-24

    nano-thick Al2O3, HfO2, and Ga2O3 (Gd2O3)/ InGaAs (and GaN) using high-resolution x-ray reflectivity using in-situ/ex-situ high-resolution synchrotron...aligned inversion-channel In0.75Ga0.25As MOSFETs using MBE- grown Al2O3/ Ga2O3 (Gd2O3) Chips integrating high κ’s/InGaAs and /Ge onto Si substrates have...using molecular beam epitaxy (MBE)-Al2O3/ Ga2O3 (Gd2O3) [GGO] and atomic layer deposited (ALD)-Al2O3, with gate lengths (LG) of 1 μm and 0.4 μm

  10. CsPbBr 3 Solar Cells: Controlled Film Growth through Layer-by-Layer Quantum Dot Deposition

    DOE PAGES

    Hoffman, Jacob B.; Zaiats, Gary; Wappes, Isaac; ...

    2017-10-25

    All inorganic cesium lead bromide (CsPbBr 3) perovskite is a more stable alternative to methylammonium lead bromide (MAPbBr 3) for designing high open-circuit voltage solar cells and display devices. Poor solubility of CsBr in organic solvents makes typical solution deposition methods difficult to adapt for constructing CsPbBr 3 devices. Our layer-by-layer methodology, which makes use of CsPbBr 3 quantum dot (QD) deposition followed by annealing, provides a convenient way to cast stable films of desired thickness. The transformation from QDs into bulk during thermal annealing arises from the resumption of nanoparticle growth and not from sintering as generally assumed. Additionally,more » a large loss of organic material during the annealing process is mainly from 1-octadecene left during the QD synthesis. Utilizing this deposition approach for perovskite photovoltaics is examined using typical planar architecture devices. Devices optimized to both QD spin-casting concentration and overall CsPbBr 3 thickness produce champion devices that reach power conversion efficiencies of 5.5% with a V oc value of 1.4 V. Finally, the layered QD deposition demonstrates a controlled perovskite film architecture for developing efficient, high open-circuit photovoltaic devices.« less

  11. CsPbBr 3 Solar Cells: Controlled Film Growth through Layer-by-Layer Quantum Dot Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoffman, Jacob B.; Zaiats, Gary; Wappes, Isaac

    All inorganic cesium lead bromide (CsPbBr 3) perovskite is a more stable alternative to methylammonium lead bromide (MAPbBr 3) for designing high open-circuit voltage solar cells and display devices. Poor solubility of CsBr in organic solvents makes typical solution deposition methods difficult to adapt for constructing CsPbBr 3 devices. Our layer-by-layer methodology, which makes use of CsPbBr 3 quantum dot (QD) deposition followed by annealing, provides a convenient way to cast stable films of desired thickness. The transformation from QDs into bulk during thermal annealing arises from the resumption of nanoparticle growth and not from sintering as generally assumed. Additionally,more » a large loss of organic material during the annealing process is mainly from 1-octadecene left during the QD synthesis. Utilizing this deposition approach for perovskite photovoltaics is examined using typical planar architecture devices. Devices optimized to both QD spin-casting concentration and overall CsPbBr 3 thickness produce champion devices that reach power conversion efficiencies of 5.5% with a V oc value of 1.4 V. Finally, the layered QD deposition demonstrates a controlled perovskite film architecture for developing efficient, high open-circuit photovoltaic devices.« less

  12. The polar layered deposits on Mars: Inference from thermal inertia modeling and geologic studies

    NASA Technical Reports Server (NTRS)

    Herkenhoff, K. E.

    1992-01-01

    It is widely believed that the Martian polar layered deposits record climate variations over at least the last 10 to 100 m.y., but the details of the processes involved and their relative roles in layer formation and evolution remain obscure. Weathering of the Martian layered deposits by sublimation of water ice can account for the thermal inertias, water vapor abundances, and geologic relationships observed in the Martian polar regions. The nonvolatile components of the layered deposits appears to consist mainly of bright red dust, with small amounts of dark dust. Dark dust, perhaps similar to the magnetic material found at the Viking Lander sites, may preferentially form filamentary residue particles upon weathering of the deposits. Once eroded, these particles may saltate to form the dark dunes found in both polar regions. This scenario for the origin and evolution of the dark material within the polar layered deposits is consistent with the available imaging and thermal data. Further experimental measurements of the thermophysical properties of magnetite and maghemite under Martian conditions are needed to better test this hypothesis.

  13. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  14. Surface properties of Mars' polar layered deposits and polar landing sites

    USGS Publications Warehouse

    Vasavada, Ashwin R.; Williams, Jean-Pierre; Paige, David A.; Herkenhoff, Ken E.; Bridges, Nathan T.; Greeley, Ronald; Murray, Bruce C.; Bass, Deborah S.; McBride, Karen S.

    2000-01-01

    On December 3, 1999, the Mars Polar Lander and Mars Microprobes will land on the planet's south polar layered deposits near (76°S, 195°W) and conduct the first in situ studies of the planet's polar regions. The scientific goals of these missions address several poorly understood and globally significant issues, such as polar meteorology, the composition and volatile content of the layered deposits, the erosional state and mass balance of their surface, their possible relationship to climate cycles, and the nature of bright and dark aeolian material. Derived thermal inertias of the southern layered deposits are very low (50-100 J m-2 s-1/2 K-1), suggesting that the surface down to a depth of a few centimeters is generally fine grained or porous and free of an appreciable amount of rock or ice. The landing site region is smoother than typical cratered terrain on ∼1 km pixel-1 Viking Orbiter images but contains low-relief texture on ∼5 to 100 m pixel-1 Mariner 9 and Mars Global Surveyor images. The surface of the southern deposits is older than that of the northern deposits and appears to be modified by aeolian erosion or ablation of ground ice.

  15. Core-shell-structured nanothermites synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Qin, Lijun; Gong, Ting; Hao, Haixia; Wang, Keyong; Feng, Hao

    2013-12-01

    Thermite materials feature very exothermic solid-state redox reactions. However, the energy release rates of traditional thermite mixtures are limited by the reactant diffusion velocities. In this work, atomic layer deposition (ALD) is utilized to synthesize thermite materials with greatly enhanced reaction rates. By depositing certain types of metal oxides (oxidizers) onto a commercial Al nanopowder, core-shell-structured nanothermites can be produced. The average film deposition rate on the Al nanopowder is 0.17 nm/cycle for ZnO and 0.031 nm/cycle for SnO2. The thickness of the oxidizer layer can be precisely controlled by adjusting the ALD cycle number. The compositions, morphologies, and structures of the ALD nanothermites are characterized by X-ray photoelectron spectroscopy, scanning electron microscopy, and high-resolution transmission electron microscopy. The characterization results reveal nearly perfect coverage of the Al nanoparticles by uniform ALD oxidizer layers and confirm the formation of core-shell nanoparticles. Combustion properties of the nanothermites are probed by laser ignition technique. Reactions of the core-shell-structured nanothermites are several times faster than the mixture of nanopowders. The promoted reaction rate is mostly attributed to the uniform distribution of reactants on the nanometer scale. These core-shell-structured nanothermites provide a potential pathway to control and enhance thermite reactions.

  16. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  17. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  18. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  19. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  20. Atomic layer deposition-based functionalization of materials for medical and environmental health applications

    PubMed Central

    Narayan, Roger J.; Adiga, Shashishekar P.; Pellin, Michael J.; Curtiss, Larry A.; Hryn, Alexander J.; Stafslien, Shane; Chisholm, Bret; Shih, Chun-Che; Shih, Chun-Ming; Lin, Shing-Jong; Su, Yea-Yang; Jin, Chunming; Zhang, Junping; Monteiro-Riviere, Nancy A.; Elam, Jeffrey W.

    2010-01-01

    Nanoporous alumina membranes exhibit high pore densities, well-controlled and uniform pore sizes, as well as straight pores. Owing to these unusual properties, nanoporous alumina membranes are currently being considered for use in implantable sensor membranes and water purification membranes. Atomic layer deposition is a thin-film growth process that may be used to modify the pore size in a nanoporous alumina membrane while retaining a narrow pore distribution. In addition, films deposited by means of atomic layer deposition may impart improved biological functionality to nanoporous alumina membranes. In this study, zinc oxide coatings and platinum coatings were deposited on nanoporous alumina membranes by means of atomic layer deposition. PEGylated nanoporous alumina membranes were prepared by self-assembly of 1-mercaptoundec-11-yl hexa(ethylene glycol) on platinum-coated nanoporous alumina membranes. The pores of the PEGylated nanoporous alumina membranes remained free of fouling after exposure to human platelet-rich plasma; protein adsorption, fibrin networks and platelet aggregation were not observed on the coated membrane surface. Zinc oxide-coated nanoporous alumina membranes demonstrated activity against two waterborne pathogens, Escherichia coli and Staphylococcus aureus. The results of this work indicate that nanoporous alumina membranes may be modified using atomic layer deposition for use in a variety of medical and environmental health applications. PMID:20308114

  1. Influence of the heterostructure design on the optical properties of GaN and Al0.1Ga0.9N quantum dots for ultraviolet emission

    NASA Astrophysics Data System (ADS)

    Matta, S.; Brault, J.; Ngo, T. H.; Damilano, B.; Korytov, M.; Vennéguès, P.; Nemoz, M.; Massies, J.; Leroux, M.; Gil, B.

    2017-08-01

    The optical properties of AlyGa1-yN quantum dots (QDs), with y = 0 or y = 0.1, in an AlxGa1-xN matrix are studied. The influence of the QD layer design is investigated pointing out the correlations between the QD structural and optical properties. In a first part, the role of the epitaxial strain in the dot self-assembling process is studied by fabricating GaN QD layers on different AlxGa1-xN layers with 0.5 ≤ x ≤ 0.7. Photoluminescence (PL) measurements show the main influence of the increase of the internal electric field (Fint) on the QD optical response inducing a strong red shift in the emission energy as x increases. Time resolved combined with temperature dependent PL measurements enabled the estimation of the QD internal quantum efficiencies at low temperature showing values around 50%. In addition, a PL integrated intensity ratio up to 74% is shown, between 300 and 9 K. In the second part, the design of Al0.1Ga0.9N QDs was investigated, by varying the Al0.1Ga0.9N amount deposited. An increase of the transition energy (from 3.65 eV up to 3.83 eV) is obtained while decreasing the deposited amount. Calculations of the ground state transition energies as a function of the Al0.1Ga0.9N dot height give a value of Fint around 2.0 ± 0.5 MV/cm. Therefore, the propensity of Al0.1Ga0.9N dots to emit at much higher energies than GaN dots (a PL shift of ˜1 eV using a low excitation power) is seen as the consequence of the reduced Fint together with their smaller sizes.

  2. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    PubMed

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  3. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  4. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  5. Cost-Effective Systems for Atomic Layer Deposition

    ERIC Educational Resources Information Center

    Lubitz, Michael; Medina, Phillip A., IV; Antic, Aleks; Rosin, Joseph T.; Fahlman, Bradley D.

    2014-01-01

    Herein, we describe the design and testing of two different home-built atomic layer deposition (ALD) systems for the growth of thin films with sub-monolayer control over film thickness. The first reactor is a horizontally aligned hot-walled reactor with a vacuum purging system. The second reactor is a vertically aligned cold-walled reactor with a…

  6. Determination of band offsets at GaN/single-layer MoS{sub 2} heterojunction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tangi, Malleswararao; Mishra, Pawan; Ng, Tien Khee

    2016-07-18

    We report the band alignment parameters of the GaN/single-layer (SL) MoS{sub 2} heterostructure where the GaN thin layer is grown by molecular beam epitaxy on CVD deposited SL-MoS{sub 2}/c-sapphire. We confirm that the MoS{sub 2} is an SL by measuring the separation and position of room temperature micro-Raman E{sup 1}{sub 2g} and A{sup 1}{sub g} modes, absorbance, and micro-photoluminescence bandgap studies. This is in good agreement with HRTEM cross-sectional analysis. The determination of band offset parameters at the GaN/SL-MoS{sub 2} heterojunction is carried out by high-resolution X-ray photoelectron spectroscopy accompanying with electronic bandgap values of SL-MoS{sub 2} and GaN. Themore » valence band and conduction band offset values are, respectively, measured to be 1.86 ± 0.08 and 0.56 ± 0.1 eV with type II band alignment. The determination of these unprecedented band offset parameters opens up a way to integrate 3D group III nitride materials with 2D transition metal dichalcogenide layers for designing and modeling of their heterojunction based electronic and photonic devices.« less

  7. Wideband Reconfigurable Harmonically Tuned GaN SSPA for Cognitive Radios

    NASA Technical Reports Server (NTRS)

    Waldstein, Seth W.; Barbosa Kortright, Miguel A.; Simons, Rainee N.

    2017-01-01

    The paper presents the architecture of a wideband reconfigurable harmonically-tuned Gallium Nitrate (GaN) Solid State Power Amplifier (SSPA) for cognitive radios. When interfaced with the physical layer of a cognitive communication system, this amplifier topology offers broadband high efficiency through the use of multiple tuned input/output matching networks. This feature enables the cognitive radio to reconfigure the operating frequency without sacrificing efficiency. This paper additionally presents as a proof-of-concept the design, fabrication, and test results for a GaN inverse class-F type amplifier operating at X-band (8.4 GHz) that achieves a maximum output power of 5.14-W, Power Added Efficiency (PAE) of 38.6, and Drain Efficiency (DE) of 48.9 under continuous wave (CW) operation.

  8. Evaporation Source for Deposition of Protective Layers inside Tubes

    NASA Astrophysics Data System (ADS)

    Musa, Geavit; Mustata, Ion; Dinescu, Gheorghe; Bajeu, George; Raiciu, Elena

    1992-09-01

    A heated cathode arc can be ignited in vacuum in the vapours of the anode material due to the accelerated electron beam from the cathode. A small assembly, consisting of an electron gun as the cathode and a refractory metal crucible, containing the material to be evaporated, as the anode, can be moved along the axis of the tube whose inside wall is to be covered with a protective layer. The vacuum arc ignited between the electrodes in the vapours of the evaporating anode material ensures a high deposition rate with low thermal energy transport to the tube wall. This new method can be used for the deposition of various metal layers inside different kinds of tubes (metallic, glass, ceramics or plastics).

  9. Defects in N/Ge coimplanted GaN studied by positron annihilation

    NASA Astrophysics Data System (ADS)

    Nakano, Yoshitaka; Kachi, Tetsu

    2002-01-01

    We have applied positron annihilation spectroscopy to study the depth distributions and species of defects in N-, Ge-, and N/Ge-implanted GaN at dosages of 1×1015 cm-2. For all the implanted samples, Ga vacancies introduced by ion-implantation are found to diffuse into much deeper regions of the GaN layers during the implantation and to change into some other vacancy-type defects by the annealing at 1300 °C. In particular, markedly different defects turn out to be newly created in the electrically activated regions for both the Ge- and N/Ge-implanted samples after annealing, indicating that these new defects are probably associated with the presence of the implanted Ge dopant atoms.

  10. An Introduction to Atomic Layer Deposition with Thermal Applications

    NASA Technical Reports Server (NTRS)

    Dwivedi, Vivek H.

    2015-01-01

    Atomic Layer Deposition (ALD) is a cost effective nano-manufacturing technique that allows for the conformal coating of substrates with atomic control in a benign temperature and pressure environment. Through the introduction of paired precursor gases thin films can be deposited on a myriad of substrates ranging from glass, polymers, aerogels, and metals to high aspect ratio geometries. This talk will focus on the utilization of ALD for engineering applications.

  11. Magmatic ore deposits in layered intrusions - Descriptive model for reef-type PGE and contact-type Cu-Ni-PGE deposits

    USGS Publications Warehouse

    Zientek, Michael L.

    2012-01-01

    Layered, ultramafic to mafic intrusions are uncommon in the geologic record, but host magmatic ore deposits containing most of the world's economic concentrations of platinum-group elements (PGE) (figs. 1 and 2). These deposits are mined primarily for their platinum, palladium, and rhodium contents (table 1). Magmatic ore deposits are derived from accumulations of crystals of metallic oxides, or immiscible sulfide, or oxide liquids that formed during the cooling and crystallization of magma, typically with mafic to ultramafic compositions. "PGE reefs" are stratabound PGE-enriched lode mineralization in mafic to ultramafic layered intrusions. The term "reef" is derived from Australian and South African literature for this style of mineralization and used to refer to (1) the rock layer that is mineralized and has distinctive texture or mineralogy (Naldrett, 2004), or (2) the PGE-enriched sulfide mineralization that occurs within the rock layer. For example, Viljoen (1999) broadly defined the Merensky Reef as "a mineralized zone within or closely associated with an unconformity surface in the ultramafic cumulate at the base of the Merensky Cyclic Unit." In this report, we will use the term PGE reef to refer to the PGE-enriched mineralization, not the host rock layer. Within a layered igneous intrusion, reef-type mineralization is laterally persistent along strike, extending for the length of the intrusion, typically tens to hundreds of kilometers. However, the mineralized interval is thin, generally centimeters to meters thick, relative to the stratigraphic thickness of layers in an intrusion that vary from hundreds to thousands of meters. PGE-enriched sulfide mineralization is also found near the contacts or margins of layered mafic to ultramafic intrusions (Iljina and Lee, 2005). This contact-type mineralization consists of disseminated to massive concentrations of iron-copper-nickel-PGE-enriched sulfide mineral concentrations in zones that can be tens to hundreds

  12. Measurement of second order susceptibilities of GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.

    2005-03-01

    Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.

  13. Characterization of Layered Deposits inside Valles Marineris

    NASA Technical Reports Server (NTRS)

    Weitz, Catherine; Anderson, Scott; Parker, Tim; Grant, John

    2005-01-01

    This report represents the final progress report on our study of the Melas Chasma region on Mars that was proposed as a landing site for the Mars Exploration Rover mission (MER). During this two-year proposal (which was extended over three years by a no-cost extension), we conducted a thorough study of the layered deposits in western Melas Chasma that had been the location of a high priority MER mission landing ellipse within Valles Marineris. All available data sets from orbiter missions, including MOC, MOLA, THEMIS visible and infrared images were all used to analyze this site. The major outcome of this work was a published paper in the Journal of Geophysics and Research Planets [Weitz et al., 2004]. Our geologic mapping and interpretation of the MOC images suggest the landing ellipse contains three main geomorphologic units: (1) a blocky deposit consisting of bright blocks in a darker matrix (BD); (2) sand sheets composed of dark dunes; and (3) landslide deposits emanating from the wallrock to the west [Weitz et al., 2003]. Furthermore, we propose that the morphology of the BD unit in western Melas is a mass wasting deposit composed of blocks of Interior Layered Deposits (ILDs) mixed in with wallrock material. However, more recent MOC images indicate that in the eastern portion of the ellipse and adjacent to a large hill, there are blocks of material resembling those seen in BD. Hence, we cannot rule out the source of BD was this hill. Unfortunately, sand dunes obscure much of the deposit around this hill, making it impossible to precisely determine the connection between the hill and BD, whereas BD can be traced directly to the southern Melas wallrock. If BD resulted from a mass wasting event in the southern wallrock, then we would expect the material to be concentrated further to the north, as now appears to be the case. In summary, the exact source location for BD continues to remain a mystery, but we favor an origin from either the southern wallrock [Weitz

  14. Molecular layer deposition of alucone films using trimethylaluminum and hydroquinone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Devika; Sarkar, Shaibal K., E-mail: shaibal.sarkar@iitb.ac.in; Mahuli, Neha

    2015-01-01

    A hybrid organic–inorganic polymer film grown by molecular layer deposition (MLD) is demonstrated here. Sequential exposures of trimethylaluminum [Al(CH{sub 3}){sub 3}] and hydroquinone [C{sub 6}H{sub 4}(OH){sub 2}] are used to deposit the polymeric films, which is a representative of a class of aluminum oxide polymers known as “alucones.” In-situ quartz crystal microbalance (QCM) studies are employed to determine the growth characteristics. An average growth rate of 4.1 Å per cycle at 150 °C is obtained by QCM and subsequently verified with x-ray reflectivity measurements. Surface chemistry during each MLD-half cycle is studied in depth by in-situ Fourier transform infrared (FTIR) vibrationmore » spectroscopy. Self limiting nature of the reaction is confirmed from both QCM and FTIR measurements. The conformal nature of the deposit, typical for atomic layer deposition and MLD, is verified with transmission electron microscopy imaging. Secondary ion mass spectroscopy measurements confirm the uniform elemental distribution along the depth of the films.« less

  15. Lubricant-infused nanoparticulate coatings assembled by layer-by-layer deposition

    DOE PAGES

    Sunny, Steffi; Vogel, Nicolas; Howell, Caitlin; ...

    2014-09-01

    Omniphobic coatings are designed to repel a wide range of liquids without leaving stains on the surface. A practical coating should exhibit stable repellency, show no interference with color or transparency of the underlying substrate and, ideally, be deposited in a simple process on arbitrarily shaped surfaces. We use layer-by-layer (LbL) deposition of negatively charged silica nanoparticles and positively charged polyelectrolytes to create nanoscale surface structures that are further surface-functionalized with fluorinated silanes and infiltrated with fluorinated oil, forming a smooth, highly repellent coating on surfaces of different materials and shapes. We show that four or more LbL cycles introducemore » sufficient surface roughness to effectively immobilize the lubricant into the nanoporous coating and provide a stable liquid interface that repels water, low-surface-tension liquids and complex fluids. The absence of hierarchical structures and the small size of the silica nanoparticles enables complete transparency of the coating, with light transmittance exceeding that of normal glass. The coating is mechanically robust, maintains its repellency after exposure to continuous flow for several days and prevents adsorption of streptavidin as a model protein. As a result, the LbL process is conceptually simple, of low cost, environmentally benign, scalable, automatable and therefore may present an efficient synthetic route to non-fouling materials.« less

  16. Lubricant-Infused Nanoparticulate Coatings Assembled by Layer-by-Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sunny, S; Vogel, N; Howell, C

    2014-09-01

    Omniphobic coatings are designed to repel a wide range of liquids without leaving stains on the surface. A practical coating should exhibit stable repellency, show no interference with color or transparency of the underlying substrate and, ideally, be deposited in a simple process on arbitrarily shaped surfaces. We use layer-by-layer (LbL) deposition of negatively charged silica nanoparticles and positively charged polyelectrolytes to create nanoscale surface structures that are further surface-functionalized with fluorinated silanes and infiltrated with fluorinated oil, forming a smooth, highly repellent coating on surfaces of different materials and shapes. We show that four or more LbL cycles introducemore » sufficient surface roughness to effectively immobilize the lubricant into the nanoporous coating and provide a stable liquid interface that repels water, low-surface-tension liquids and complex fluids. The absence of hierarchical structures and the small size of the silica nanoparticles enables complete transparency of the coating, with light transmittance exceeding that of normal glass. The coating is mechanically robust, maintains its repellency after exposure to continuous flow for several days and prevents adsorption of streptavidin as a model protein. The LbL process is conceptually simple, of low cost, environmentally benign, scalable, automatable and therefore may present an efficient synthetic route to non-fouling materials.« less

  17. Characteristics of a-IGZO/ITO hybrid layer deposited by magnetron sputtering.

    PubMed

    Bang, Joon-Ho; Park, Hee-Woo; Cho, Sang-Hyun; Song, Pung-Keun

    2012-04-01

    Transparent a-IGZO (In-Ga-Zn-O) films have been actively studied for use in the fabrication of high-quality TFTs. In this study, a-IGZO films and a-IGZO/ITO double layers were deposited by DC magnetron sputtering under various oxygen flow rates. The a-IGZO films showed an amorphous structure up to 500 degrees C. The deposition rate of these films decreased with an increase in the amount of oxygen gas. The amount of indium atoms in the film was confirmed to be 11.4% higher than the target. The resistivity of double layer follows the rules for parallel DC circuits The maximum Hall mobility of the a-IGZO/ITO double layers was found to be 37.42 cm2/V x N s. The electrical properties of the double layers were strongly dependent on their thickness ratio. The IGZO/ITO double layer was subjected to compressive stress, while the ITO/IGZO double layer was subjected to tensile stress. The bending tolerance was found to depend on the a-IGZO thickness.

  18. Design of high breakdown voltage vertical GaN p-n diodes with high-K/low-K compound dielectric structure for power electronics applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Li, Zhenchao; Liu, Dong; Bai, Zhiyuan; Liu, Yang; Yu, Qi

    2017-11-01

    In this work, a vertical GaN p-n diode with a high-K/low-K compound dielectric structure (GaN CD-VGD) is proposed and designed to achieve a record high breakdown voltage (BV) with a low specific on-resistance (Ron,sp). By introducing compound dielectric structure, the electric field near the p-n junction interface is suppressed due to the effects of high-K passivation layer, and a new electric field peak is induced into the n-type drift region, because of a discontinuity of electrical field at the interface of high-K and low-K layer. Therefore the distribution of electric field in GaN p-n diode becomes more uniform and an enhancement of breakdown voltage can be achieved. Numerical simulations demonstrate that GaN CD-VGD with a BV of 10650 V and a Ron,sp of 14.3 mΩ cm2, resulting in a record high figure-of-merit of 8 GW/cm2.

  19. Polarization-enhanced InGaN/GaN-based hybrid tunnel junction contacts to GaN p-n diodes and InGaN LEDs

    NASA Astrophysics Data System (ADS)

    Mughal, Asad J.; Young, Erin C.; Alhassan, Abdullah I.; Back, Joonho; Nakamura, Shuji; Speck, James S.; DenBaars, Steven P.

    2017-12-01

    Improved turn-on voltages and reduced series resistances were realized by depositing highly Si-doped n-type GaN using molecular beam epitaxy on polarization-enhanced p-type InGaN contact layers grown using metal-organic chemical vapor deposition. We compared the effects of different Si doping concentrations and the addition of p-type InGaN on the forward voltages of p-n diodes and light-emitting diodes, and found that increasing the Si concentrations from 1.9 × 1020 to 4.6 × 1020 cm-3 and including a highly doped p-type InGaN at the junction both contributed to reductions in the depletion width, the series resistance of 4.2 × 10-3-3.4 × 10-3 Ω·cm2, and the turn-on voltages of the diodes.

  20. Efficiency and droop improvement in a blue InGaN-based light emitting diode with a p-InGaN layer inserted in the GaN barriers

    NASA Astrophysics Data System (ADS)

    Wang, Xing-Fu; Tong, Jin-Hui; Zhao, Bi-Jun; Chen, Xin; Ren, Zhi-Wei; Li, Dan-Wei; Zhuo, Xiang-Jing; Zhang, Jun; Yi, Han-Xiang; Li, Shu-Ti

    2013-09-01

    The advantages of a blue InGaN-based light-emitting diode with a p-InGaN layer inserted in the GaN barriers is studied. The carrier concentration in the quantum well, radiative recombination rate in the active region, output power, and internal quantum efficiency are investigated. The simulation results show that the InGaN-based light-emitting diode with a p-InGaN layer inserted in the barriers has better performance over its conventional counterpart and the light emitting diode with p-GaN inserted in the barriers. The improvement is due to enhanced Mg acceptor activation and enhanced hole injection into the quantum wells.

  1. Roma Gans: Still Writing at 95.

    ERIC Educational Resources Information Center

    Sullivan, Joanna

    1991-01-01

    Recounts discussions with reading educator Roma Gans over a 25-year period. Presents Gans' views about reading, teachers, her family, and her years at Teachers College, Columbia. Notes that Gans has seen the teaching of reading come full circle since her first teaching assignment in 1919. (RS)

  2. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  3. Real-time curling probe monitoring of dielectric layer deposited on plasma chamber wall

    NASA Astrophysics Data System (ADS)

    Hotta, Masaya; Ogawa, Daisuke; Nakamura, Keiji; Sugai, Hideo

    2018-04-01

    A microwave resonator probe called a curling probe (CP) was applied to in situ monitoring of a dielectric layer deposited on a chamber wall during plasma processing. The resonance frequency of the CP was analytically found to shift in proportion to the dielectric layer thickness; the proportionality constant was determined from a comparison with the finite-difference time-domain (FDTD) simulation result. Amorphous carbon layers deposited in acetylene inductively coupled plasma (ICP) discharge were monitored using the CP. The measured resonance frequency shift dictated the carbon layer thickness, which agreed with the results from the surface profiler and ellipsometry.

  4. Analysis of light extraction efficiency enhancement for thin-film-flip-chip InGaN quantum wells light-emitting diodes with GaN micro-domes.

    PubMed

    Zhao, Peng; Zhao, Hongping

    2012-09-10

    The enhancement of light extraction efficiency for thin-film flip-chip (TFFC) InGaN quantum wells (QWs) light-emitting diodes (LEDs) with GaN micro-domes on n-GaN layer was studied. The light extraction efficiency of TFFC InGaN QWs LEDs with GaN micro-domes were calculated and compared to that of the conventional TFFC InGaN QWs LEDs with flat surface. The three dimensional finite difference time domain (3D-FDTD) method was used to calculate the light extraction efficiency for the InGaN QWs LEDs emitting at 460nm and 550 nm, respectively. The effects of the GaN micro-dome feature size and the p-GaN layer thickness on the light extraction efficiency were studied systematically. Studies indicate that the p-GaN layer thickness is critical for optimizing the TFFC LED light extraction efficiency. Significant enhancement of the light extraction efficiency (2.5-2.7 times for λ(peak) = 460nm and 2.7-2.8 times for λ(peak) = 550nm) is achievable from TFFC InGaN QWs LEDs with optimized GaN micro-dome diameter and height.

  5. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    PubMed

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  6. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    PubMed

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  7. Influence of hot carriers on catalytic reaction; Pt nanoparticles on GaN substrates under light irradiation.

    PubMed

    Kim, Sun Mi; Park, Dahee; Yuk, Youngji; Kim, Sang Hoon; Park, Jeong Young

    2013-01-01

    We report the hot carrier-driven catalytic activity of two-dimensional arrays of Pt nanoparticles on GaN substrate under light irradiation. In order to elucidate the effect of a hot carrier in a catalytic chemical reaction, the CO oxidation reaction was carried out on Pt nanoparticles on p- and n-type GaN under light irradiation. Metal catalysts composed of Pt nanoparticles were prepared using two different preparation methods: the one-pot polyol reduction and are plasma deposition methods. Under light irradiation, the catalytic activity of the Pt nanoparticles supported on GaN exhibited a distinct change depending on the doping type. The catalytic activity of the Pt nanoparticles on the n-doped GaN wafer decreased by 8-28% under light irradiation, compared to no irradiation (i.e., in the dark), while the Pt nanoparticles on the p-doped GaN wafer increased by 11-33% under light irradiation, compared to no irradiation. The catalytic activity increased on the smaller Pt nanoparticles, compared to the larger nanoparticles, presumably due to the mean free path of hot carriers. Based on these results, we conclude that the flow of hot carriers generated at the Pt-GaN interface during light irradiation is responsible for the change in catalytic activity on the Pt nanoparticles.

  8. Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer assembly deposition.

    PubMed

    Hong, Jinkee; Kang, Sang Wook

    2011-09-01

    We performed a comparative surface analysis of all-carbon nano-objects (multiwall carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings prepared using three widely used nanofilm fabrication methods: dip-, spin-, and spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a marked difference in their growth mechanisms and surface morphologies. Various carbon decorative coatings were synthesized with different surface roughness values, despite identical preparation conditions. In particular, smooth to highly rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and scanning electron microscopy (SEM), were readily obtained by manipulating the LbL deposition methods. As was confirmed by the AFM and SEM analyses, this finding indicated the fundamental morphological evolution of one-dimensional nano-objects (MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness through the deposition method. Therefore, an analysis of the three LbL-assembly methods presented herein may offer useful information about the industrial use of carbon decorative coatings and provide an insight into ways to control the structures of multilayer coatings by tuning the morphologies of carbon nano-objects.

  9. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  10. Evaluation of metal/indium-tin-oxide for transparent low-resistance contacts to p-type GaN.

    PubMed

    Hou, Wenting; Stark, Christoph; You, Shi; Zhao, Liang; Detchprohm, Theeradetch; Wetzel, Christian

    2012-08-10

    In search of a better transparent contact to p-GaN, we analyze various metal/indium-tin-oxide (ITO) (Ag/ITO, AgCu/ITO, Ni/ITO, and NiZn/ITO) contact schemes and compare to Ni/Au, NiZn/Ag, and ITO. The metal layer boosts conductivity while the ITO thickness can be adjusted to constructive transmission interference on GaN that exceeds extraction from bare GaN. We find a best compromise for an Ag/ITO (3 nm/67 nm) ohmic contact with a relative transmittance of 97% of the bare GaN near 530 nm and a specific contact resistance of 0.03 Ω·cm2. The contact proves suitable for green light-emitting diodes in epi-up geometry.

  11. Massive CO2 Ice Deposits Sequestered in the South Polar Layered Deposits of Mars

    USGS Publications Warehouse

    Phillips, Roger J.; Davis, Brian J.; Tanaka, Kenneth L.; Byrne, Shane; Mellon, Michael T.; Putzig, Nathaniel E.; Haberle, Robert M.; Kahre, Melinda A.; Campbell, Bruce A.; Carter, Lynn M.; Smith, Isaac B.; Holt, John W.; Smrekar, Suzanne E.; Nunes, Daniel C.; Plaut, Jeffrey J.; Egan, Anthony F.; Titus, Timothy N.; Seu, Roberto

    2011-01-01

    Shallow Radar soundings from the Mars Reconnaissance Orbiter reveal a buried deposit of carbon dioxide (CO2) ice within the south polar layered deposits of Mars with a volume of 9500 to 12,500 cubic kilometers, about 30 times that previously estimated for the south pole residual cap. The deposit occurs within a stratigraphic unit that is uniquely marked by collapse features and other evidence of interior CO2 volatile release. If released into the atmosphere at times of high obliquity, the CO2 reservoir would increase the atmospheric mass by up to 80%, leading to more frequent and intense dust storms and to more regions where liquid water could persist without boiling.

  12. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  13. Emission rate and internal quantum efficiency enhancement in different geometrical shapes of GaN LED

    NASA Astrophysics Data System (ADS)

    Rashid, S.; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Halim, N. S. A. Abdul; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    This work is based on the development of light emitting diode (LED) using different geometry of top surface on GaN p-n junction structure. Three types of LED chips are designed with different top surface to differ whether p-type layer or p contact plays an important role in improving its efficiency. The voltage applied ranges from 0V to 4V. Current-voltage characteristic for all three samples are obtained and analyzed. The results show that dome shaped of p-type layer operating at 4V increases the emission rate and internal quantum efficiency up to 70%, which is two times higher than basic cylindrically LED chip. Moreover, this new design effectively solved the higher forward voltage problem of the usual curve surface of p-contact GaN LED.

  14. General control of transition-metal-doped GaN nanowire growth: toward understanding the mechanism of dopant incorporation.

    PubMed

    Stamplecoskie, Kevin G; Ju, Ling; Farvid, Shokouh S; Radovanovic, Pavle V

    2008-09-01

    We report the first synthesis and characterization of cobalt- and chromium-doped GaN nanowires (NWs), and compare them to manganese-doped GaN NWs. Samples were synthesized by chemical vapor deposition method, using cobalt(II) chloride and chromium(III) chloride as dopant precursors. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant ions have the identical effect on GaN NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (DeltaCFSE) in their gas-phase intermediates and in substitutionally doped GaN NWs. Using iron(III) chloride and cobalt(II) acetate as dopant precursors we show that the doping concentration dependence on DeltaCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. This work further demonstrates a general and rational control of GaN NW growth using transition-metal impurities.

  15. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  16. Design, fabrication, and performance analysis of GaN vertical electron transistors with a buried p/n junction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yeluri, Ramya, E-mail: ramyay@ece.ucsb.edu; Lu, Jing; Keller, Stacia

    2015-05-04

    The Current Aperture Vertical Electron Transistor (CAVET) combines the high conductivity of the two dimensional electron gas channel at the AlGaN/GaN heterojunction with better field distribution offered by a vertical design. In this work, CAVETs with buried, conductive p-GaN layers as the current blocking layer are reported. The p-GaN layer was regrown by metalorganic chemical vapor deposition and the subsequent channel regrowth was done by ammonia molecular beam epitaxy to maintain the p-GaN conductivity. Transistors with high ON current (10.9 kA/cm{sup 2}) and low ON-resistance (0.4 mΩ cm{sup 2}) are demonstrated. Non-planar selective area regrowth is identified as the limiting factormore » to transistor breakdown, using planar and non-planar n/p/n structures. Planar n/p/n structures recorded an estimated electric field of 3.1 MV/cm, while non-planar structures showed a much lower breakdown voltage. Lowering the p-GaN regrowth temperature improved breakdown in the non-planar n/p/n structure. Combining high breakdown voltage with high current will enable GaN vertical transistors with high power densities.« less

  17. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  18. Internal stratigraphy of the South Polar Layered Deposits, Mars from SHARAD data

    NASA Astrophysics Data System (ADS)

    Whitten, J. L.; Campbell, B. A.

    2017-12-01

    The South Polar Layered Deposits (SPLD) are one of the largest deposits of water ice on Mars, composed of alternating layers of ice and dust. The accumulation of the layers is driven by orbital forcings (e.g., obliquity) and both the cadence and structure of these layers preserve a record of the past martian climate. Image of very limited exposed layering suggest several distinct sequences, demarcated by erosional hiatuses, with a gently domical shape. Here we use the Shallow Radar (SHARAD) sounder dataset to investigate the internal stratigraphy of the SPLD in order to further constrain the south polar climate record. We identify four distinct units based in part on their degree of vertical sharpness (focus) in the SHARAD data: (1) upper focused layer packets, (2) focused layer packets, (3) blurred layer packets, and (4) reflection free zones (RFZs). A diffuse echo pattern related to uncertain aspects of composition or layer roughness is termed fog. The upper focused layer packets are concentrated in the area between 270° to 90°E, close to the residual polar cap. The focused and blurred layer packets cover a large portion of the SPLD and are subdivided into two different units, those with an average reflecting-interface brightness and those with substantially brighter reflectors. The brighter radar reflectors have a coherent spatial distribution and only comprise a small portion of the entire unit. The diffuse echoes are separated into a fog that is present throughout the entire vertical column of the SPLD and a fog that begins at the surface and traverses only the uppermost layers. Depending on the geometry of individual SHARAD tracks, reflectors can be traced for hundreds of kilometers, but the fog obscures much of the internal layering, and is related to the focusing distortion that prevents individual reflectors from being traced across the entire SPLD. We identify a major deviation from a gently domical SPLD shape in a 200 km dome. Its presence suggests

  19. Impact of Plasma Electron Flux on Plasma Damage-Free Sputtering of Ultrathin Tin-Doped Indium Oxide Contact Layer on p-GaN for InGaN/GaN Light-Emitting Diodes.

    PubMed

    Son, Kwang Jeong; Kim, Tae Kyoung; Cha, Yu-Jung; Oh, Seung Kyu; You, Shin-Jae; Ryou, Jae-Hyun; Kwak, Joon Seop

    2018-02-01

    The origin of plasma-induced damage on a p -type wide-bandgap layer during the sputtering of tin-doped indium oxide (ITO) contact layers by using radiofrequency-superimposed direct current (DC) sputtering and its effects on the forward voltage and light output power (LOP) of light-emitting diodes (LEDs) with sputtered ITO transparent conductive electrodes (TCE) is systematically studied. Changing the DC power voltage from negative to positive bias reduces the forward voltages and enhances the LOP of the LEDs. The positive DC power drastically decreases the electron flux in the plasma obtained by plasma diagnostics using a cutoff probe and a Langmuir probe, suggesting that the repulsion of plasma electrons from the p -GaN surface can reduce plasma-induced damage to the p -GaN. Furthermore, electron-beam irradiation on p -GaN prior to ITO deposition significantly increases the forward voltages, showing that the plasma electrons play an important role in plasma-induced damage to the p -GaN. The plasma electrons can increase the effective barrier height at the ITO/deep-level defect (DLD) band of p -GaN by compensating DLDs, resulting in the deterioration of the forward voltage and LOP. Finally, the plasma damage-free sputtered-ITO TCE enhances the LOP of the LEDs by 20% with a low forward voltage of 2.9 V at 20 mA compared to LEDs with conventional e-beam-evaporated ITO TCE.

  20. Numerical Simulation of Transport Phenomena for a Double-Layer Laser Powder Deposition of Single-Crystal Superalloy

    NASA Astrophysics Data System (ADS)

    Liu, Zhaoyang; Qi, Huan

    2014-04-01

    A turbine blade made of single-crystal superalloys has been commonly used in gas turbine and aero engines. As an effective repair technology, laser powder deposition has been implemented to restore the worn turbine blade tips with a near-net shape capability and highly controllable solidified microstructure. Successful blade repair technology for single-crystal alloys requires a continuous epitaxial grain growth in the same direction of the crystalline orientation of the substrate material to the newly deposited layers. This work presents a three-dimensional numerical model to simulate the transport phenomena for a multilayer coaxial laser powder deposition process. Nickel-based single-crystal superalloy Rene N5 powder is deposited on a directional solidified substrate made of nickel-based directional-solidified alloy GTD 111 to verify the simulation results. The effects of processing parameters including laser power, scanning speed, and powder feeding rate on the resultant temperature field, fluid velocity field, molten pool geometric sizes, and the successive layer remelting ratios are studied. Numerical simulation results show that the maximum temperature of molten pool increases over layers due to the reduced heat dissipation capacity of the deposited geometry, which results in an increased molten pool size and fluid flow velocity at the successive deposited layer. The deposited bead geometry agrees well between the simulation and the experimental results. A large part of the first deposition layer, up to 85 pct of bead height, can be remelted during the deposition of the second layer. The increase of scanning speed decreases the ratio of G/ V (temperature gradient/solidification velocity), leading to an increased height ratio of the misoriented grain near the top surface of the previous deposited layer. It is shown that the processing parameters used in the simulation and experiment can produce a remelting ratio R larger than the misoriented grain height ratio