Sample records for gan metal oxide

  1. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  2. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  3. Evaluation of metal/indium-tin-oxide for transparent low-resistance contacts to p-type GaN.

    PubMed

    Hou, Wenting; Stark, Christoph; You, Shi; Zhao, Liang; Detchprohm, Theeradetch; Wetzel, Christian

    2012-08-10

    In search of a better transparent contact to p-GaN, we analyze various metal/indium-tin-oxide (ITO) (Ag/ITO, AgCu/ITO, Ni/ITO, and NiZn/ITO) contact schemes and compare to Ni/Au, NiZn/Ag, and ITO. The metal layer boosts conductivity while the ITO thickness can be adjusted to constructive transmission interference on GaN that exceeds extraction from bare GaN. We find a best compromise for an Ag/ITO (3 nm/67 nm) ohmic contact with a relative transmittance of 97% of the bare GaN near 530 nm and a specific contact resistance of 0.03 Ω·cm2. The contact proves suitable for green light-emitting diodes in epi-up geometry.

  4. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  5. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  6. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  7. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  8. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: Swain@iae.re.kr; Mishra, Chinmayee; Lee, Chan Gi

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leachmore » residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.« less

  9. Effect of photocatalytic oxidation technology on GaN CMP

    NASA Astrophysics Data System (ADS)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-01-01

    GaN is so hard and so chemically inert that it is difficult to obtain a high material removal rate (MRR) in the chemical mechanical polishing (CMP) process. This paper discusses the application of photocatalytic oxidation technology in GaN planarization. Three N-type semiconductor particles (TiO2, SnO2, and Fe2O3) are used as catalysts and added to the H2O2-SiO2-based slurry. By optical excitation, highly reactive photoinduced holes are produced on the surface of the particles, which can oxidize OH- and H2O absorbed on the surface of the catalysts; therefore, more OH* will be generated. As a result, GaN MRRs in an H2O2-SiO2-based polishing system combined with catalysts are improved significantly, especially when using TiO2, the MRR of which is 122 nm/h. The X-ray photoelectron spectroscopy (XPS) analysis shows the variation trend of chemical composition on the GaN surface after polishing, revealing the planarization process. Besides, the effect of pH on photocatalytic oxidation combined with TiO2 is analyzed deeply. Furthermore, the physical model of GaN CMP combined with photocatalytic oxidation technology is proposed to describe the removal mechanism of GaN.

  10. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  11. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  12. GaN metal-oxide-semiconductor field-effect transistors on AlGaN/GaN heterostructure with recessed gate

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Ao, Jin-Ping; Wang, Pangpang; Jiang, Ying; Li, Liuan; Kawaharada, Kazuya; Liu, Yang

    2015-04-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure with a recess gate were fabricated and characterized. The device showed good pinch-off characteristics and a maximum field-effect mobility of 145.2 cm2·V-1·s-1. The effects of etching gas of Cl2 and SiCl4 were investigated in the gate recess process. SiCl4-etched devices showed higher channel mobility and lower threshold voltage. Atomic force microscope measurement was done to investigate the etching profile with different etching protection mask. Compared with photoresist, SiO2-masked sample showed lower surface roughness and better profile with stepper sidewall and weaker trenching effect resulting in higher channel mobility in the MOSFET.

  13. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    PubMed

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Determination of the nitrogen vacancy as a shallow compensating center in GaN doped with divalent metals.

    PubMed

    Buckeridge, J; Catlow, C R A; Scanlon, D O; Keal, T W; Sherwood, P; Miskufova, M; Walsh, A; Woodley, S M; Sokol, A A

    2015-01-09

    We report accurate energetics of defects introduced in GaN on doping with divalent metals, focusing on the technologically important case of Mg doping, using a model that takes into consideration both the effect of hole localization and dipolar polarization of the host material, and includes a well-defined reference level. Defect formation and ionization energies show that divalent dopants are counterbalanced in GaN by nitrogen vacancies and not by holes, which explains both the difficulty in achieving p-type conductivity in GaN and the associated major spectroscopic features, including the ubiquitous 3.46 eV photoluminescence line, a characteristic of all lightly divalent-metal-doped GaN materials that has also been shown to occur in pure GaN samples. Our results give a comprehensive explanation for the observed behavior of GaN doped with low concentrations of divalent metals in good agreement with relevant experiment.

  15. Determination of the Nitrogen Vacancy as a Shallow Compensating Center in GaN Doped with Divalent Metals

    NASA Astrophysics Data System (ADS)

    Buckeridge, J.; Catlow, C. R. A.; Scanlon, D. O.; Keal, T. W.; Sherwood, P.; Miskufova, M.; Walsh, A.; Woodley, S. M.; Sokol, A. A.

    2015-01-01

    We report accurate energetics of defects introduced in GaN on doping with divalent metals, focusing on the technologically important case of Mg doping, using a model that takes into consideration both the effect of hole localization and dipolar polarization of the host material, and includes a well-defined reference level. Defect formation and ionization energies show that divalent dopants are counterbalanced in GaN by nitrogen vacancies and not by holes, which explains both the difficulty in achieving p -type conductivity in GaN and the associated major spectroscopic features, including the ubiquitous 3.46 eV photoluminescence line, a characteristic of all lightly divalent-metal-doped GaN materials that has also been shown to occur in pure GaN samples. Our results give a comprehensive explanation for the observed behavior of GaN doped with low concentrations of divalent metals in good agreement with relevant experiment.

  16. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    NASA Astrophysics Data System (ADS)

    Geng, Xuewen; Duan, Barrett K.; Grismer, Dane A.; Zhao, Liancheng; Bohn, Paul W.

    2013-06-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal-semiconductor interface.

  17. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  18. Graphene oxide assisted synthesis of GaN nanostructures for reducing cell adhesion.

    PubMed

    Yang, Rong; Zhang, Ying; Li, Jingying; Han, Qiusen; Zhang, Wei; Lu, Chao; Yang, Yanlian; Dong, Hongwei; Wang, Chen

    2013-11-21

    We report a general approach for the synthesis of large-scale gallium nitride (GaN) nanostructures by the graphene oxide (GO) assisted chemical vapor deposition (CVD) method. A modulation effect of GaN nanostructures on cell adhesion has been observed. The morphology of the GaN surface can be controlled by GO concentrations. This approach, which is based on the predictable choice of the ratio of GO to catalysts, can be readily extended to the synthesis of other materials with controllable nanostructures. Cell studies show that GaN nanostructures reduced cell adhesion significantly compared to GaN flat surfaces. The cell-repelling property is related to the nanostructure and surface wettability. These observations of the modulation effect on cell behaviors suggest new opportunities for novel GaN nanomaterial-based biomedical devices. We believe that potential applications will emerge in the biomedical and biotechnological fields.

  19. Catalytic Activation of Mg-Doped GaN by Hydrogen Desorption Using Different Metal Thin Layers

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Wang, Junxi; Liu, Naixin; Lu, Hongxi; Zeng, Yiping; Wang, Guohong; Li, Jinmin

    2010-10-01

    The annealing of Mg-doped GaN with Pt and Mo layers has been found to effectively improve the hole concentration of such material by more than 2 times as high as those in the same material without metal. Compared with the Ni and Mo catalysts, Pt showed good activation effect for hydrogen desorption and ohmic contact to the Ni/Au electrode. Despite the weak hydrogen desorption, Mo did not diffuse into the GaN epilayer in the annealing process, thus suppressing the carrier compensation phenomenon with respect to Ni and Pt depositions, which resulted in the high activation of Mg acceptors. For the GaN activated with the Ni, Pt, and Mo layers, the blue emission became dominant, followed by a clear peak redshift and the degradation of photoluminescence signal when compared with that of GaN without metal.

  20. Impact of GaN cap on charges in Al₂O₃/(GaN/)AlGaN/GaN metal-oxide-semiconductor heterostructures analyzed by means of capacitance measurements and simulations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ťapajna, M., E-mail: milan.tapajna@savba.sk; Jurkovič, M.; Válik, L.

    2014-09-14

    Oxide/semiconductor interface trap density (D{sub it}) and net charge of Al₂O₃/(GaN)/AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistor (MOS-HEMT) structures with and without GaN cap were comparatively analyzed using comprehensive capacitance measurements and simulations. D{sub it} distribution was determined in full band gap of the barrier using combination of three complementary capacitance techniques. A remarkably higher D{sub it} (∼5–8 × 10¹²eV⁻¹ cm⁻²) was found at trap energies ranging from E C-0.5 to 1 eV for structure with GaN cap compared to that (D{sub it} ∼ 2–3 × 10¹²eV⁻¹ cm⁻²) where the GaN cap was selectively etched away. D{sub it} distributions were then used for simulation of capacitance-voltage characteristics. A good agreement betweenmore » experimental and simulated capacitance-voltage characteristics affected by interface traps suggests (i) that very high D{sub it} (>10¹³eV⁻¹ cm⁻²) close to the barrier conduction band edge hampers accumulation of free electron in the barrier layer and (ii) the higher D{sub it} centered about E C-0.6 eV can solely account for the increased C-V hysteresis observed for MOS-HEMT structure with GaN cap. Analysis of the threshold voltage dependence on Al₂O₃ thickness for both MOS-HEMT structures suggests that (i) positive charge, which compensates the surface polarization, is not necessarily formed during the growth of III-N heterostructure, and (ii) its density is similar to the total surface polarization charge of the GaN/AlGaN barrier, rather than surface polarization of the top GaN layer only. Some constraints for the positive surface compensating charge are discussed.« less

  1. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  2. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  3. Piezoresistive effect in metal-semiconductor-metal structures on p-type GaN

    NASA Astrophysics Data System (ADS)

    Gaska, R.; Shur, M. S.; Bykhovski, A. D.; Yang, J. W.; Khan, M. A.; Kaminski, V. V.; Soloviov, S. M.

    2000-06-01

    We report on a strong piezoresistive effect in metal-semiconductor-metal structures fabricated on p-type GaN. The maximum measured gauge factor was 260, which is nearly two times larger than for piezoresistive silicon transducers. We attribute this large sensitivity to applied strain to the combination of two mechanisms: (i) a high piezoresistance of bulk p-GaN and (ii) a strong piezoresistive effect in a Schottky contact on p-GaN. The obtained results demonstrate that GaN-based structures can be suitable for stress/pressure sensor applications.

  4. Effects of radiation and temperature on gallium nitride (GaN) metal-semiconductor-metal ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.

    2014-06-01

    The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.

  5. In0.15Ga0.85N visible-light metal-semiconductor-metal photodetector with GaN interlayers deposited by pulsed NH3

    NASA Astrophysics Data System (ADS)

    Wang, Hongxia; Zhang, Xiaohan; Wang, Hailong; Lv, Zesheng; Li, Yongxian; Li, Bin; Yan, Huan; Qiu, Xinjia; Jiang, Hao

    2018-05-01

    InGaN visible-light metal-semiconductor-metal photodetectors with GaN interlayers deposited by pulsed NH3 were fabricated and characterized. By periodically inserting the GaN thin interlayers, the surface morphology of InGaN active layer is improved and the phase separation is suppressed. At 5 V bias, the dark current reduced from 7.0 × 10-11 A to 7.0 × 10-13 A by inserting the interlayers. A peak responsivity of 85.0 mA/W was measured at 420 nm and 5 V bias, corresponding to an external quantum efficiency of 25.1%. The insertion of GaN interlayers also lead to a sharper spectral response cutoff.

  6. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  7. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  8. General control of transition-metal-doped GaN nanowire growth: toward understanding the mechanism of dopant incorporation.

    PubMed

    Stamplecoskie, Kevin G; Ju, Ling; Farvid, Shokouh S; Radovanovic, Pavle V

    2008-09-01

    We report the first synthesis and characterization of cobalt- and chromium-doped GaN nanowires (NWs), and compare them to manganese-doped GaN NWs. Samples were synthesized by chemical vapor deposition method, using cobalt(II) chloride and chromium(III) chloride as dopant precursors. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant ions have the identical effect on GaN NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (DeltaCFSE) in their gas-phase intermediates and in substitutionally doped GaN NWs. Using iron(III) chloride and cobalt(II) acetate as dopant precursors we show that the doping concentration dependence on DeltaCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. This work further demonstrates a general and rational control of GaN NW growth using transition-metal impurities.

  9. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  10. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  11. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  12. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  13. GaN MOSFET with Boron Trichloride-Based Dry Recess Process

    NASA Astrophysics Data System (ADS)

    Jiang, Y.; Wang, Q. P.; Tamai, K.; Miyashita, T.; Motoyama, S.; Wang, D. J.; Ao, J. P.; Ohno, Y.

    2013-06-01

    The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl3) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl4) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl3 based dry recess achieved a high maximum electron mobility of 141.5 cm2V-1s-1 and a low interface state density.

  14. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  15. Piezo-phototronic effect on electroluminescence properties of p-type GaN thin films.

    PubMed

    Hu, Youfan; Zhang, Yan; Lin, Long; Ding, Yong; Zhu, Guang; Wang, Zhong Lin

    2012-07-11

    We present that the electroluminescence (EL) properties of Mg-doped p-type GaN thin films can be tuned by the piezo-phototronic effect via adjusting the minority carrier injection efficiency at the metal-semiconductor (M-S) interface by strain induced polarization charges. The device is a metal-semiconductor-metal structure of indium tin oxide (ITO)-GaN-ITO. Under different straining conditions, the changing trend of the transport properties of GaN films can be divided into two types, corresponding to the different c-axis orientations of the films. An extreme value was observed for the integral EL intensity under certain applied strain due to the adjusted minority carrier injection efficiency by piezoelectric charges introduced at the M-S interface. The external quantum efficiency of the blue EL at 430 nm was changed by 5.84% under different straining conditions, which is 1 order of magnitude larger than the change of the green peak at 540 nm. The results indicate that the piezo-phototronic effect has a larger impact on the shallow acceptor states related EL process than on the one related to the deep acceptor states in p-type GaN films. This study has great significance on the practical applications of GaN in optoelectronic devices under a working environment where mechanical deformation is unavoidable such as for flexible/printable light emitting diodes.

  16. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  17. Graphene-Oxide-Assisted Synthesis of GaN Nanosheets as a New Anode Material for Lithium-Ion Battery.

    PubMed

    Sun, Changlong; Yang, Mingzhi; Wang, Tailin; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng

    2017-08-16

    As the most-studied III-nitride, theoretical researches have predicted the presence of gallium nitride (GaN) nanosheets (NSs). Herein, a facile synthesis approach is reported to prepare GaN NSs using graphene oxide (GO) as sacrificial template. As a new anode material of Li-ion battery (LIBs), GaN NSs anodes deliver the reversible discharge capacity above 600 mA h g -1 at 1.0 A g -1 after 1000 cycles, and excellent rate performance at current rates from 0.1 to 10 A g -1 . These results not only extend the family of 2D materials but also facilitate their use in energy storage and other applications.

  18. Imaging TiO2 nanoparticles on GaN nanowires with electrostatic force microscopy

    NASA Astrophysics Data System (ADS)

    Xie, Ting; Wen, Baomei; Liu, Guannan; Guo, Shiqi; Motayed, Abhishek; Murphy, Thomas; Gomez, R. D.

    Gallium nitride (GaN) nanowires that are functionalized with metal-oxides nanoparticles have been explored extensively for gas sensing applications in the past few years. These sensors have several advantages over conventional schemes, including miniature size, low-power consumption and fast response and recovery times. The morphology of the oxide functionalization layer is critical to achieve faster response and recovery times, with the optimal size distribution of nanoparticles being in the range of 10 to 30 nm. However, it is challenging to characterize these nanoparticles on GaN nanowires using common techniques such as scanning electron microscopy, transmission electron microscopy, and x-ray diffraction. Here, we demonstrate electrostatic force microscopy in combination with atomic force microscopy as a non-destructive technique for morphological characterization of the dispersed TiO2 nanoparticles on GaN nanowires. We also discuss the applicability of this method to other material systems with a proposed tip-surface capacitor model. This project was sponsored through N5 Sensors and the Maryland Industrial Partnerships (MIPS, #5418).

  19. Method of producing homogeneous mixed metal oxides and metal-metal oxide mixtures

    DOEpatents

    Quinby, Thomas C.

    1978-01-01

    Metal powders, metal oxide powders, and mixtures thereof of controlled particle size are provided by reacting an aqueous solution containing dissolved metal values with excess urea. Upon heating, urea reacts with water from the solution leaving a molten urea solution containing the metal values. The molten urea solution is heated to above about 180.degree. C. whereupon metal values precipitate homogeneously as a powder. The powder is reduced to metal or calcined to form oxide particles. One or more metal oxides in a mixture can be selectively reduced to produce metal particles or a mixture of metal and metal oxide particles.

  20. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  1. Methanol, ethanol and hydrogen sensing using metal oxide and metal (TiO(2)-Pt) composite nanoclusters on GaN nanowires: a new route towards tailoring the selectivity of nanowire/nanocluster chemical sensors.

    PubMed

    Aluri, Geetha S; Motayed, Abhishek; Davydov, Albert V; Oleshko, Vladimir P; Bertness, Kris A; Sanford, Norman A; Mulpuri, Rao V

    2012-05-04

    We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for

  2. Surface oxidation of GaN(0001): Nitrogen plasma-assisted cleaning for ultrahigh vacuum applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gangopadhyay, Subhashis; Schmidt, Thomas, E-mail: tschmidt@ifp.uni-bremen.de; Kruse, Carsten

    The cleaning of metal-organic vapor-phase epitaxial GaN(0001) template layers grown on sapphire has been investigated. Different procedures, performed under ultrahigh vacuum conditions, including degassing and exposure to active nitrogen from a radio frequency nitrogen plasma source have been compared. For this purpose, x-ray photoelectron spectroscopy, reflection high-energy electron diffraction, and scanning tunneling microscopy have been employed in order to assess chemical as well as structural and morphological surface properties. Initial degassing at 600 °C under ultrahigh vacuum conditions only partially eliminates the surface contaminants. In contrast to plasma assisted nitrogen cleaning at temperatures as low as 300 °C, active-nitrogen exposure at temperaturesmore » as high as 700 °C removes the majority of oxide species from the surface. However, extended high-temperature active-nitrogen cleaning leads to severe surface roughening. Optimum results regarding both the removal of surface oxides as well as the surface structural and morphological quality have been achieved for a combination of initial low-temperature plasma-assisted cleaning, followed by a rapid nitrogen plasma-assisted cleaning at high temperature.« less

  3. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  4. Heterogeneous integration of low-temperature metal-oxide TFTs

    NASA Astrophysics Data System (ADS)

    Schuette, Michael L.; Green, Andrew J.; Leedy, Kevin D.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-02-01

    The breadth of circuit fabrication opportunities enabled by metal-oxide thin-film transistors (MO-TFTs) is unprecedented. Large-area deposition techniques and high electron mobility are behind their adoption in the display industry, and substrate agnosticism and low process temperatures enabled the present wave of flexible electronics research. Reports of circuits involving complementaryMO-TFTs, oxide-organic hybrid combinations, and even MO-TFTs integrated onto Si LSI back end of line interconnects demonstrate this technology's utility in 2D and 3D monolithic heterogeneous integration (HI). In addition to a brief literature review focused on functional HI between MO-TFTs and a variety of dissimilar active devices, we share progress toward integrating MO-TFTs with compound semiconductor devices, namely GaN HEMTs. A monolithically integrated cascode topology was used to couple a HEMT's >200 V breakdown characteristic with the gate driving characteristic of an IGZO TFT, effectively shifting the HEMT threshold voltage from -3 V to +1 V.

  5. Responsivity drop due to conductance modulation in GaN metal-semiconductor-metal Schottky based UV photodetectors on Si(111)

    NASA Astrophysics Data System (ADS)

    Ravikiran, L.; Radhakrishnan, K.; Dharmarasu, N.; Agrawal, M.; Wang, Zilong; Bruno, Annalisa; Soci, Cesare; Lihuang, Tng; Kian Siong, Ang

    2016-09-01

    GaN Schottky metal-semiconductor-metal (MSM) UV photodetectors were fabricated on a 600 nm thick GaN layer, grown on 100 mm Si (111) substrate using an ammonia-MBE growth technique. In this report, the effect of device dimensions, applied bias and input power on the linearity of the GaN Schottky-based MSM photodetectors on Si substrate were investigated. Devices with larger interdigitated spacing, ‘S’ of 9.0 μm between the fingers resulted in good linearity and flat responsivity characteristics as a function of input power with an external quantum efficiency (EQE) of ˜33% at an applied bias of 15 V and an input power of 0.8 W m-2. With the decrease of ‘S’ to 3.0 μm, the EQE was found to increase to ˜97%. However, devices showed non linearity and drop in responsivity from flatness at higher input power. Moreover, the position of dropping from flatter responsivity was found to shift to lower powers with increased bias. The drop in the responsivity was attributed to the modulation of conductance in the MSM due to the trapping of electrons at the dislocations, resulting in the formation of depletion regions around them. In devices with lower ‘S’, both the image force reduction and the enhanced collection efficiency increased the photocurrent as well as the charging of the dislocations. This resulted in the increased depletion regions around the dislocations leading to the modulation of conductance and non-linearity.

  6. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  7. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  8. Effects of doping on photocatalytic activity for water splitting of metal oxides and nitride

    NASA Astrophysics Data System (ADS)

    Arai, Naoki; Saito, Nobuo; Nishiyama, Hiroshi; Kadowaki, Haruhiko; Kobayashi, Hisayoshi; Sato, Kazunori; Inoue, Yasunobu

    2007-09-01

    The effects of metal-ion doping or replacement on the photocatalytic performance for water splitting of d 10 and d 0 metal oxides and d 10 metal nitride were studied. The photocatalysts examined were (1) α-Ga 2-2xIn 2xO 3 and ZnGa 2-2xIn 2xO 4 in which In 3+ was added to Ga IIO 3 and ZnGa IIO 4, respectively, (2) Y xIn 2-xO 3 being a solid solution of In IIO 3 and Y IIO 3, (3) metal ion doped CeO II, and (4) metal ion doped GaN. The photocatalytic activity of 1 wt % RuO II-loaded α-Ga 2-2xIn 2xO 3 increased sharply with increasing x, reached a maximum at around x=0.02, and considerably decreased with further increase in x. The DFT calculation showed that the band structures of α-Ga 2-2xIn 2xO 3 had the contribution of In 4d orbital to the valence band and of In5s orbital to the conduction band. Similar effects were observed for ZnGa 2-2xIn 2xO 4. RuO II-dispersed Y xIn 2-xO 3 had a capability of producing H II and O II in the range x=1.0-1.5 in which the highest activity was obtained at x=1.3. The structures of both InO 6 and YO 6 octahedra were deformed in the solid solution,, and the hybridization of In5s5p and Y4d orbitals in the conduction band was enhanced. Undoped CeO II was photocatalytically inactive, but metal ion-doped CeO II showed a considerable photocatalytic activity. The activation occurred in the case that metal ions doped had larger ion sizes than that of Ce 4+. The small amount doping of divalent metal ions (Zn 2+ and Mg 2+) converted photocatalytically inactive GaN to an efficient photocatalyst. The doping was shown to produce p-type GaN which had the large concentration and high mobility of holes. The roles of metal ion doping and replacement in the photocatalytic properties are discussed.

  9. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  10. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  11. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  12. Preparation of uniform nanoparticles of ultra-high purity metal oxides, mixed metal oxides, metals, and metal alloys

    DOEpatents

    Woodfield, Brian F.; Liu, Shengfeng; Boerio-Goates, Juliana; Liu, Qingyuan; Smith, Stacey Janel

    2012-07-03

    In preferred embodiments, metal nanoparticles, mixed-metal (alloy) nanoparticles, metal oxide nanoparticles and mixed-metal oxide nanoparticles are provided. According to embodiments, the nanoparticles may possess narrow size distributions and high purities. In certain preferred embodiments, methods of preparing metal nanoparticles, mixed-metal nanoparticles, metal oxide nanoparticles and mixed-metal nanoparticles are provided. These methods may provide tight control of particle size, size distribution, and oxidation state. Other preferred embodiments relate to a precursor material that may be used to form nanoparticles. In addition, products prepared from such nanoparticles are disclosed.

  13. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  14. Photochemical metal organic deposition of metal oxides

    NASA Astrophysics Data System (ADS)

    Law, Wai Lung (Simon)

    This thesis pertains to the study of the deposition of metal oxide thin films via the process of Photochemical Metal Organic Deposition (PMOD). In this process, an amorphous metal organic precursor thin film is subjected to irradiation under ambient conditions. Fragmentation of the metal precursor results from the photoreaction, leading to the formation of metal oxide thin films in the presence of oxygen. The advantage of PMOD lies in its ability to perform lithography of metal oxide thin film without the application of photoresist. The metal organic precursor can be imaged directly by photolysis through a lithography mask under ambient conditions. Thus the PMOD process provides an attractive alternative to the conventional VLSI fabrication process. Metal carboxylates and metal acetylacetonates complexes were used as the precursors for PMOD process in this thesis. Transition metal carboxylate and metal acetylacetonate complexes have shown previously that when deposited as amorphous thin films, they will undergo fragmentation upon photolysis, leading to the formation of metal oxide thin films under ambient conditions. In this thesis, the formation of main group metal oxides of aluminum, indium and tin, as well as the formation of rare-earth metal oxides of cerium and europium by PMOD from its corresponding metal organic precursor will be presented. The nature of the photoreactions as well as the properties of the thin films deposited by PMOD will be investigated. Doped metal oxide thin films can also be prepared using the PMOD process. By mixing the metal precursors prior to deposition in the desired ratio, precursor films containing more than one metal precursor can be obtained. Mixed metal oxide thin films corresponding to the original metal ratio, in the precursor mixture, can be obtained upon photolysis under ambient conditions. In this thesis, the properties of doped metal oxide thin films of europium doped aluminum oxide as well as tin doped indium oxide thin

  15. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    NASA Astrophysics Data System (ADS)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  16. Extracting metals directly from metal oxides

    DOEpatents

    Wai, Chien M.; Smart, Neil G.; Phelps, Cindy

    1997-01-01

    A method of extracting metals directly from metal oxides by exposing the oxide to a supercritical fluid solvent containing a chelating agent is described. Preferably, the metal is an actinide or a lanthanide. More preferably, the metal is uranium, thorium or plutonium. The chelating agent forms chelates that are soluble in the supercritical fluid, thereby allowing direct removal of the metal from the metal oxide. In preferred embodiments, the extraction solvent is supercritical carbon dioxide and the chelating agent is selected from the group consisting of .beta.-diketones, halogenated .beta.-diketones, phosphinic acids, halogenated phosphinic acids, carboxylic acids, halogenated carboxylic acids, and mixtures thereof. In especially preferred embodiments, at least one of the chelating agents is fluorinated. The method provides an environmentally benign process for removing metals from metal oxides without using acids or biologically harmful solvents. The chelate and supercritical fluid can be regenerated, and the metal recovered, to provide an economic, efficient process.

  17. Extracting metals directly from metal oxides

    DOEpatents

    Wai, C.M.; Smart, N.G.; Phelps, C.

    1997-02-25

    A method of extracting metals directly from metal oxides by exposing the oxide to a supercritical fluid solvent containing a chelating agent is described. Preferably, the metal is an actinide or a lanthanide. More preferably, the metal is uranium, thorium or plutonium. The chelating agent forms chelates that are soluble in the supercritical fluid, thereby allowing direct removal of the metal from the metal oxide. In preferred embodiments, the extraction solvent is supercritical carbon dioxide and the chelating agent is selected from the group consisting of {beta}-diketones, halogenated {beta}-diketones, phosphinic acids, halogenated phosphinic acids, carboxylic acids, halogenated carboxylic acids, and mixtures thereof. In especially preferred embodiments, at least one of the chelating agents is fluorinated. The method provides an environmentally benign process for removing metals from metal oxides without using acids or biologically harmful solvents. The chelate and supercritical fluid can be regenerated, and the metal recovered, to provide an economic, efficient process. 4 figs.

  18. Metal oxide-polymer composites

    NASA Technical Reports Server (NTRS)

    Wellinghoff, Stephen T. (Inventor)

    1997-01-01

    A method of making metal oxide clusters in a single stage by reacting a metal oxide with a substoichiometric amount of an acid in the presence of an oxide particle growth terminator and solubilizer. A method of making a ceramer is also disclosed in which the metal oxide clusters are reacted with a functionalized polymer. The resultant metal oxide clusters and ceramers are also disclosed.

  19. Metal oxide-polymer composites

    NASA Technical Reports Server (NTRS)

    Wellinghoff, Stephen T. (Inventor)

    1994-01-01

    A method of making metal oxide clusters in a single stage by reacting a metal oxide with a substoichiometric amount of an acid in the presence of an oxide particle growth terminator and solubilizer. A method of making a ceramer is also disclosed in which the metal oxide clusters are reacted with a functionalized polymer. The resultant metal oxide clusters and ceramers are also disclosed.

  20. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  1. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  2. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  3. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  4. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  5. Influence of hot carriers on catalytic reaction; Pt nanoparticles on GaN substrates under light irradiation.

    PubMed

    Kim, Sun Mi; Park, Dahee; Yuk, Youngji; Kim, Sang Hoon; Park, Jeong Young

    2013-01-01

    We report the hot carrier-driven catalytic activity of two-dimensional arrays of Pt nanoparticles on GaN substrate under light irradiation. In order to elucidate the effect of a hot carrier in a catalytic chemical reaction, the CO oxidation reaction was carried out on Pt nanoparticles on p- and n-type GaN under light irradiation. Metal catalysts composed of Pt nanoparticles were prepared using two different preparation methods: the one-pot polyol reduction and are plasma deposition methods. Under light irradiation, the catalytic activity of the Pt nanoparticles supported on GaN exhibited a distinct change depending on the doping type. The catalytic activity of the Pt nanoparticles on the n-doped GaN wafer decreased by 8-28% under light irradiation, compared to no irradiation (i.e., in the dark), while the Pt nanoparticles on the p-doped GaN wafer increased by 11-33% under light irradiation, compared to no irradiation. The catalytic activity increased on the smaller Pt nanoparticles, compared to the larger nanoparticles, presumably due to the mean free path of hot carriers. Based on these results, we conclude that the flow of hot carriers generated at the Pt-GaN interface during light irradiation is responsible for the change in catalytic activity on the Pt nanoparticles.

  6. Tuning electronic and magnetic properties of GaN nanosheets by surface modifications and nanosheet thickness.

    PubMed

    Xiao, Meixia; Yao, Tingzhen; Ao, Zhimin; Wei, Peng; Wang, Danghui; Song, Haiyang

    2015-04-14

    Density-functional theory calculations are performed to investigate the effects of surface modifications and nanosheet thickness on the electronic and magnetic properties of gallium nitride (GaN) nanosheets (NSs). Unlike the bare GaN NSs terminating with polar surfaces, the systems with hydrogenated Ga (H-GaN), fluorinated Ga (F-GaN), and chlorinated Ga (Cl-GaN) preserve their initial wurtzite structures and exhibit ferromagnetic states. The abovementioned three different decorations on Ga atoms are energetically more favorable for thicker GaN NSs. Moreover, as the thickness increases, H-GaN and F-GaN NSs undergo semiconductor to metal and half-metal to metal transition, respectively, while Cl-GaN NSs remain completely metallic. The predicted diverse and tunable electronic and magnetic properties highlight the potential of GaN NSs for novel electronic and spintronic nanodevices.

  7. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  8. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  9. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  10. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  11. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  12. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  13. Detection of deep-level defects and reduced carrier concentration in Mg-ion-implanted GaN before high-temperature annealing

    NASA Astrophysics Data System (ADS)

    Akazawa, Masamichi; Yokota, Naoshige; Uetake, Kei

    2018-02-01

    We report experimental results for the detection of deep-level defects in GaN after Mg ion implantation before high-temperature annealing. The n-type GaN samples were grown on GaN free-standing substrates by metalorganic vapor phase epitaxy. Mg ions were implanted at 50 keV with a small dosage of 1.5×1011 cm-2, which did not change the conduction type of the n-GaN. By depositing Al2O3 and a Ni/Au electrode onto the implanted n-GaN, metal-oxide-semiconductor (MOS) diodes were fabricated and tested. The measured capacitance-voltage (C-V) characteristics showed a particular behavior with a plateau region and a region with an anomalously steep slope. Fitting to the experimental C-V curves by simulation showed the existence of deep-level defects and a reduction of the carrier concentration near the GaN surface. By annealing at 800oC, the density of the deep-level defects was reduced and the carrier concentration partially recovered.

  14. Metal oxide films on metal

    DOEpatents

    Wu, Xin D.; Tiwari, Prabhat

    1995-01-01

    A structure including a thin film of a conductive alkaline earth metal oxide selected from the group consisting of strontium ruthenium trioxide, calcium ruthenium trioxide, barium ruthenium trioxide, lanthanum-strontium cobalt oxide or mixed alkaline earth ruthenium trioxides thereof upon a thin film of a noble metal such as platinum is provided.

  15. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  16. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  17. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  18. Methods for synthesizing metal oxide nanowires

    DOEpatents

    Sunkara, Mahendra Kumar; Kumar, Vivekanand; Kim, Jeong H.; Clark, Ezra Lee

    2016-08-09

    A method of synthesizing a metal oxide nanowire includes the steps of: combining an amount of a transition metal or a transition metal oxide with an amount of an alkali metal compound to produce a mixture; activating a plasma discharge reactor to create a plasma discharge; exposing the mixture to the plasma discharge for a first predetermined time period such that transition metal oxide nanowires are formed; contacting the transition metal oxide nanowires with an acid solution such that an alkali metal ion is exchanged for a hydrogen ion on each of the transition metal oxide nanowires; and exposing the transition metal oxide nanowires to the plasma discharge for a second predetermined time period to thermally anneal the transition metal oxide nanowires. Transition metal oxide nanowires produced using the synthesis methods described herein are also provided.

  19. Thin film hydrous metal oxide catalysts

    DOEpatents

    Dosch, Robert G.; Stephens, Howard P.

    1995-01-01

    Thin film (<100 nm) hydrous metal oxide catalysts are prepared by 1) synthesis of a hydrous metal oxide, 2) deposition of the hydrous metal oxide upon an inert support surface, 3) ion exchange with catalytically active metals, and 4) activating the hydrous metal oxide catalysts.

  20. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  1. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  2. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  3. Lithium metal reduction of plutonium oxide to produce plutonium metal

    DOEpatents

    Coops, Melvin S.

    1992-01-01

    A method is described for the chemical reduction of plutonium oxides to plutonium metal by the use of pure lithium metal. Lithium metal is used to reduce plutonium oxide to alpha plutonium metal (alpha-Pu). The lithium oxide by-product is reclaimed by sublimation and converted to the chloride salt, and after electrolysis, is removed as lithium metal. Zinc may be used as a solvent metal to improve thermodynamics of the reduction reaction at lower temperatures. Lithium metal reduction enables plutonium oxide reduction without the production of huge quantities of CaO--CaCl.sub.2 residues normally produced in conventional direct oxide reduction processes.

  4. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  5. Graphene-supported metal oxide monolith

    DOEpatents

    Worsley, Marcus A.; Baumann, Theodore F.; Biener, Juergen; Biener, Monika A.; Wang, Yinmin; Ye, Jianchao; Tylski, Elijah

    2017-01-10

    A composition comprising at least one graphene-supported metal oxide monolith, said monolith comprising a three-dimensional structure of graphene sheets crosslinked by covalent carbon bonds, wherein the graphene sheets are coated by at least one metal oxide such as iron oxide or titanium oxide. Also provided is an electrode comprising the aforementioned graphene-supported metal oxide monolith, wherein the electrode can be substantially free of any carbon-black and substantially free of any binder.

  6. Photo-induced water oxidation at the aqueous GaN (101¯0) interface: Deprotonation kinetics of the first proton-coupled electron-transfer step

    DOE PAGES

    Ertem, Mehmed Z.; Kharche, Neerav; Batista, Victor S.; ...

    2015-03-12

    Photoeclectrochemical water splitting plays a key role in a promising path to the carbon-neutral generation of solar fuels. Wurzite GaN and its alloys ( e.g., GaN/ZnO and InGaN) are demonstrated photocatalysts for water oxidation, and they can drive the overall water splitting reaction when coupled with co-catalysts for proton reduction. In the present work, we investigate the water oxidation mechanism on the prototypical GaN (101¯0) surface using a combined ab initio molecular dynamics and molecular cluster model approach taking into account the role of water dissociation and hydrogen bonding within the first solvation shell of the hydroxylated surface. The investigationmore » of free-energy changes for the four proton-coupled electron-transfer (PCET) steps of the water oxidation mechanism shows that the first PCET step for the conversion of –Ga-OH to –Ga-O˙⁻ requires the highest energy input. We further examine the sequential PCETs, with the proton transfer (PT) following the electron transfer (ET), and find that photo-generated holes localize on surface –NH sites is thermodynamically more favorable than –OH sites. However, proton transfer from –OH sites with subsequent localization of holes on oxygen atoms is kinetically favored owing to hydrogen bonding interactions at the GaN (101¯0)–water interface. We find that the deprotonation of surface –OH sites is the limiting factor for the generation of reactive oxyl radical ion intermediates and consequently for water oxidation.« less

  7. Metal oxide nanostructures with hierarchical morphology

    DOEpatents

    Ren, Zhifeng; Lao, Jing Yu; Banerjee, Debasish

    2007-11-13

    The present invention relates generally to metal oxide materials with varied symmetrical nanostructure morphologies. In particular, the present invention provides metal oxide materials comprising one or more metallic oxides with three-dimensionally ordered nanostructural morphologies, including hierarchical morphologies. The present invention also provides methods for producing such metal oxide materials.

  8. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  9. Mesoporous metal oxide graphene nanocomposite materials

    DOEpatents

    Liu, Jun; Aksay, Ilhan A.; Kou, Rong; Wang, Donghai

    2016-05-24

    A nanocomposite material formed of graphene and a mesoporous metal oxide having a demonstrated specific capacity of more than 200 F/g with particular utility when employed in supercapacitor applications. A method for making these nanocomposite materials by first forming a mixture of graphene, a surfactant, and a metal oxide precursor, precipitating the metal oxide precursor with the surfactant from the mixture to form a mesoporous metal oxide. The mesoporous metal oxide is then deposited onto a surface of the graphene.

  10. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  11. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  12. Investigation of HCl-based surface treatment for GaN devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, Hiroshi, E-mail: okada@ee.tut.ac.jp; Department of Electrical and Electronic Information Engineering, Toyohashi University of Technology, 1-1 Hibarigaoka, Tempaku-cho, Toyohashi 441-8580; Shinohara, Masatohi

    2016-02-01

    Surface treatments of GaN in HCl-based solutions are studied by X-ray photoelectron spectroscopy (XPS) and electrical characterization of fabricated GaN surfaces. A dilute-HCl treatment (HCl:H{sub 2}O=1:1) at room temperature and a boiled-HCl treatment (undiluted HCl) at 108°C are made on high-temperature annealed n-GaN. From the XPS study, removal of surface oxide by the dilute-HCl treatment was found, and more thoroughly oxide-removal was confirmed in the boiled-HCl treatment. Effect of the surface treatment on electrical characteristics on AlGaN/GaN transistor is also studied by applying treatment processes prior to the surface SiN deposition. Increase of drain current is found in boiled-HCl treatedmore » samples. The results suggest that the boiled-HCl treatment is effective for GaN device fabrication.« less

  13. Method for plating with metal oxides

    DOEpatents

    Silver, Gary L.; Martin, Frank S.

    1994-08-23

    A method of plating hydrous metal oxides on at least one substrate, which method is indifferent to the electrochemical properties of the substrate, and comprises reacting metallic ions in aqueous solution with an appropriate oxidizing agent such as sodium hypochlorite or calcium sulfite with oxygen under suitable conditions of pH and concentration such that oxidation and precipitation of metal oxide are sufficiently slow to allow satisfactory plating of metal oxide on the substrate.

  14. Latest progress in gallium-oxide electronic devices

    NASA Astrophysics Data System (ADS)

    Higashiwaki, Masataka; Wong, Man Hoi; Konishi, Keita; Nakata, Yoshiaki; Lin, Chia-Hung; Kamimura, Takafumi; Ravikiran, Lingaparthi; Sasaki, Kohei; Goto, Ken; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Kuramata, Akito; Yamakoshi, Shigenobu; Murakami, Hisashi; Kumagai, Yoshinao

    2018-02-01

    Gallium oxide (Ga2O3) has emerged as a new competitor to SiC and GaN in the race toward next-generation power switching and harsh environment electronics by virtue of the excellent material properties and the relative ease of mass wafer production. In this proceedings paper, an overview of our recent development progress of Ga2O3 metal-oxide-semiconductor field-effect transistors and Schottky barrier diodes will be reported.

  15. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  16. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  17. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  18. Method for plating with metal oxides

    DOEpatents

    Silver, G.L.; Martin, F.S.

    1994-08-23

    A method is disclosed of plating hydrous metal oxides on at least one substrate, which method is indifferent to the electrochemical properties of the substrate, and comprises reacting metallic ions in aqueous solution with an appropriate oxidizing agent such as sodium hypochlorite or calcium sulfite with oxygen under suitable conditions of pH and concentration such that oxidation and precipitation of metal oxide are sufficiently slow to allow satisfactory plating of metal oxide on the substrate. 1 fig.

  19. Oxide film on metal substrate reduced to form metal-oxide-metal layer structure

    NASA Technical Reports Server (NTRS)

    Youngdahl, C. A.

    1967-01-01

    Electrically conductive layer of zirconium on a zirconium-oxide film residing on a zirconium substrate is formed by reducing the oxide in a sodium-calcium solution. The reduced metal remains on the oxide surface as an adherent layer and seems to form a barrier that inhibits further reaction.

  20. Metal oxide nanorod arrays on monolithic substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Pu-Xian; Guo, Yanbing; Ren, Zheng

    A metal oxide nanorod array structure according to embodiments disclosed herein includes a monolithic substrate having a surface and multiple channels, an interface layer bonded to the surface of the substrate, and a metal oxide nanorod array coupled to the substrate surface via the interface layer. The metal oxide can include ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide. The substrate can include a glass substrate, a plastic substrate, a silicon substrate, a ceramic monolith, and a stainless steel monolith. The ceramic can include cordierite, alumina, tin oxide, and titania. The nanorod array structure can includemore » a perovskite shell, such as a lanthanum-based transition metal oxide, or a metal oxide shell, such as ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide, or a coating of metal particles, such as platinum, gold, palladium, rhodium, and ruthenium, over each metal oxide nanorod. Structures can be bonded to the surface of a substrate and resist erosion if exposed to high velocity flow rates.« less

  1. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  2. Metal atom oxidation laser

    DOEpatents

    Jensen, R.J.; Rice, W.W.; Beattie, W.H.

    1975-10-28

    A chemical laser which operates by formation of metal or carbon atoms and reaction of such atoms with a gaseous oxidizer in an optical resonant cavity is described. The lasing species are diatomic or polyatomic in nature and are readily produced by exchange or other abstraction reactions between the metal or carbon atoms and the oxidizer. The lasing molecules may be metal or carbon monohalides or monoxides.

  3. Nano-scaled Pt/Ag/Ni/Au contacts on p-type GaN for low contact resistance and high reflectivity.

    PubMed

    Kwon, Y W; Ju, I C; Kim, S K; Choi, Y S; Kim, M H; Yoo, S H; Kang, D H; Sung, H K; Shin, K; Ko, C G

    2011-07-01

    We synthesized the vertical-structured LED (VLED) using nano-scaled Pt between p-type GaN and Ag-based reflector. The metallization scheme on p-type GaN for high reflectance and low was the nano-scaled Pt/Ag/Ni/Au. Nano-scaled Pt (5 A) on Ag/Ni/Au exhibited reasonably high reflectance of 86.2% at the wavelength of 460 nm due to high transmittance of light through nano-scaled Pt (5 A) onto Ag layer. Ohmic behavior of contact metal, Pt/Ag/Ni/Au, to p-type GaN was achieved using surface treatments of p-type GaN prior to the deposition of contact metals and the specific contact resistance was observed with decreasing Pt thickness of 5 A, resulting in 1.5 x 10(-4) ohms cm2. Forward voltages of Pt (5 A)/Ag/Ni contact to p-type GaN showed 4.19 V with the current injection of 350 mA. Output voltages with various thickness of Pt showed the highest value at the smallest thickness of Pt due to its high transmittance of light onto Ag, leading to high reflectance. Our results propose that nano-scaled Pt/Ag/Ni could act as a promising contact metal to p-type GaN for improving the performance of VLEDs.

  4. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  5. Mesoporous metal oxides and processes for preparation thereof

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suib, Steven L.; Poyraz, Altug Suleyman

    A process for preparing a mesoporous metal oxide, i.e., transition metal oxide. Lanthanide metal oxide, a post-transition metal oxide and metalloid oxide. The process comprises providing an acidic mixture comprising a metal precursor, an interface modifier, a hydrotropic ion precursor, and a surfactant; and heating the acidic mixture at a temperature and for a period of time sufficient to form the mesoporous metal oxide. A mesoporous metal oxide prepared by the above process. A method of controlling nano-sized wall crystallinity and mesoporosity in mesoporous metal oxides. The method comprises providing an acidic mixture comprising a metal precursor, an interface modifier,more » a hydrotropic ion precursor, and a surfactant; and heating the acidic mixture at a temperature and for a period of time sufficient to control nano-sized wall crystallinity and mesoporosity in the mesoporous metal oxides. Mesoporous metal oxides and a method of tuning structural properties of mesoporous metal oxides.« less

  6. Metals and lipid oxidation. Contemporary issues.

    PubMed

    Schaich, K M

    1992-03-01

    Lipid oxidation is now recognized to be a critically important reaction in physiological and toxicological processes as well as in food products. This provides compelling reasons to understand what causes lipid oxidation in order to be able to prevent or control the reactions. Redox-active metals are major factors catalyzing lipid oxidation in biological systems. Classical mechanisms of direct electron transfer to double bonds by higher valence metals and of reduction of hydroperoxides by lower valence metals do not always account for patterns of metal catalysis of lipid oxidation in multiphasic or compartmentalized biological systems. To explain why oxidation kinetics, mechanisms, and products in molecular environments which are both chemically and physically complex often do not follow classical patterns predicted by model system studies, increased consideration must be given to five contemporary issues regarding metal catalysis of lipid oxidation: hypervalent non-heme iron or iron-oxygen complexes, heme catalysis mechanism(s), compartmentalization of reactions and lipid phase reactions of metals, effects of metals on product mixes, and factors affecting the mode of metal catalytic action.

  7. Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Jiang, Ying; Miyashita, Takahiro; Motoyama, Shin-ichi; Li, Liuan; Wang, Dejun; Ohno, Yasuo; Ao, Jin-Ping

    2014-09-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with recessed gate on AlGaN/GaN heterostructure are reported in which the drain and source ohmic contacts were fabricated on the AlGaN/GaN heterostructure and the electron channel was formed on the GaN buffer layer by removing the AlGaN barrier layer. Negative threshold voltages were commonly observed in all devices. To investigate the reasons of the negative threshold voltages, different oxide thickness, etching gas and bias power of inductively-coupled plasma (ICP) system were utilized in the fabrication process of the GaN MOSFETs. It is found that positive charges of around 1 × 1012 q/cm2 exist near the interface at the just threshold condition in both silane- and tetraethylorthosilicate (TEOS)-based devices. It is also found that the threshold voltages do not obviously change with the different etching gas (SiCl4, BCl3 and two-step etching of SiCl4/Cl2) at the same ICP bias power level (20-25 W) and will become deeper when higher bias power is used in the dry recess process which may be related to the much serious ion bombardment damage. Furthermore, X-ray photoelectron spectroscopy (XPS) experiments were done to investigate the surface conditions. It is found that N 1s peaks become lower with higher bias power of the dry etching process. Also, silicon contamination was found and could be removed by HNO3/HF solution. It indicates that the nitrogen vacancies are mainly responsible for the negative threshold voltages rather than the silicon contamination. It demonstrates that optimization of the ICP recess conditions and improvement of the surface condition are still necessary to realize enhancement-mode GaN MOSFETs on AlGaN/GaN heterostructure.

  8. Metal atom oxidation laser

    DOEpatents

    Jensen, R.J.; Rice, W.W.; Beattie, W.H.

    1975-10-28

    A chemical laser which operates by formation of metal or carbon atoms and reaction of such atoms with a gaseous oxidizer in an optical resonant cavity is described. The lasing species are diatomic or polyatomic in nature and are readily produced by exchange or other abstraction reactions between the metal or carbon atoms and the oxidizer. The lasing molecules may be metal or carbon monohalides or monoxides. (auth)

  9. Boron doped GaN and InN: Potential candidates for spintronics

    NASA Astrophysics Data System (ADS)

    Fan, S. W.; Huang, X. N.; Yao, K. L.

    2017-02-01

    The full potential linearized augmented plane wave method together with the Tran-Blaha modified Becke-Johnson potential is utilized to investigate the electronic structures and magnetism for boron doped GaN and InN. Calculations show the boron substituting nitrogen (BN defects) could induce the GaN and InN to be half-metallic ferromagnets. The magnetic moments mainly come from the BN defects, and each BN defect would produce the 2.00 μB total magnetic moment. The electronic structures indicate the carriers-mediated double exchange interaction plays a crucial role in forming the ferromagnetism. Positive chemical pair interactions imply the BN defects would form the homogeneous distribution in GaN and InN matrix. Moderate formation energies suggest that GaN and InN with BN defects could be fabricated experimentally.

  10. Epitaxy of Zn{sub 2}TiO{sub 4} (1 1 1) thin films on GaN (0 0 1)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiao, Chu-Yun; Wu, Jhih-Cheng; Shih, Chuan-Feng, E-mail: cfshih@mail.ncku.edu.tw

    2013-03-15

    Highlights: ► High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by sputtering. ► Oxygen atmosphere and post heat-treatment annealing effectively enhanced epitaxy. ► The epitaxial Zn{sub 2}TiO{sub 4} modifies the dielectric properties of ceramic oxide. - Abstract: High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by rf-sputtering. Grazing-angle, powder, and pole-figure X-ray diffractometries (XRD) were performed to identify the crystallinity and the preferred orientation of the Zn{sub 2}TiO{sub 4} films. Lattice image at the Zn{sub 2}TiO{sub 4} (1 1 1)/GaN (0 0 1) interface was obtained by high-resolutionmore » transmission-electron microscopy (HR-TEM). An oxygen atmosphere in sputtering and post heat-treatment using rapid thermal annealing effectively enhanced the epitaxy. The epitaxial relationship was determined from the XRD and HR-TEM results: (111){sub Zn{sub 2TiO{sub 4}}}||(001){sub GaN}, (202{sup ¯}){sub Zn{sub 2TiO{sub 4}}}||(110){sub GaN},and[21{sup ¯}1{sup ¯}]{sub Zn{sub 2TiO{sub 4}}}||[01{sup ¯}10]{sub GaN}. Finally, the relative permittivity, interfacial trap density and the flat-band voltage of the Zn{sub 2}TiO{sub 4} based capacitor were ∼18.9, 8.38 × 10{sup 11} eV{sup −1} cm{sup −2}, and 1.1 V, respectively, indicating the potential applications of the Zn{sub 2}TiO{sub 4} thin film to the GaN-based metal-oxide-semiconductor capacitor.« less

  11. Surface protected lithium-metal-oxide electrodes

    DOEpatents

    Thackeray, Michael M.; Kang, Sun-Ho

    2016-04-05

    A lithium-metal-oxide positive electrode having a layered or spinel structure for a non-aqueous lithium electrochemical cell and battery is disclosed comprising electrode particles that are protected at the surface from undesirable effects, such as electrolyte oxidation, oxygen loss or dissolution by one or more lithium-metal-polyanionic compounds, such as a lithium-metal-phosphate or a lithium-metal-silicate material that can act as a solid electrolyte at or above the operating potential of the lithium-metal-oxide electrode. The surface protection significantly enhances the surface stability, rate capability and cycling stability of the lithium-metal-oxide electrodes, particularly when charged to high potentials.

  12. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  13. Electron spin relaxation in two polymorphic structures of GaN

    NASA Astrophysics Data System (ADS)

    Kang, Nam Lyong

    2015-03-01

    The relaxation process of electron spin in systems of electrons interacting with piezoelectric deformation phonons that are mediated through spin-orbit interactions was interpreted from a microscopic point of view using the formula for the electron spin relaxation times derived by a projection-reduction method. The electron spin relaxation times in two polymorphic structures of GaN were calculated. The piezoelectric material constant for the wurtzite structure obtained by a comparison with a previously reported experimental result was {{P}pe}=1.5 × {{10}29} eV {{m}-1}. The temperature and magnetic field dependence of the relaxation times for both wurtzite and zinc-blende structures were similar, but the relaxation times in zinc-blende GaN were smaller and decreased more rapidly with increasing temperature and magnetic field than that in wurtzite GaN. This study also showed that the electron spin relaxation for wurtzite GaN at low density could be explained by the Elliot-Yafet process but not for zinc-blende GaN in the metallic regime.

  14. Catalytic production of metal carbonyls from metal oxides

    DOEpatents

    Sapienza, Richard S.; Slegeir, William A.; Foran, Michael T.

    1984-01-01

    This invention relates to the formation of metal carbonyls from metal oxides and specially the formation of molybdenum carbonyl and iron carbonyl from their respective oxides. Copper is used here in admixed form or used in chemically combined form as copper molybdate. The copper/metal oxide combination or combined copper is utilized with a solvent, such as toluene and subjected to carbon monoxide pressure of 25 atmospheres or greater at about 150.degree.-260.degree. C. The reducing metal copper is employed in catalytic concentrations or combined concentrations as CuMoO.sub.4 and both hydrogen and water present serve as promoters. It has been found that the yields by this process have been salutary and that additionally the catalytic metal may be reused in the process to good effect.

  15. Catalytic production of metal carbonyls from metal oxides

    DOEpatents

    Sapienza, R.S.; Slegeir, W.A.; Foran, M.T.

    1984-01-06

    This invention relates to the formation of metal carbonyls from metal oxides and specially the formation of molybdenum carbonyl and iron carbonyl from their respective oxides. Copper is used here in admixed form or used in chemically combined form as copper molybdate. The copper/metal oxide combination or combined copper is utilized with a solvent, such as toluene and subjected to carbon monoxide pressure of 25 atmospheres or greater at about 150 to 260/sup 0/C. The reducing metal copper is employed in catalytic concentrations or combined concentrations as CuMoO/sub 4/ and both hydrogen and water present serve as promoters. It has been found that the yields by this process have been salutary and that additionally the catalytic metal may be reused in the process to good effect. 3 tables.

  16. Competing charge density wave and antiferromagnetism of metallic atom wires in GaN(10 1 ¯ ) and ZnO(10 1 ¯ )

    NASA Astrophysics Data System (ADS)

    Kang, Yoon-Gu; Kim, Sun-Woo; Cho, Jun-Hyung

    2017-12-01

    Low-dimensional electron systems often show a delicate interplay between electron-phonon and electron-electron interactions, giving rise to interesting quantum phases such as the charge density wave (CDW) and magnetism. Using the density-functional theory (DFT) calculations with the semilocal and hybrid exchange-correlation functionals as well as the exact-exchange plus correlation in the random-phase approximation (EX + cRPA), we systematically investigate the ground state of the metallic atom wires containing dangling-bond (DB) electrons, fabricated by partially hydrogenating the GaN(10 1 ¯0 ) and ZnO(10 1 ¯0 ) surfaces. We find that the CDW or antiferromagnetic (AFM) order has an electronic energy gain due to a band-gap opening, thereby being more stabilized compared to the metallic state. Our semilocal DFT calculation predicts that both DB wires in GaN(10 1 ¯0 ) and ZnO(10 1 ¯0 ) have the same CDW ground state, whereas the hybrid DFT and EX + cRPA calculations predict the AFM ground state for the former DB wire and the CDW ground state for the latter one. It is revealed that more localized Ga DB electrons in GaN(10 1 ¯0 ) prefer the AFM order, while less localized Zn DB electrons in ZnO(10 1 ¯0 ) the CDW formation. Our findings demonstrate that the drastically different ground states are competing in the DB wires created on the two representative compound semiconductor surfaces.

  17. Highly reflective Ag-Cu alloy-based ohmic contact on p-type GaN using Ru overlayer.

    PubMed

    Son, Jun Ho; Jung, Gwan Ho; Lee, Jong-Lam

    2008-12-15

    We report on a metallization scheme of high reflectance, low resistance, and smooth surface morphology ohmic contact on p-type GaN. Ag-Cu alloy/Ru contact showed low contact resistivity as low as 6.2 x 10(-6) Ohms cm(2) and high reflectance of 91% at 460 nm after annealing at 400 degrees C in air ambient. The oxidation annealing promoted the out-diffusion of Ga atoms to dissolve in an Ag-Cu layer with the formation of an Ag-Ga solid solution, lowering the contact resistivity. The Ru overlayer acts as a diffusion barrier for excessive oxygen incorporation during oxidation annealing, resulting in high reflectance, good thermal stability, and smooth surface quality of the contact.

  18. Mesoporous Transition Metal Oxides for Supercapacitors.

    PubMed

    Wang, Yan; Guo, Jin; Wang, Tingfeng; Shao, Junfeng; Wang, Dong; Yang, Ying-Wei

    2015-10-14

    Recently, transition metal oxides, such as ruthenium oxide (RuO₂), manganese dioxide (MnO₂), nickel oxides (NiO) and cobalt oxide (Co₃O₄), have been widely investigated as electrode materials for pseudo-capacitors. In particular, these metal oxides with mesoporous structures have become very hot nanomaterials in the field of supercapacitors owing to their large specific surface areas and suitable pore size distributions. The high specific capacities of these mesoporous metal oxides are resulted from the effective contacts between electrode materials and electrolytes as well as fast transportation of ions and electrons in the bulk of electrode and at the interface of electrode and electrolyte. During the past decade, many achievements on mesoporous transition metal oxides have been made. In this mini-review, we select several typical nanomaterials, such as RuO₂, MnO₂, NiO, Co₃O₄ and nickel cobaltite (NiCo₂O₄), and briefly summarize the recent research progress of these mesoporous transition metal oxides-based electrodes in the field of supercapacitors.

  19. Method for producing metal oxide nanoparticles

    DOEpatents

    Phillips, Jonathan [Santa Fe, NM; Mendoza, Daniel [Santa Fe, NM; Chen, Chun-Ku [Albuquerque, NM

    2008-04-15

    Method for producing metal oxide nanoparticles. The method includes generating an aerosol of solid metallic microparticles, generating plasma with a plasma hot zone at a temperature sufficiently high to vaporize the microparticles into metal vapor, and directing the aerosol into the hot zone of the plasma. The microparticles vaporize in the hot zone into metal vapor. The metal vapor is directed away from the hot zone and into the cooler plasma afterglow where it oxidizes, cools and condenses to form solid metal oxide nanoparticles.

  20. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  1. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  2. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  3. Dendritic core-shell nickel-iron-copper metal/metal oxide electrode for efficient electrocatalytic water oxidation.

    PubMed

    Zhang, Peili; Li, Lin; Nordlund, Dennis; Chen, Hong; Fan, Lizhou; Zhang, Biaobiao; Sheng, Xia; Daniel, Quentin; Sun, Licheng

    2018-01-26

    Electrochemical water splitting requires efficient water oxidation catalysts to accelerate the sluggish kinetics of water oxidation reaction. Here, we report a promisingly dendritic core-shell nickel-iron-copper metal/metal oxide electrode, prepared via dealloying with an electrodeposited nickel-iron-copper alloy as a precursor, as the catalyst for water oxidation. The as-prepared core-shell nickel-iron-copper electrode is characterized with porous oxide shells and metallic cores. This tri-metal-based core-shell nickel-iron-copper electrode exhibits a remarkable activity toward water oxidation in alkaline medium with an overpotential of only 180 mV at a current density of 10 mA cm -2 . The core-shell NiFeCu electrode exhibits pH-dependent oxygen evolution reaction activity on the reversible hydrogen electrode scale, suggesting that non-concerted proton-electron transfers participate in catalyzing the oxygen evolution reaction. To the best of our knowledge, the as-fabricated core-shell nickel-iron-copper is one of the most promising oxygen evolution catalysts.

  4. Aerosol-spray diverse mesoporous metal oxides from metal nitrates.

    PubMed

    Kuai, Long; Wang, Junxin; Ming, Tian; Fang, Caihong; Sun, Zhenhua; Geng, Baoyou; Wang, Jianfang

    2015-04-21

    Transition metal oxides are widely used in solar cells, batteries, transistors, memories, transparent conductive electrodes, photocatalysts, gas sensors, supercapacitors, and smart windows. In many of these applications, large surface areas and pore volumes can enhance molecular adsorption, facilitate ion transfer, and increase interfacial areas; the formation of complex oxides (mixed, doped, multimetallic oxides and oxide-based hybrids) can alter electronic band structures, modify/enhance charge carrier concentrations/separation, and introduce desired functionalities. A general synthetic approach to diverse mesoporous metal oxides is therefore very attractive. Here we describe a powerful aerosol-spray method for synthesizing various mesoporous metal oxides from low-cost nitrate salts. During spray, thermal heating of precursor droplets drives solvent evaporation and induces surfactant-directed formation of mesostructures, nitrate decomposition and oxide cross-linking. Thirteen types of monometallic oxides and four groups of complex ones are successfully produced, with mesoporous iron oxide microspheres demonstrated for photocatalytic oxygen evolution and gas sensing with superior performances.

  5. Aerosol-spray diverse mesoporous metal oxides from metal nitrates

    PubMed Central

    Kuai, Long; Wang, Junxin; Ming, Tian; Fang, Caihong; Sun, Zhenhua; Geng, Baoyou; Wang, Jianfang

    2015-01-01

    Transition metal oxides are widely used in solar cells, batteries, transistors, memories, transparent conductive electrodes, photocatalysts, gas sensors, supercapacitors, and smart windows. In many of these applications, large surface areas and pore volumes can enhance molecular adsorption, facilitate ion transfer, and increase interfacial areas; the formation of complex oxides (mixed, doped, multimetallic oxides and oxide-based hybrids) can alter electronic band structures, modify/enhance charge carrier concentrations/separation, and introduce desired functionalities. A general synthetic approach to diverse mesoporous metal oxides is therefore very attractive. Here we describe a powerful aerosol-spray method for synthesizing various mesoporous metal oxides from low-cost nitrate salts. During spray, thermal heating of precursor droplets drives solvent evaporation and induces surfactant-directed formation of mesostructures, nitrate decomposition and oxide cross-linking. Thirteen types of monometallic oxides and four groups of complex ones are successfully produced, with mesoporous iron oxide microspheres demonstrated for photocatalytic oxygen evolution and gas sensing with superior performances. PMID:25897988

  6. Mesoporous Transition Metal Oxides for Supercapacitors

    PubMed Central

    Wang, Yan; Guo, Jin; Wang, Tingfeng; Shao, Junfeng; Wang, Dong; Yang, Ying-Wei

    2015-01-01

    Recently, transition metal oxides, such as ruthenium oxide (RuO2), manganese dioxide (MnO2), nickel oxides (NiO) and cobalt oxide (Co3O4), have been widely investigated as electrode materials for pseudo-capacitors. In particular, these metal oxides with mesoporous structures have become very hot nanomaterials in the field of supercapacitors owing to their large specific surface areas and suitable pore size distributions. The high specific capacities of these mesoporous metal oxides are resulted from the effective contacts between electrode materials and electrolytes as well as fast transportation of ions and electrons in the bulk of electrode and at the interface of electrode and electrolyte. During the past decade, many achievements on mesoporous transition metal oxides have been made. In this mini-review, we select several typical nanomaterials, such as RuO2, MnO2, NiO, Co3O4 and nickel cobaltite (NiCo2O4), and briefly summarize the recent research progress of these mesoporous transition metal oxides-based electrodes in the field of supercapacitors. PMID:28347088

  7. Reactive metal-oxide interfaces: A microscopic view

    NASA Astrophysics Data System (ADS)

    Picone, A.; Riva, M.; Brambilla, A.; Calloni, A.; Bussetti, G.; Finazzi, M.; Ciccacci, F.; Duò, L.

    2016-03-01

    Metal-oxide interfaces play a fundamental role in determining the functional properties of artificial layered heterostructures, which are at the root of present and future technological applications. Magnetic exchange and magnetoelectric coupling, spin filtering, metal passivation, catalytic activity of oxide-supported nano-particles are just few examples of physical and chemical processes arising at metal-oxide hybrid systems, readily exploited in working devices. These phenomena are strictly correlated with the chemical and structural characteristics of the metal-oxide interfacial region, making a thorough understanding of the atomistic mechanisms responsible of its formation a prerequisite in order to tailor the device properties. The steep compositional gradient established upon formation of metal-oxide heterostructures drives strong chemical interactions at the interface, making the metal-oxide boundary region a complex system to treat, both from an experimental and a theoretical point of view. However, once properly mastered, interfacial chemical interactions offer a further degree of freedom for tuning the material properties. The goal of the present review is to provide a summary of the latest achievements in the understanding of metal/oxide and oxide/metal layered systems characterized by reactive interfaces. The influence of the interface composition on the structural, electronic and magnetic properties will be highlighted. Particular emphasis will be devoted to the discussion of ultra-thin epitaxial oxides stabilized on highly oxidizable metals, which have been rarely exploited as oxide supports as compared to the much more widespread noble and quasi noble metallic substrates. In this frame, an extensive discussion is devoted to the microscopic characterization of interfaces between epitaxial metal oxides and the Fe(001) substrate, regarded from the one hand as a prototypical ferromagnetic material and from the other hand as a highly oxidizable metal.

  8. Molecular Level Coating of Metal Oxide Particles

    NASA Technical Reports Server (NTRS)

    McDaniel, Patricia R. (Inventor); St.Clair, Terry L. (Inventor)

    2002-01-01

    Polymer encapsulated metal oxide particles are prepared by combining a polyamide acid in a polar osmotic solvent with a metal alkoxide solution. The polymer was imidized and the metal oxide formed simultaneously in a refluxing organic solvent. The resulting polymer-metal oxide is an intimately mixed commingled blend, possessing, synergistic properties of both the polymer and preceramic metal oxide. The encapsulated metal oxide particles have multiple uses including, being useful in the production of skin lubricating creams, weather resistant paints, as a filler for paper. making ultraviolet light stable filled printing ink, being extruded into fibers or ribbons, and coatings for fibers used in the production of composite structural panels.

  9. Molecular Level Coating for Metal Oxide Particles

    NASA Technical Reports Server (NTRS)

    McDaniel, Patricia R. (Inventor); Saint Clair, Terry L. (Inventor)

    2000-01-01

    Polymer encapsulated metal oxide particles are prepared by combining a polyamide acid in a polar aprotic solvent with a metal alkoxide solution. The polymer was imidized and the metal oxide formed simultaneously in a refluxing organic solvent. The resulting polymer-metal oxide is an intimately mixed commingled blend, possessing synergistic properties of both the polymer and preceramic metal oxide. The encapsulated metal oxide particles have multiple uses including, being useful in the production of skin lubricating creams, weather resistant paints, as a filler for paper, making ultraviolet light stable filled printing ink, being extruded into fibers or ribbons, and coatings for fibers used in the production of composite structural panels.

  10. Method of making controlled morphology metal-oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ozcan, Soydan; Lu, Yuan

    2016-05-17

    A method of making metal oxides having a preselected morphology includes preparing a suspension that includes a solvent, polymeric nanostructures having multiplicities of hydroxyl surface groups and/or carboxyl surface groups, and a metal oxide precursor. The suspension has a preselected ratio of the polymeric nanostructures to the metal oxide precursor of at least 1:3, the preselected ratio corresponding to a preselected morphology. Subsequent steps include depositing the suspension onto a substrate, removing the solvent to form a film, removing the film from the substrate, and annealing the film to volatilize the polymeric nanostructures and convert the metal oxide precursor tomore » metal oxide nanoparticles having the preselected morphology or to a metal oxide nanosheet including conjoined nanoparticles having the preselected morphology.« less

  11. Microbial-mediated method for metal oxide nanoparticle formation

    DOEpatents

    Rondinone, Adam J.; Moon, Ji Won; Love, Lonnie J.; Yeary, Lucas W.; Phelps, Tommy J.

    2015-09-08

    The invention is directed to a method for producing metal oxide nanoparticles, the method comprising: (i) subjecting a combination of reaction components to conditions conducive to microbial-mediated formation of metal oxide nanoparticles, wherein said combination of reaction components comprise: metal-reducing microbes, a culture medium suitable for sustaining said metal-reducing microbes, an effective concentration of one or more surfactants, a reducible metal oxide component containing one or more reducible metal species, and one or more electron donors that provide donatable electrons to said metal-reducing microbes during consumption of the electron donor by said metal-reducing microbes; and (ii) isolating said metal oxide nanoparticles, which contain a reduced form of said reducible metal oxide component. The invention is also directed to metal oxide nanoparticle compositions produced by the inventive method.

  12. Dendritic core-shell nickel-iron-copper metal/metal oxide electrode for efficient electrocatalytic water oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Peili; Li, Lin; Nordlund, Dennis

    Electrochemical water splitting requires efficient water oxidation catalysts to accelerate the sluggish kinetics of water oxidation reaction. Here in this paper, we report a promisingly dendritic core-shell nickel-iron-copper metal/metal oxide electrode, prepared via dealloying with an electrodeposited nickel-iron-copper alloy as a precursor, as the catalyst for water oxidation. The as-prepared core-shell nickel-iron-copper electrode is characterized with porous oxide shells and metallic cores. This tri-metal-based core-shell nickel-iron-copper electrode exhibits a remarkable activity toward water oxidation in alkaline medium with an overpotential of only 180 mV at a current density of 10 mA cm -2. The core-shell NiFeCu electrode exhibits pH-dependent oxygenmore » evolution reaction activity on the reversible hydrogen electrode scale, suggesting that non-concerted proton-electron transfers participate in catalyzing the oxygen evolution reaction. To the best of our knowledge, the as-fabricated core-shell nickel-iron-copper is one of the most promising oxygen evolution catalysts.« less

  13. Dendritic core-shell nickel-iron-copper metal/metal oxide electrode for efficient electrocatalytic water oxidation

    DOE PAGES

    Zhang, Peili; Li, Lin; Nordlund, Dennis; ...

    2018-01-26

    Electrochemical water splitting requires efficient water oxidation catalysts to accelerate the sluggish kinetics of water oxidation reaction. Here in this paper, we report a promisingly dendritic core-shell nickel-iron-copper metal/metal oxide electrode, prepared via dealloying with an electrodeposited nickel-iron-copper alloy as a precursor, as the catalyst for water oxidation. The as-prepared core-shell nickel-iron-copper electrode is characterized with porous oxide shells and metallic cores. This tri-metal-based core-shell nickel-iron-copper electrode exhibits a remarkable activity toward water oxidation in alkaline medium with an overpotential of only 180 mV at a current density of 10 mA cm -2. The core-shell NiFeCu electrode exhibits pH-dependent oxygenmore » evolution reaction activity on the reversible hydrogen electrode scale, suggesting that non-concerted proton-electron transfers participate in catalyzing the oxygen evolution reaction. To the best of our knowledge, the as-fabricated core-shell nickel-iron-copper is one of the most promising oxygen evolution catalysts.« less

  14. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Li, L. H.; Deng, Z. X.; Xiao, J. X.; Yang, G. W.

    2015-06-01

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  15. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity.

    PubMed

    Li, L H; Deng, Z X; Xiao, J X; Yang, G W

    2015-01-26

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  16. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Spin-gapless and half-metallic ferromagnetism in potassium and calcium δ-doped GaN digital magnetic heterostructures for possible spintronic applications: insights from first principles

    NASA Astrophysics Data System (ADS)

    Du, Jiangtao; Dong, Shengjie; Zhou, Baozeng; Zhao, Hui; Feng, Liefeng

    2017-04-01

    The reports previously issued predominantly paid attention to the d-block magnetic elements δ-doped digital magnetic materials. In this work, GaN δ-doped with non-magnetic main group s-block elements K and Ca as digital magnetic heterostructures were purposed and explored theoretically. We found that K- and Ca-embedded GaN digital alloys exhibit spin-gapless and half-metallic ferromagnetic characteristics, respectively. All compounds obey the Slater-Pauling rule with diverse electronic and magnetic properties. For these digital ferromagnetic heterostructures, spin polarization occurs in nitrogen within a confined space around the δ-doped layer, demonstrating a hole-mediated two-dimensional magnetic phenomenon.

  18. Electrocatalytic N-Doped Graphitic Nanofiber - Metal/Metal Oxide Nanoparticle Composites.

    PubMed

    Tang, Hongjie; Chen, Wei; Wang, Jiangyan; Dugger, Thomas; Cruz, Luz; Kisailus, David

    2018-03-01

    Carbon-based nanocomposites have shown promising results in replacing commercial Pt/C as high-performance, low cost, nonprecious metal-based oxygen reduction reaction (ORR) catalysts. Developing unique nanostructures of active components (e.g., metal oxides) and carbon materials is essential for their application in next generation electrode materials for fuel cells and metal-air batteries. Herein, a general approach for the production of 1D porous nitrogen-doped graphitic carbon fibers embedded with active ORR components, (M/MO x , i.e., metal or metal oxide nanoparticles) using a facile two-step electrospinning and annealing process is reported. Metal nanoparticles/nanoclusters nucleate within the polymer nanofibers and subsequently catalyze graphitization of the surrounding polymer matrix and following oxidation, create an interconnected graphite-metal oxide framework with large pore channels, considerable active sites, and high specific surface area. The metal/metal oxide@N-doped graphitic carbon fibers, especially Co 3 O 4 , exhibit comparable ORR catalytic activity but superior stability and methanol tolerance versus Pt in alkaline solutions, which can be ascribed to the synergistic chemical coupling effects between Co 3 O 4 and robust 1D porous structures composed of interconnected N-doped graphitic nanocarbon rings. This finding provides a novel insight into the design of functional electrocatalysts using electrospun carbon nanomaterials for their application in energy storage and conversion fields. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Au-Doped Indium Tin Oxide Ohmic Contacts to p-Type GaN

    NASA Astrophysics Data System (ADS)

    Guo, H.; Andagana, H. B.; Cao, X. A.

    2010-05-01

    Indium tin oxide (ITO) thin films doped with Au, Ni, or Pt (3.5 at.% to 10.5 at.%) were deposited on p-GaN epilayers (Mg ~4 × 1019 cm-3) using direct-current (DC) sputter codeposition. It was found that undoped ITO con- tacts to p-GaN exhibited leaky Schottky behavior, whereas the incorporation of a small amount of Au (3.5 at.% to 10.5 at.%) significantly improved their ohmic characteristics. Compared with standard Ni/ITO contacts, the Au-doped ITO contacts had a similar specific contact resistance in the low 10-2 Ω cm-2 range, but were more stable above 600°C and more transparent at blue wavelengths. These results provide support for the use of Au-doped ITO ohmic contact to p-type GaN in high-brightness blue light-emitting diodes.

  20. Methods of producing adsorption media including a metal oxide

    DOEpatents

    Mann, Nicholas R; Tranter, Troy J

    2014-03-04

    Methods of producing a metal oxide are disclosed. The method comprises dissolving a metal salt in a reaction solvent to form a metal salt/reaction solvent solution. The metal salt is converted to a metal oxide and a caustic solution is added to the metal oxide/reaction solvent solution to adjust the pH of the metal oxide/reaction solvent solution to less than approximately 7.0. The metal oxide is precipitated and recovered. A method of producing adsorption media including the metal oxide is also disclosed, as is a precursor of an active component including particles of a metal oxide.

  1. Nanostructured transition metal oxides useful for water oxidation catalysis

    DOEpatents

    Frei, Heinz M; Jiao, Feng

    2013-12-24

    The present invention provides for a composition comprising a nanostructured transition metal oxide capable of oxidizing two H.sub.2O molecules to obtain four protons. In some embodiments of the invention, the composition further comprises a porous matrix wherein the nanocluster of the transition metal oxide is embedded on and/or in the porous matrix.

  2. Systematic study of metal-insulator-metal diodes with a native oxide

    NASA Astrophysics Data System (ADS)

    Donchev, E.; Gammon, P. M.; Pang, J. S.; Petrov, P. K.; Alford, N. McN.

    2014-10-01

    In this paper, a systematic analysis of native oxides within a Metal-Insulator-Metal (MIM) diode is carried out, with the goal of determining their practicality for incorporation into a nanoscale Rectenna (Rectifying Antenna). The requirement of having a sub-10nm oxide scale is met by using the native oxide, which forms on most metals exposed to an oxygen containing environment. This, therefore, provides a simplified MIM fabrication process as the complex, controlled oxide deposition step is omitted. We shall present the results of an investigation into the current-voltage characteristics of various MIM combinations that incorporate a native oxide, in order to establish whether the native oxide is of sufficient quality for good diode operation. The thin native oxide layers are formed by room temperature oxidation of the first metal layer, deposited by magnetron sputtering. This is done in-situ, within the deposition chamber before depositing the second metal electrode. Using these structures, we study the established trend where the bigger the difference in metal workfunctions, the better the rectification properties of MIM structures, and hence the selection of the second metal is key to controlling the device's rectifying properties. We show how leakage current paths through the non-optimised native oxide control the net current-voltage response of the MIM devices. Furthermore, we will present the so-called diode figures of merit (asymmetry, non-linearity and responsivity) for each of the best performing structures.

  3. Oxidized film structure and method of making epitaxial metal oxide structure

    DOEpatents

    Gan, Shupan [Richland, WA; Liang, Yong [Richland, WA

    2003-02-25

    A stable oxidized structure and an improved method of making such a structure, including an improved method of making an interfacial template for growing a crystalline metal oxide structure, are disclosed. The improved method comprises the steps of providing a substrate with a clean surface and depositing a metal on the surface at a high temperature under a vacuum to form a metal-substrate compound layer on the surface with a thickness of less than one monolayer. The compound layer is then oxidized by exposing the compound layer to essentially oxygen at a low partial pressure and low temperature. The method may further comprise the step of annealing the surface while under a vacuum to further stabilize the oxidized film structure. A crystalline metal oxide structure may be subsequently epitaxially grown by using the oxidized film structure as an interfacial template and depositing on the interfacial template at least one layer of a crystalline metal oxide.

  4. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  5. Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN

    NASA Astrophysics Data System (ADS)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-08-01

    Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing (CMP) of GaN were deeply investigated in this paper. Working as an ideal homogeneous substrate material in LED industry, GaN ought to be equipped with a smooth and flat surface. Taking the strong chemical stability of GaN into account, photocatalytic oxidation technology was adopted in GaN CMP process to realize efficient removal. It was found that, because of the improved reaction rate of photocatalytic oxidation, GaN material removal rate (MRR) increases by a certain extent with catalyst concentration increasing. Cross single line analysis on the surface after polishing by Phase Shift MicroXAM-3D was carried out to prove the better removal effect with higher catalyst concentration. Ultraviolet intensity field in H2O2-SiO2-based polishing system was established and simulated, revealing the variation trend of ultraviolet intensity around the outlet of the slurry. It could be concluded that, owing to the higher planarization efficiency and lower energy damage, the UV lamp of 125 W is the most appropriate lamp in this system. Based on the analysis, defects removal model of this work was proposed to describe the effects of higher catalyst concentration and higher power of UV lamp.

  6. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  7. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  8. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  9. Conducting metal oxide and metal nitride nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DiSalvo, Jr., Francis J.; Subban, Chinmayee V.

    Conducting metal oxide and nitride nanoparticles that can be used in fuel cell applications. The metal oxide nanoparticles are comprised of for example, titanium, niobium, tantalum, tungsten and combinations thereof. The metal nitride nanoparticles are comprised of, for example, titanium, niobium, tantalum, tungsten, zirconium, and combinations thereof. The nanoparticles can be sintered to provide conducting porous agglomerates of the nanoparticles which can be used as a catalyst support in fuel cell applications. Further, platinum nanoparticles, for example, can be deposited on the agglomerates to provide a material that can be used as both an anode and a cathode catalyst supportmore » in a fuel cell.« less

  10. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  11. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  12. Properties of Epitaxial GaN on Refractory Metal Substrates

    DTIC Science & Technology

    2007-02-28

    Rowland Aymont Technology, Inc., Scotia, New York 12302 Jihyun Kim College of Engineering, Korea University, Seoul 136-701, South Korea Mohammad Fatemi...M. Li, D. Wang, C. Ahyi, C.-C. Tin, J. Williams, and M. Park , Appl. Phys. Lett. 88, 113509 2001. FIG. 4. I-V characteristic of the GaN film on 111

  13. Process for producing metal compounds from graphite oxide

    NASA Technical Reports Server (NTRS)

    Hung, Ching-Cheh (Inventor)

    2000-01-01

    A process for providing elemental metals or metal oxides distributed on a carbon substrate or self-supported utilizing graphite oxide as a precursor. The graphite oxide is exposed to one or more metal chlorides to form an intermediary product comprising carbon, metal, chloride, and oxygen This intermediary product can be flier processed by direct exposure to carbonate solutions to form a second intermediary product comprising carbon, metal carbonate, and oxygen. Either intermediary product may be further processed: a) in air to produce metal oxide; b) in an inert environment to produce metal oxide on carbon substrate; c) in a reducing environment to produce elemental metal distributed on carbon substrate. The product generally takes the shape of the carbon precursor.

  14. Process for Producing Metal Compounds from Graphite Oxide

    NASA Technical Reports Server (NTRS)

    Hung, Ching-Cheh (Inventor)

    2000-01-01

    A process for providing elemental metals or metal oxides distributed on a carbon substrate or self-supported utilizing graphite oxide as a precursor. The graphite oxide is exposed to one or more metal chlorides to form an intermediary product comprising carbon. metal. chloride. and oxygen This intermediary product can be flier processed by direct exposure to carbonate solutions to form a second intermediary product comprising carbon. metal carbonate. and oxygen. Either intermediary product may be further processed: a) in air to produce metal oxide: b) in an inert environment to produce metal oxide on carbon substrate: c) in a reducing environment. to produce elemental metal distributed on carbon substrate. The product generally takes the shape of the carbon precursor.

  15. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    NASA Astrophysics Data System (ADS)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  16. High surface area, electrically conductive nanocarbon-supported metal oxide

    DOEpatents

    Worsley, Marcus A.; Han, Thomas Yong-Jin; Kuntz, Joshua D.; Cervantes, Octavio; Gash, Alexander E.; Baumann, Theodore F.; Satcher, Jr., Joe H.

    2015-07-14

    A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust.

  17. High surface area, electrically conductive nanocarbon-supported metal oxide

    DOEpatents

    Worsley, Marcus A; Han, Thomas Yong-Jin; Kuntz, Joshua D; Cervanted, Octavio; Gash, Alexander E; Baumann, Theodore F; Satcher, Jr., Joe H

    2014-03-04

    A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust.

  18. Strain-mediated electronic properties of pristine and Mn-doped GaN monolayers

    NASA Astrophysics Data System (ADS)

    Sharma, Venus; Srivastava, Sunita

    2018-04-01

    Graphene-like two-dimensional (2D) monolayer structures GaN has gained enormous amount of interest due to high thermal stability and inherent energy band gap for practical applications. First principles calculations are performed to investigate the electronic structure and strain-mediated electronic properties of pristine and Mn-doped GaN monolayer. Binding energy of Mn dopant at various adsorption site is found to be nearly same indicating these sites to be equally favorable for adsorption of foreign atom. Depending on the adsorption site, GaN monolayer can act as p-type or n-type magnetic semiconductor. The tensile strength of both pristine and doped GaN monolayer (∼24 GPa) at ultimate tensile strain of 34% is comparable with the tensile strength of graphene. The in-plane biaxial strain modulate the energy band gap of both pristine and doped-monolayer from direct to indirect gap semiconductor and finally retendered theme into metal at critical value of applied strain. These characteristics make GaN monolayer to be potential candidate for the future applications in tunable optoelectronics.

  19. Reduction of spalling in mixed metal oxide desulfurization sorbents by addition of a large promoter metal oxide

    DOEpatents

    Poston, J.A.

    1997-12-02

    Mixed metal oxide pellets for removing hydrogen sulfide from fuel gas mixes derived from coal are stabilized for operation over repeated cycles of desulfurization and regeneration reactions by addition of a large promoter metal oxide such as lanthanum trioxide. The pellets, which may be principally made up of a mixed metal oxide such as zinc titanate, exhibit physical stability and lack of spalling or decrepitation over repeated cycles without loss of reactivity. The lanthanum oxide is mixed with pellet-forming components in an amount of 1 to 10 weight percent.

  20. Reduction of spalling in mixed metal oxide desulfurization sorbents by addition of a large promoter metal oxide

    DOEpatents

    Poston, James A.

    1997-01-01

    Mixed metal oxide pellets for removing hydrogen sulfide from fuel gas mixes derived from coal are stabilized for operation over repeated cycles of desulfurization and regeneration reactions by addition of a large promoter metal oxide such as lanthanum trioxide. The pellets, which may be principally made up of a mixed metal oxide such as zinc titanate, exhibit physical stability and lack of spalling or decrepitation over repeated cycles without loss of reactivity. The lanthanum oxide is mixed with pellet-forming components in an amount of 1 to 10 weight percent.

  1. Basic ammonothermal GaN growth in molybdenum capsules

    NASA Astrophysics Data System (ADS)

    Pimputkar, S.; Speck, J. S.; Nakamura, S.

    2016-12-01

    Single crystal, bulk gallium nitride (GaN) crystals were grown using the basic ammonothermal method in a high purity growth environment created using a non-hermetically sealed molybdenum (Mo) capsule and compared to growths performed in a similarly designed silver (Ag) capsule and capsule-free René 41 autoclave. Secondary ion mass spectrometry (SIMS) analysis revealed transition metal free (<1×1017 cm-3) GaN crystals. Anomalously low oxygen concentrations ((2-6)×1018 cm-3) were measured in a {0001} seeded crystal boule grown using a Mo capsule, despite higher source material oxygen concentrations ((1-5)×1019 cm-3) suggesting that molybdenum (or molybdenum nitrides) may act to getter oxygen under certain conditions. Total system pressure profiles from growth runs in a Mo capsule system were comparable to those without a capsule, with pressures peaking within 2 days and slowly decaying due to hydrogen diffusional losses. Measured Mo capsule GaN growth rates were comparable to un-optimized growth rates in capsule-free systems and appreciably slower than in Ag-capsule systems. Crystal quality replicated that of the GaN seed crystals for all capsule conditions, with high quality growth occurring on the (0001) Ga-face. Optical absorption and impurity concentration characterization suggests reduced concentrations of hydrogenated gallium vacancies (VGa-Hx).

  2. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    NASA Astrophysics Data System (ADS)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  3. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    NASA Astrophysics Data System (ADS)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  4. Unstable behaviour of normally-off GaN E-HEMT under short-circuit

    NASA Astrophysics Data System (ADS)

    Martínez, P. J.; Maset, E.; Sanchis-Kilders, E.; Esteve, V.; Jordán, J.; Bta Ejea, J.; Ferreres, A.

    2018-04-01

    The short-circuit capability of power switching devices plays an important role in fault detection and the protection of power circuits. In this work, an experimental study on the short-circuit (SC) capability of commercial 600 V Gallium Nitride enhancement-mode high-electron-mobility transistors (E-HEMT) is presented. A different failure mechanism has been identified for commercial p-doped GaN gate (p-GaN) HEMT and metal-insulator-semiconductor (MIS) HEMT. In addition to the well known thermal breakdown, a premature breakdown is shown on both GaN HEMTs, triggered by hot electron trapping at the surface, which demonstrates that current commercial GaN HEMTs has requirements for improving their SC ruggedness.

  5. Refractive index of erbium doped GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alajlouni, S.; Sun, Z. Y.; Li, J.

    2014-08-25

    GaN is an excellent host for erbium (Er) to provide optical emission in the technologically important as well as eye-safe 1540 nm wavelength window. Er doped GaN (GaN:Er) epilayers were synthesized on c-plane sapphire substrates using metal organic chemical vapor deposition. By employing a pulsed growth scheme, the crystalline quality of GaN:Er epilayers was significantly improved over those obtained by conventional growth method of continuous flow of reaction precursors. X-ray diffraction rocking curve linewidths of less than 300 arc sec were achieved for the GaN (0002) diffraction peak, which is comparable to the typical results of undoped high quality GaN epilayers andmore » represents a major improvement over previously reported results for GaN:Er. Spectroscopic ellipsometry was used to determine the refractive index of the GaN:Er epilayers in the 1540 nm wavelength window and a linear dependence on Er concentration was found. The observed refractive index increase with Er incorporation and the improved crystalline quality of the GaN:Er epilayers indicate that low loss GaN:Er optical waveguiding structures are feasible.« less

  6. Quantum Mechanical Studies of Large Metal, Metal Oxide, and Metal Chalcogenide Nanoparticles and Clusters

    DOE PAGES

    Fernando, Amendra; Weerawardene, K. L. Dimuthu M.; Karimova, Natalia V.; ...

    2015-04-21

    Here, metal, metal oxide, and metal chalcogenide materials have a wide variety of applications. For example, many metal clusters and nanoparticles are used as catalysts for reactions varying from the oxidation of carbon monoxide to the reduction of protons to hydrogen gas. Noble metal nanoparticles have unique optical properties such as a surface plasmon resonance for large nanoparticles that yield applications in sensing and photonics. In addition, a number of transition metal clusters are magnetic. Metal oxide clusters and surfaces are commonly used as catalysts for reactions such as water splitting. Both metal oxide and metal chalcogenide materials can bemore » semiconducting, which leads to applications in sensors, electronics, and solar cells. Many researchers have been interested in studying nanoparticles and/or small clusters of these materials. Some of the system sizes under investigation have been experimentally synthesized, which enables direct theory–experiment comparison. Other clusters that have been examined theoretically are of interest as models of larger systems or surfaces. Often, the size-dependence of their properties such as their HOMO–LUMO gap, magnetic properties, optical properties, etc., is of interest.« less

  7. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  8. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  9. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  10. Oxidation-Mediated Fingering in Liquid Metals

    NASA Astrophysics Data System (ADS)

    Eaker, Collin B.; Hight, David C.; O'Regan, John D.; Dickey, Michael D.; Daniels, Karen E.

    2017-10-01

    We identify and characterize a new class of fingering instabilities in liquid metals; these instabilities are unexpected due to the large interfacial tension of metals. Electrochemical oxidation lowers the effective interfacial tension of a gallium-based liquid metal alloy to values approaching zero, thereby inducing drastic shape changes, including the formation of fractals. The measured fractal dimension (D =1.3 ±0.05 ) places the instability in a different universality class than other fingering instabilities. By characterizing changes in morphology and dynamics as a function of droplet volume and applied electric potential, we identify the three main forces involved in this process: interfacial tension, gravity, and oxidative stress. Importantly, we find that electrochemical oxidation can generate compressive interfacial forces that oppose the tensile forces at a liquid interface. The surface oxide layer ultimately provides a physical and electrochemical barrier that halts the instabilities at larger positive potentials. Controlling the competition between interfacial tension and oxidative (compressive) stresses at the interface is important for the development of reconfigurable electronic, electromagnetic, and optical devices that take advantage of the metallic properties of liquid metals.

  11. 40 CFR 721.5549 - Lithiated metal oxide.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 31 2011-07-01 2011-07-01 false Lithiated metal oxide. 721.5549... Substances § 721.5549 Lithiated metal oxide. (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as lithiated metal oxide (LiNiO2) (PMN P-96-19...

  12. 40 CFR 721.5549 - Lithiated metal oxide.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 30 2010-07-01 2010-07-01 false Lithiated metal oxide. 721.5549... Substances § 721.5549 Lithiated metal oxide. (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as lithiated metal oxide (LiNiO2) (PMN P-96-19...

  13. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  14. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  15. High temperature, oxidation resistant noble metal-Al alloy thermocouple

    NASA Technical Reports Server (NTRS)

    Smialek, James L. (Inventor); Gedwill, Michael G. (Inventor)

    1994-01-01

    A thermocouple is disclosed. The thermocouple is comprised of an electropositive leg formed of a noble metal-Al alloy and an electronegative leg electrically joined to form a thermocouple junction. The thermocouple provides for accurate and reproducible measurement of high temperatures (600 - 1300 C) in inert, oxidizing or reducing environments, gases, or vacuum. Furthermore, the thermocouple circumvents the need for expensive, strategic precious metals such as rhodium as a constituent component. Selective oxidation of rhodium is also thereby precluded.

  16. Structure guided GANs

    NASA Astrophysics Data System (ADS)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  17. Simulation of optimum parameters for GaN MSM UV photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alhelfi, Mohanad A., E-mail: mhad12344@gmail.com; Ahmed, Naser M., E-mail: nas-tiji@yahoo.com; Hashim, M. R., E-mail: roslan@usm.my

    2016-07-06

    In this study the optimum parameters of GaN M-S-M photodetector are discussed. The evaluation of the photodetector depends on many parameters, the most of the important parameters the quality of the GaN film and others depend on the geometry of the interdigited electrode. In this simulation work using MATLAB software with consideration of the reflection and absorption on the metal contacts, a detailed study involving various electrode spacings (S) and widths (W) reveals conclusive results in device design. The optimum interelectrode design for interdigitated MSM-PD has been specified and evaluated by effect on quantum efficiency and responsivity.

  18. Electrical transport and structural characterization of epitaxial monolayer MoS2 /n- and p-doped GaN vertical lattice-matched heterojunctions

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; O'Regan, T.; Zhang, K.; Herzing, A.; Mazzoni, A.; Chin, M.; Huang, S.; Zhang, Z.; Burke, R.; Neupane, M.; Birdwell, Ag; Shah, P.; Crowne, F.; Kolmakov, A.; Leroy, B.; Robinson, J.; Davydov, A.; Ivanov, T.

    We investigate vertical semiconductor junctions consisting of monolayer MoS2 that is epitaxially grown on n- and p-doped GaN crystals. Such a junction represents a building block for 2D/3D vertical semiconductor heterostructures. Epitaxial, lattice-matched growth of MoS2 on GaN is important to ensure high quality interfaces that are crucial for the efficient vertical transport. The MoS2/GaN junctions were characterized with cross-sectional and planar scanning transmission electron microscopy (STEM), scanning tunneling microscopy, and atomic force microscopy. The MoS2/GaN lattice mismatch is measured to be near 1% using STEM. The electrical transport in the out-of-plane direction across the MoS2/GaN junctions was measured using conductive atomic force microscopy and mechanical nano-probes inside a scanning electron microscope. Nano-disc metal contacts to MoS2 were fabricated by e-beam lithography and evaporation. The current-voltage curves of the vertical MoS2/GaN junctions exhibit rectification with opposite polarities for n-doped and p-doped GaN. The metal contact determines the general features of the current-voltage curves, and the MoS2 monolayer modifies the electrical transport across the contact/GaN interface.

  19. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  20. Unified computational model of transport in metal-insulating oxide-metal systems

    NASA Astrophysics Data System (ADS)

    Tierney, B. D.; Hjalmarson, H. P.; Jacobs-Gedrim, R. B.; Agarwal, Sapan; James, C. D.; Marinella, M. J.

    2018-04-01

    A unified physics-based model of electron transport in metal-insulator-metal (MIM) systems is presented. In this model, transport through metal-oxide interfaces occurs by electron tunneling between the metal electrodes and oxide defect states. Transport in the oxide bulk is dominated by hopping, modeled as a series of tunneling events that alter the electron occupancy of defect states. Electron transport in the oxide conduction band is treated by the drift-diffusion formalism and defect chemistry reactions link all the various transport mechanisms. It is shown that the current-limiting effect of the interface band offsets is a function of the defect vacancy concentration. These results provide insight into the underlying physical mechanisms of leakage currents in oxide-based capacitors and steady-state electron transport in resistive random access memory (ReRAM) MIM devices. Finally, an explanation of ReRAM bipolar switching behavior based on these results is proposed.

  1. Monolithic integration of GaN-based light-emitting diodes and metal-oxide-semiconductor field-effect transistors.

    PubMed

    Lee, Ya-Ju; Yang, Zu-Po; Chen, Pin-Guang; Hsieh, Yung-An; Yao, Yung-Chi; Liao, Ming-Han; Lee, Min-Hung; Wang, Mei-Tan; Hwang, Jung-Min

    2014-10-20

    In this study, we report a novel monolithically integrated GaN-based light-emitting diode (LED) with metal-oxide-semiconductor field-effect transistor (MOSFET). Without additionally introducing complicated epitaxial structures for transistors, the MOSFET is directly fabricated on the exposed n-type GaN layer of the LED after dry etching, and serially connected to the LED through standard semiconductor-manufacturing technologies. Such monolithically integrated LED/MOSFET device is able to circumvent undesirable issues that might be faced by other kinds of integration schemes by growing a transistor on an LED or vice versa. For the performances of resulting device, our monolithically integrated LED/MOSFET device exhibits good characteristics in the modulation of gate voltage and good capability of driving injected current, which are essential for the important applications such as smart lighting, interconnection, and optical communication.

  2. Metal oxide composite dosimeter method and material

    DOEpatents

    Miller, Steven D.

    1998-01-01

    The present invention is a method of measuring a radiation dose wherein a radiation responsive material consisting essentially of metal oxide is first exposed to ionizing radiation. The metal oxide is then stimulating with light thereby causing the radiation responsive material to photoluminesce. Photons emitted from the metal oxide as a result of photoluminescence may be counted to provide a measure of the ionizing radiation.

  3. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  4. Interfacial Metal-Oxide Interactions in Resistive Switching Memories.

    PubMed

    Cho, Deok-Yong; Luebben, Michael; Wiefels, Stefan; Lee, Kug-Seung; Valov, Ilia

    2017-06-07

    Metal oxides are commonly used as electrolytes for redox-based resistive switching memories. In most cases, non-noble metals are directly deposited as ohmic electrodes. We demonstrate that irrespective of bulk thermodynamics predictions an intermediate oxide film a few nanometers in thickness is always formed at the metal/insulator interface, and this layer significantly contributes to the development of reliable switching characteristics. We have tested metal electrodes and metal oxides mostly used for memristive devices, that is, Ta, Hf, and Ti and Ta 2 O 5 , HfO 2 , and SiO 2 . Intermediate oxide layers are always formed at the interfaces, whereas only the rate of the electrode oxidation depends on the oxygen affinity of the metal and the chemical stability of the oxide matrix. Device failure is associated with complete transition of short-range order to a more disordered main matrix structure.

  5. Charge transport in metal oxide nanocrystal-based materials

    NASA Astrophysics Data System (ADS)

    Runnerstrom, Evan Lars

    There is probably no class of materials more varied, more widely used, or more ubiquitous than metal oxides. Depending on their composition, metal oxides can exhibit almost any number of properties. Of particular interest are the ways in which charge is transported in metal oxides: devices such as displays, touch screens, and smart windows rely on the ability of certain metal oxides to conduct electricity while maintaining visible transparency. Smart windows, fuel cells, and other electrochemical devices additionally rely on efficient transport of ionic charge in and around metal oxides. Colloidal synthesis has enabled metal oxide nanocrystals to emerge as a relatively new but highly tunable class of materials. Certain metal oxide nanocrystals, particularly highly doped metal oxides, have been enjoying rapid development in the last decade. As in myriad other materials systems, structure dictates the properties of metal oxide nanocrystals, but a full understanding of how nanocrystal synthesis, the processing of nanocrystal-based materials, and the structure of nanocrystals relate to the resulting properties of nanocrystal-based materials is still nascent. Gaining a fundamental understanding of and control over these structure-property relationships is crucial to developing a holistic understanding of metal oxide nanocrystals. The unique ability to tune metal oxide nanocrystals by changing composition through the introduction of dopants or by changing size and shape affords a way to study the interplay between structure, processing, and properties. This overall goal of this work is to chemically synthesize colloidal metal oxide nanocrystals, process them into useful materials, characterize charge transport in materials based on colloidal metal oxide nanocrystals, and develop ways to manipulate charge transport. In particular, this dissertation characterizes how the charge transport properties of metal oxide nanocrystal-based materials depend on their processing and

  6. Photochemical route for accessing amorphous metal oxide materials for water oxidation catalysis.

    PubMed

    Smith, Rodney D L; Prévot, Mathieu S; Fagan, Randal D; Zhang, Zhipan; Sedach, Pavel A; Siu, Man Kit Jack; Trudel, Simon; Berlinguette, Curtis P

    2013-04-05

    Large-scale electrolysis of water for hydrogen generation requires better catalysts to lower the kinetic barriers associated with the oxygen evolution reaction (OER). Although most OER catalysts are based on crystalline mixed-metal oxides, high activities can also be achieved with amorphous phases. Methods for producing amorphous materials, however, are not typically amenable to mixed-metal compositions. We demonstrate that a low-temperature process, photochemical metal-organic deposition, can produce amorphous (mixed) metal oxide films for OER catalysis. The films contain a homogeneous distribution of metals with compositions that can be accurately controlled. The catalytic properties of amorphous iron oxide prepared with this technique are superior to those of hematite, whereas the catalytic properties of a-Fe(100-y-z)Co(y)Ni(z)O(x) are comparable to those of noble metal oxide catalysts currently used in commercial electrolyzers.

  7. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  8. Synthesis of Oxides Containing Transition Metals

    DTIC Science & Technology

    1990-07-09

    metal oxide single crystals by the electrolysis of molten salts containing mixtures of the appropriate oxides. Andreiux and Bozon (33-34) were able to...examples of unusual transition metal oxides which can be prepared (usually as single crystals) by electrolysis of fused salts . Summary The methods of...ferrites with the composition MFe 204 involved the thermal decomposition of oxalate (3) or pyridinate salts (1). The synthesis of ferrites from mixed

  9. 40 CFR 721.4610 - Mixed metal oxides (generic).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 31 2011-07-01 2011-07-01 false Mixed metal oxides (generic). 721.4610... Substances § 721.4610 Mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxides (PMN P-98-0002...

  10. 40 CFR 721.10006 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 31 2011-07-01 2011-07-01 false Mixed metal oxide (generic). 721.10006... Substances § 721.10006 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxide (PMN P-99-511...

  11. 40 CFR 721.4610 - Mixed metal oxides (generic).

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 30 2010-07-01 2010-07-01 false Mixed metal oxides (generic). 721.4610... Substances § 721.4610 Mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxides (PMN P-98-0002...

  12. 40 CFR 721.10006 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 32 2013-07-01 2013-07-01 false Mixed metal oxide (generic). 721.10006... Substances § 721.10006 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxide (PMN P-99-511...

  13. 40 CFR 721.4610 - Mixed metal oxides (generic).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 32 2012-07-01 2012-07-01 false Mixed metal oxides (generic). 721.4610... Substances § 721.4610 Mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxides (PMN P-98-0002...

  14. 40 CFR 721.4610 - Mixed metal oxides (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 32 2013-07-01 2013-07-01 false Mixed metal oxides (generic). 721.4610... Substances § 721.4610 Mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxides (PMN P-98-0002...

  15. 40 CFR 721.10006 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 31 2014-07-01 2014-07-01 false Mixed metal oxide (generic). 721.10006... Substances § 721.10006 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxide (PMN P-99-511...

  16. 40 CFR 721.4610 - Mixed metal oxides (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 31 2014-07-01 2014-07-01 false Mixed metal oxides (generic). 721.4610... Substances § 721.4610 Mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxides (PMN P-98-0002...

  17. 40 CFR 721.10006 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 32 2012-07-01 2012-07-01 false Mixed metal oxide (generic). 721.10006... Substances § 721.10006 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as mixed metal oxide (PMN P-99-511...

  18. Elimination of surface band bending on N-polar InN with thin GaN capping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuzmík, J., E-mail: Jan.Kuzmik@savba.sk; Haščík, Š.; Kučera, M.

    2015-11-09

    0.5–1 μm thick InN (0001) films grown by molecular-beam epitaxy with N- or In-polarity are investigated for the presence of native oxide, surface energy band bending, and effects introduced by 2 to 4 monolayers of GaN capping. Ex situ angle-resolved x-ray photo-electron spectroscopy is used to construct near-surface (GaN)/InN energy profiles, which is combined with deconvolution of In3d signal to trace the presence of InN native oxide for different types of polarity and capping. Downwards surface energy band bending was observed on bare samples with native oxide, regardless of the polarity. It was found that the In-polar InN surface is mostmore » readily oxidized, however, with only slightly less band bending if compared with the N-polar sample. On the other hand, InN surface oxidation was effectively mitigated by GaN capping. Still, as confirmed by ultra-violet photo-electron spectroscopy and by energy band diagram calculations, thin GaN cap layer may provide negative piezoelectric polarization charge at the GaN/InN hetero-interface of the N-polar sample, in addition to the passivation effect. These effects raised the band diagram up by about 0.65 eV, reaching a flat-band profile.« less

  19. Doping Induced Structural Stability and Electronic Properties of GaN Nanotubes

    PubMed Central

    Khan, Mohammad Irfan; Tyagi, Neha; Swaroop Khare, Purnima

    2014-01-01

    The present paper discusses the effect of manganese doping on the structural stability and electronic band gap of chiral (2, 1), armchair (3, 3), and zigzag ((6, 0) and (10, 0)) single walled GaN nanotube by using density functional theory based Atomistix Toolkit (ATK) Virtual NanoLab (VNL). The structural stability has been analyzed in terms of minimum ground state total energy, binding, and formation energy. As an effect of Mn doping (1–4 atoms), all the GaN nanotubes taken into consideration show semiconducting to metallic transition first and after certain level of Mn doping changes its trend. PMID:24707225

  20. 40 CFR 721.5548 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 30 2010-07-01 2010-07-01 false Mixed metal oxide (generic). 721.5548... Substances § 721.5548 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as a mixed metal oxide (PMN P-97-956) is...

  1. 40 CFR 721.5548 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 31 2011-07-01 2011-07-01 false Mixed metal oxide (generic). 721.5548... Substances § 721.5548 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as a mixed metal oxide (PMN P-97-956) is...

  2. 40 CFR 721.5548 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 31 2014-07-01 2014-07-01 false Mixed metal oxide (generic). 721.5548... Substances § 721.5548 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as a mixed metal oxide (PMN P-97-956) is...

  3. 40 CFR 721.5548 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 32 2012-07-01 2012-07-01 false Mixed metal oxide (generic). 721.5548... Substances § 721.5548 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as a mixed metal oxide (PMN P-97-956) is...

  4. 40 CFR 721.5548 - Mixed metal oxide (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 32 2013-07-01 2013-07-01 false Mixed metal oxide (generic). 721.5548... Substances § 721.5548 Mixed metal oxide (generic). (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as a mixed metal oxide (PMN P-97-956) is...

  5. Gallium nitride microcavities formed by photoenhanced wet oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peng, L.-H.; Lu, C.-Y.; Wu, W.-H.

    We report the formation of gallium nitride (GaN) microcavities by manipulating a photoenhanced oxidation rate difference between the polar and nonpolar crystallographic planes of GaN. When immersed in a buffered acetic (CH{sub 3}COOH) electrolyte of pH{approx}6.2 at room temperature, it is shown that the photo-oxidation can proceed at a rate that is one order of magnitude slower on the nonpolar plane of {l_brace}1100{r_brace}{sub GaN} than on the polar plane of {l_brace}0001{r_brace}{sub GaN} due to the reduced surface field action. Gallium nitride microcavities bounded by optically smooth {l_brace}1100{r_brace} and {l_brace}1103{r_brace} facets can thus be preferentially formed on the c-plane sapphire substratemore » after dissolving the oxide layer. The optical properties of these GaN hexagonal cavities reveal characteristic peaks of whispering gallery modes in resonance with the GaN band edge emission spectrum. A typical cavity Q factor of 10{sup 3} is observed in these GaN microcavities due to a reduced optical scattering loss in the wet chemical reaction process.« less

  6. Ammonia release method for depositing metal oxides

    DOEpatents

    Silver, Gary L.; Martin, Frank S.

    1994-12-13

    A method of depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates.

  7. Dextran templating for the synthesis of metallic and metal oxide sponges

    NASA Astrophysics Data System (ADS)

    Walsh, Dominic; Arcelli, Laura; Ikoma, Toshiyuki; Tanaka, Junzo; Mann, Stephen

    2003-06-01

    Silver or gold-containing porous frameworks have been used extensively in catalysis, electrochemistry, heat dissipation and biofiltration. These materials are often prepared by thermal reduction of metal-ion-impregnated porous insoluble supports (such as alumina and pumice), and have surface areas of about 1 m2 g-1, which is typically higher than that obtained for pure metal powders or foils prepared electrolytically or by infiltration and thermal decomposition of insoluble cellulose supports. Starch gels have been used in association with zeolite nanoparticles to produce porous inorganic materials with structural hierarchy, but the use of soft sacrificial templates in the synthesis of metallic sponges has not been investigated. Here we demonstrate that self-supporting macroporous frameworks of silver, gold and copper oxide, as well as composites of silver/copper oxide or silver/titania can be routinely prepared by heating metal-salt-containing pastes of the polysaccharide, dextran, to temperatures between 500 and 900 °C. Magnetic sponges were similarly prepared by replacing the metal salt precursor with preformed iron oxide (magnetite) nanoparticles. The use of dextran as a sacrificial template for the fabrication of metallic and metal oxide sponges should have significant benefits over existing technologies because the method is facile, inexpensive, environmentally benign, and amenable to scale-up and processing.

  8. Direct electrochemical reduction of metal-oxides

    DOEpatents

    Redey, Laszlo I.; Gourishankar, Karthick

    2003-01-01

    A method of controlling the direct electrolytic reduction of a metal oxide or mixtures of metal oxides to the corresponding metal or metals. A non-consumable anode and a cathode and a salt electrolyte with a first reference electrode near the non-consumable anode and a second reference electrode near the cathode are used. Oxygen gas is produced and removed from the cell. The anode potential is compared to the first reference electrode to prevent anode dissolution and gas evolution other than oxygen, and the cathode potential is compared to the second reference electrode to prevent production of reductant metal from ions in the electrolyte.

  9. CO-oxidation catalysts: Low-temperature CO oxidation over Noble-Metal Reducible Oxide (NMRO) catalysts

    NASA Technical Reports Server (NTRS)

    Herz, Richard K.

    1990-01-01

    Oxidation of CO to CO2 is an important reaction technologically and environmentally and a complex and interesting reaction scientifically. In most cases, the reaction is carried out in order to remove CO as an environmental hazard. A major application of heterogeneous catalysts is catalytic oxidation of CO in the exhaust of combustion devices. The reaction over catalysts in exhaust gas is fast and often mass-transfer-limited since exhaust gases are hot and O2/CO ratios are high. The main challenges to catalyst designers are to control thermal sintering and chemical poisoning of the active materials. The effect of the noble metal on the oxide is discussed, followed by the effect of the oxide on the noble metal, the interaction of the noble metal and oxide to form unique catalytic sites, and the possible ways in which the CO oxidation reaction is catalyzed by the NMRO materials.

  10. Fabrication of gallium nitride nanowires by metal-assisted photochemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Miao-Rong; Jiang, Qing-Mei; Zhang, Shao-Hui; Wang, Zu-Gang; Hou, Fei; Pan, Ge-Bo

    2017-11-01

    Gallium nitride (GaN) nanowires (NWs) were fabricated by metal-assisted photochemical etching (MaPEtch). Gold nanoparticles (AuNPs) as metal catalyst were electrodeposited on the GaN substrate. SEM and HRTEM images show the surface of GaN NWs is smooth and clean without any impurity. SAED and FFT patterns demonstrate GaN NWs have single crystal structure, and the crystallographic orientation of GaN NWs is (0002) face. On the basis of the assumption of localized galvanic cells, combined with the energy levels and electrochemical potentials of reactants in this etching system, the generation, transfer and consumption of electron-hole pairs reveal the whole MaPEtch reaction process. Such easily fabricated GaN NWs have great potential for the assembly of GaN-based single-nanowire nanodevices.

  11. Strain engineering of atomic and electronic structures of few-monolayer-thick GaN

    NASA Astrophysics Data System (ADS)

    Kolobov, A. V.; Fons, P.; Saito, Y.; Tominaga, J.; Hyot, B.; André, B.

    2017-07-01

    Two-dimensional (2D) semiconductors possess the potential to ultimately minimize the size of devices and concomitantly drastically reduce the corresponding energy consumption. In addition, materials in their atomic-scale limit often possess properties different from their bulk counterparts paving the way to conceptually novel devices. While graphene and 2D transition-metal dichalcogenides remain the most studied materials, significant interest also exists in the fabrication of atomically thin structures from traditionally 3D semiconductors such as GaN. While in the monolayer limit GaN possesses a graphenelike structure and an indirect band gap, it was recently demonstrated that few-layer GaN acquires a Haeckelite structure in the direction of growth with an effectively direct gap. In this work, we demonstrate the possibility of strain engineering of the atomic and electronic structure of few-monolayer-thick GaN structures, which opens new avenues for their practical application in flexible nanoelectronics and nano-optoelectronics. Our simulations further suggest that due to the weak van der Waals-like interaction between a substrate and an overlayer, the use of a MoS2 substrate may be a promising route to fabricate few-monolayer Haeckelite GaN experimentally.

  12. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  13. Synthesis and applications of nanoporous perovskite metal oxides

    PubMed Central

    Huang, Xiubing; Zhao, Guixia

    2018-01-01

    Perovskite-type metal oxides have been widely investigated and applied in various fields in the past several decades due to their extraordinary variability of compositions and structures with targeted physical and chemical properties (e.g., redox behaviour, oxygen mobility, electronic and ionic conductivity). Recently, nanoporous perovskite metal oxides have attracted extensive attention because of their special morphology and properties, as well as superior performance. This minireview aims at summarizing and reviewing the different synthesis methods of nanoporous perovskite metal oxides and their various applications comprehensively. The correlations between the nanoporous structures and the specific performance of perovskite oxides are summarized and highlighted. The future research directions of nanoporous perovskite metal oxides are also prospected. PMID:29862001

  14. Ammonia release method for depositing metal oxides

    DOEpatents

    Silver, G.L.; Martin, F.S.

    1994-12-13

    A method is described for depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates. 1 figure.

  15. X-ray Absorption Study of Graphene Oxide and Transition Metal Oxide Nanocomposites.

    PubMed

    Gandhiraman, Ram P; Nordlund, Dennis; Javier, Cristina; Koehne, Jessica E; Chen, Bin; Meyyappan, M

    2014-08-14

    The surface properties of the electrode materials play a crucial role in determining the performance and efficiency of energy storage devices. Graphene oxide and nanostructures of 3d transition metal oxides were synthesized for construction of electrodes in supercapacitors, and the electronic structure and oxidation states were probed using near-edge X-ray absorption fine structure. Understanding the chemistry of graphene oxide would provide valuable insight into its reactivity and properties as the graphene oxide transformation to reduced-graphene oxide is a key step in the synthesis of the electrode materials. Polarized behavior of the synchrotron X-rays and the angular dependency of the near-edge X-ray absorption fine structures (NEXAFS) have been utilized to study the orientation of the σ and π bonds of the graphene oxide and graphene oxide-metal oxide nanocomposites. The core-level transitions of individual metal oxides and that of the graphene oxide nanocomposite showed that the interaction of graphene oxide with the metal oxide nanostructures has not altered the electronic structure of either of them. As the restoration of the π network is important for good electrical conductivity, the C K edge NEXAFS spectra of reduced graphene oxide nanocomposites confirms the same through increased intensity of the sp 2 -derived unoccupied states π* band. A pronounced angular dependency of the reduced sample and the formation of excitonic peaks confirmed the formation of extended conjugated network.

  16. Metal/oxide interfacial effects on the selective oxidation of primary alcohols

    PubMed Central

    Zhao, Guofeng; Yang, Fan; Chen, Zongjia; Liu, Qingfei; Ji, Yongjun; Zhang, Yi; Niu, Zhiqiang; Mao, Junjie; Bao, Xinhe; Hu, Peijun; Li, Yadong

    2017-01-01

    A main obstacle in the rational development of heterogeneous catalysts is the difficulty in identifying active sites. Here we show metal/oxide interfacial sites are highly active for the oxidation of benzyl alcohol and other industrially important primary alcohols on a range of metals and oxides combinations. Scanning tunnelling microscopy together with density functional theory calculations on FeO/Pt(111) reveals that benzyl alcohol enriches preferentially at the oxygen-terminated FeO/Pt(111) interface and undergoes readily O–H and C–H dissociations with the aid of interfacial oxygen, which is also validated in the model study of Cu2O/Ag(111). We demonstrate that the interfacial effects are independent of metal or oxide sizes and the way by which the interfaces were constructed. It inspires us to inversely support nano-oxides on micro-metals to make the structure more stable against sintering while the number of active sites is not sacrificed. The catalyst lifetime, by taking the inverse design, is thereby significantly prolonged. PMID:28098146

  17. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  18. Ab-initio study of boron incorporation and compositional limits at GaN and AlN (0001) surfaces

    NASA Astrophysics Data System (ADS)

    Lymperakis, L.

    2018-06-01

    Density functional theory calculations are employed to investigate B incorporation at the GaN(0001) and AlN(0001) surfaces. It is found that under typical metal-organic chemical vapor deposition (MOCVD) and metal rich molecular beam epitaxy (MBE) conditions, the maximum B contents at the surfaces are in the order of 3% for GaN and 15% for AlN. Under MBE N-rich growth conditions the calculations reveal a rehybridization enhanced solubility mechanism that dominates at the surface. This mechanism offers a promising route to kinetically stabilize B contents above the bulk solubility limit and as high as 25%.

  19. Three-electrode metal oxide reduction cell

    DOEpatents

    Dees, Dennis W.; Ackerman, John P.

    2008-08-12

    A method of electrochemically reducing a metal oxide to the metal in an electrochemical cell is disclosed along with the cell. Each of the anode and cathode operate at their respective maximum reaction rates. An electrolyte and an anode at which oxygen can be evolved, and a cathode including a metal oxide to be reduced are included as is a third electrode with independent power supplies connecting the anode and the third electrode and the cathode and the third electrode.

  20. Three-Electrode Metal Oxide Reduction Cell

    DOEpatents

    Dees, Dennis W.; Ackerman, John P.

    2005-06-28

    A method of electrochemically reducing a metal oxide to the metal in an electrochemical cell is disclosed along with the cell. Each of the anode and cathode operate at their respective maximum reaction rates. An electrolyte and an anode at which oxygen can be evolved, and a cathode including a metal oxide to be reduced are included as is a third electrode with independent power supplies connecting the anode and the third electrode and the cathode and the third electrode.

  1. Recent applications of liquid metals featuring nanoscale surface oxides

    NASA Astrophysics Data System (ADS)

    Neumann, Taylor V.; Dickey, Michael D.

    2016-05-01

    This proceeding describes recent efforts from our group to control the shape and actuation of liquid metal. The liquid metal is an alloy of gallium and indium which is non-toxic, has negligible vapor pressure, and develops a thin, passivating surface oxide layer. The surface oxide allows the liquid metal to be patterned and shaped into structures that do not minimize interfacial energy. The surface oxide can be selectively removed by changes in pH or by applying a voltage. The surface oxide allows the liquid metal to be 3D printed to form free-standing structures. It also allows for the liquid metal to be injected into microfluidic channels and to maintain its shape within the channels. The selective removal of the oxide results in drastic changes in surface tension that can be used to control the flow behavior of the liquid metal. The metal can also wet thin, solid films of metal that accelerates droplets of the liquid along the metal traces .Here we discuss the properties and applications of liquid metal to make soft, reconfigurable electronics.

  2. Oxide surfaces and metal/oxide interfaces studied by grazing incidence X-ray scattering

    NASA Astrophysics Data System (ADS)

    Renaud, Gilles

    Experimental determinations of the atomic structure of insulating oxide surfaces and metal/oxide interfaces are scarce, because surface science techniques are often limited by the insulating character of the substrate. Grazing incidence X-ray scattering (GIXS), which is not subject to charge effects, can provide very precise information on the atomic structure of oxide surfaces: roughness, relaxation and reconstruction. It is also well adapted to analyze the atomic structure, the registry, the misfit relaxation, elastic or plastic, the growth mode and the morphology of metal/oxide interfaces during their growth, performed in situ. GIXS also allows the analysis of thin films and buried interfaces, in a non-destructive way, yielding the epitaxial relationships, and, by variation of the grazing incidence angle, the lattice parameter relaxation along the growth direction. On semi-coherent interfaces, the existence of an ordered network of interfacial misfit dislocations can be demonstrated, its Burger's vector determined, its ordering during in situ annealing cycles followed, and sometimes even its atomic structure can be addressed. Careful analysis during growth allows the modeling of the dislocation nucleation process. This review emphasizes the new information that GIXS can bring to oxide surfaces and metal/oxide interfaces by comparison with other surface science techniques. The principles of X-ray diffraction by surfaces and interfaces are recalled, together with the advantages and properties of grazing angles. The specific experimental requirements are discussed. Recent results are presented on the determination of the atomic structure of relaxed or reconstructed oxide surfaces. A description of results obtained during the in situ growth of metal on oxide surfaces is also given, as well as investigations of thick metal films on oxide surfaces, with lattice parameter misfit relaxed by an array of dislocations. Recent work performed on oxide thin films having

  3. Lithium metal oxide electrodes for lithium batteries

    DOEpatents

    Thackeray, Michael M [Naperville, IL; Kim, Jeom-Soo [Naperville, IL; Johnson, Christopher S [Naperville, IL

    2008-01-01

    An uncycled electrode for a non-aqueous lithium electrochemical cell including a lithium metal oxide having the formula Li.sub.(2+2x)/(2+x)M'.sub.2x/(2+x)M.sub.(2-2x)/(2+x)O.sub.2-.delta., in which 0.ltoreq.x<1 and .delta. is less than 0.2, and in which M is a non-lithium metal ion with an average trivalent oxidation state selected from two or more of the first row transition metals or lighter metal elements in the periodic table, and M' is one or more ions with an average tetravalent oxidation state selected from the first and second row transition metal elements and Sn. Methods of preconditioning the electrodes are disclosed as are electrochemical cells and batteries containing the electrodes.

  4. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  5. Metal-oxide-based energetic materials and synthesis thereof

    DOEpatents

    Tillotson, Thomas M. , Simpson; Randall, L [Livermore, CA; Hrubesh, Lawrence W [Pleasanton, CA

    2006-01-17

    A method of preparing energetic metal-oxide-based energetic materials using sol-gel chemistry has been invented. The wet chemical sol-gel processing provides an improvement in both safety and performance. Essentially, a metal-oxide oxidizer skeletal structure is prepared from hydrolyzable metals (metal salts or metal alkoxides) with fuel added to the sol prior to gelation or synthesized within the porosity metal-oxide gel matrix. With metal salt precursors a proton scavenger is used to destabilize the sol and induce gelation. With metal alkoxide precursors standard well-known sol-gel hydrolysis and condensation reactions are used. Drying is done by standard sol-gel practices, either by a slow evaporation of the liquid residing within the pores to produce a high density solid nanocomposite, or by supercritical extraction to produce a lower density, high porous nanocomposite. Other ingredients may be added to this basic nanostructure to change physical and chemical properties, which include organic constituents for binders or gas generators during reactions, burn rate modifiers, or spectral emitters.

  6. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, K.C.; Kodas, T.T.

    1994-01-11

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said substrate.

  7. Enhanced ultraviolet photoconductivity in porous GaN prepared by metal-assisted electroless etching

    NASA Astrophysics Data System (ADS)

    Guo, X. Y.; Williamson, T. L.; Bohn, P. W.

    2006-10-01

    The ultraviolet photoconductivity of porous GaN (PGaN) produced by Pt-assisted electroless etching has been investigated. The photoresponse of PGaN prepared from highly doped GaN ( n>1018 cm) shows enhanced ( 15×) magnitude and faster decay of persistent photoconductivity relative to bulk crystalline (CGaN), suggesting advantages for PGaN in photodetector applications. A space charge model for changes in photoconductivity is used to explain these observations. Heightened defect density in the etched material plays an important role in the enhanced photoconductivity in PGaN. Flux-dependent optical quenching (OQ) behavior, linked to the presence of metastable states, is also observed in PGaN as in CGaN.

  8. Transition metal-catalyzed oxidation of sulfur(IV) oxides. Atmospheric-relevant processes and mechanisms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brandt, C.; Eldik, R. van

    1995-01-01

    The transition metal-catalyzed oxidation of sulfur(IV) oxides has been known for more than 100 years. There is a significant lack of information on the actual role of the transition metal-catalyzed reactions, and much of the earlier work was performed without a detailed knowledge of the chemical system. For this reason attention is focused on the role of transition metal ions in the oxidation of sulfur(IV) oxides in terms of the coordination chemistry involved, as well as the stability and chemical behavior of the various participating species. The oxidation process of sulfur(IV) oxides plays an important role in atmospheric chemistry (e.g.more » acid rain formation) as well as industrial processes (e.g. desulfurization of plume gases and ore). The present report deals with the mechanism of the transition metal-catalyzed oxidation of sulfur(IV) oxides with the aim to discuss this in terms of atmospheric and chemical processes. In addition, the authors would like to emphasize the key role of oxygen in these processes. 1,076 refs.« less

  9. Metal ion binding to iron oxides

    NASA Astrophysics Data System (ADS)

    Ponthieu, M.; Juillot, F.; Hiemstra, T.; van Riemsdijk, W. H.; Benedetti, M. F.

    2006-06-01

    The biogeochemistry of trace elements (TE) is largely dependent upon their interaction with heterogeneous ligands including metal oxides and hydrous oxides of iron. The modeling of TE interactions with iron oxides has been pursued using a variety of chemical models. The objective of this work is to show that it is possible to model the adsorption of protons and TE on a crystallized oxide (i.e., goethite) and on an amorphous oxide (HFO) in an identical way. Here, we use the CD-MUSIC approach in combination with valuable and reliable surface spectroscopy information about the nature of surface complexes of the TE. The other objective of this work is to obtain generic parameters to describe the binding of the following elements (Cd, Co, Cu, Ni, Pb, and Zn) onto both iron oxides for the CD-MUSIC approach. The results show that a consistent description of proton and metal ion binding is possible for goethite and HFO with the same set of model parameters. In general a good prediction of almost all the collected experimental data sets corresponding to metal ion binding to HFO is obtained. Moreover, dominant surface species are in agreement with the recently published surface complexes derived from X-ray absorption spectroscopy (XAS) data. Until more detailed information on the structure of the two iron oxides is available, the present option seems a reasonable approximation and can be used to describe complex geochemical systems. To improve our understanding and modeling of multi-component systems we need more data obtained at much lower metal ion to iron oxide ratios in order to be able to account eventually for sites that are not always characterized in spectroscopic studies.

  10. Method for converting uranium oxides to uranium metal

    DOEpatents

    Duerksen, Walter K.

    1988-01-01

    A process is described for converting scrap and waste uranium oxide to uranium metal. The uranium oxide is sequentially reduced with a suitable reducing agent to a mixture of uranium metal and oxide products. The uranium metal is then converted to uranium hydride and the uranium hydride-containing mixture is then cooled to a temperature less than -100.degree. C. in an inert liquid which renders the uranium hydride ferromagnetic. The uranium hydride is then magnetically separated from the cooled mixture. The separated uranium hydride is readily converted to uranium metal by heating in an inert atmosphere. This process is environmentally acceptable and eliminates the use of hydrogen fluoride as well as the explosive conditions encountered in the previously employed bomb-reduction processes utilized for converting uranium oxides to uranium metal.

  11. Lithium metal oxide electrodes for lithium batteries

    DOEpatents

    Thackeray, Michael M.; Johnson, Christopher S.; Amine, Khalil; Kang, Sun-Ho

    2010-06-08

    An uncycled preconditioned electrode for a non-aqueous lithium electrochemical cell including a lithium metal oxide having the formula xLi.sub.2-yH.sub.yO.xM'O.sub.2.(1-x)Li.sub.1-zH.sub.zMO.sub.2 in which 0metal ion with an average trivalent oxidation state selected from two or more of the first row transition metals or lighter metal elements in the periodic table, and M' is one or more ions with an average tetravalent oxidation state selected from the first and second row transition metal elements and Sn. The xLi.sub.2-yH.sub.y.xM'O.sub.2.(1-x)Li.sub.1-zH.sub.zMO.sub.2 material is prepared by preconditioning a precursor lithium metal oxide (i.e., xLi.sub.2M'O.sub.3.(1-x)LiMO.sub.2) with a proton-containing medium with a pH<7.0 containing an inorganic acid. Methods of preparing the electrodes are disclosed, as are electrochemical cells and batteries containing the electrodes.

  12. Solder for oxide layer-building metals and alloys

    DOEpatents

    Kronberg, James W.

    1992-01-01

    A low temperature solder and method for soldering an oxide layer-building metal such as aluminum, titanium, tantalum or stainless steel. The comosition comprises tin and zinc; germanium as a wetting agent; preferably small amounts of copper and antimony; and a grit, such as silicon carbide. The grit abrades any oxide layer formed on the surface of the metal as the germanium penetrates beneath and loosens the oxide layer to provide good metal-to-metal contact. The germanium comprises less than aproximatley 10% by weight of the solder composition so that it provides sufficient wetting action but does not result in a melting temperature above approximately 300.degree. C. The method comprises the steps rubbing the solder against the metal surface so the grit in the solder abrades the surface while heating the surface until the solder begins to melt and the germanium penetrates the oxide layer, then brushing aside any oxide layer loosened by the solder.

  13. Solder for oxide layer-building metals and alloys

    DOEpatents

    Kronberg, J.W.

    1992-09-15

    A low temperature solder and method for soldering an oxide layer-building metal such as aluminum, titanium, tantalum or stainless steel is disclosed. The composition comprises tin and zinc; germanium as a wetting agent; preferably small amounts of copper and antimony; and a grit, such as silicon carbide. The grit abrades any oxide layer formed on the surface of the metal as the germanium penetrates beneath and loosens the oxide layer to provide good metal-to-metal contact. The germanium comprises less than approximately 10% by weight of the solder composition so that it provides sufficient wetting action but does not result in a melting temperature above approximately 300 C. The method comprises the steps rubbing the solder against the metal surface so the grit in the solder abrades the surface while heating the surface until the solder begins to melt and the germanium penetrates the oxide layer, then brushing aside any oxide layer loosened by the solder.

  14. Nanocomposite of graphene and metal oxide materials

    DOEpatents

    Liu, Jun; Aksay, Ilhan A.; Choi, Daiwon; Wang, Donghai; Yang, Zhenguo

    2013-10-15

    Nanocomposite materials comprising a metal oxide bonded to at least one graphene material. The nanocomposite materials exhibit a specific capacity of at least twice that of the metal oxide material without the graphene at a charge/discharge rate greater than about 10 C.

  15. Nanocomposite of graphene and metal oxide materials

    DOEpatents

    Liu, Jun; Aksay, Ilhan A.; Choi, Daiwon; Wang, Donghai; Yang, Zhenguo

    2012-09-04

    Nanocomposite materials comprising a metal oxide bonded to at least one graphene material. The nanocomposite materials exhibit a specific capacity of at least twice that of the metal oxide material without the graphene at a charge/discharge rate greater than about 10C.

  16. Nanocomposite of graphene and metal oxide materials

    DOEpatents

    Liu, Jun; Aksay, Ilhan A.; Choi, Daiwon; Wang, Donghai; Yang, Zhenguo

    2015-06-30

    Nanocomposite materials comprising a metal oxide bonded to at least one graphene material. The nanocomposite materials exhibit a specific capacity of at least twice that of the metal oxide material without the graphene at a charge/discharge rate greater than about 10 C.

  17. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  18. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    PubMed

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  19. Metals, toxicity and oxidative stress.

    PubMed

    Valko, M; Morris, H; Cronin, M T D

    2005-01-01

    Metal-induced toxicity and carcinogenicity, with an emphasis on the generation and role of reactive oxygen and nitrogen species, is reviewed. Metal-mediated formation of free radicals causes various modifications to DNA bases, enhanced lipid peroxidation, and altered calcium and sulfhydryl homeostasis. Lipid peroxides, formed by the attack of radicals on polyunsaturated fatty acid residues of phospholipids, can further react with redox metals finally producing mutagenic and carcinogenic malondialdehyde, 4-hydroxynonenal and other exocyclic DNA adducts (etheno and/or propano adducts). Whilst iron (Fe), copper (Cu), chromium (Cr), vanadium (V) and cobalt (Co) undergo redox-cycling reactions, for a second group of metals, mercury (Hg), cadmium (Cd) and nickel (Ni), the primary route for their toxicity is depletion of glutathione and bonding to sulfhydryl groups of proteins. Arsenic (As) is thought to bind directly to critical thiols, however, other mechanisms, involving formation of hydrogen peroxide under physiological conditions, have been proposed. The unifying factor in determining toxicity and carcinogenicity for all these metals is the generation of reactive oxygen and nitrogen species. Common mechanisms involving the Fenton reaction, generation of the superoxide radical and the hydroxyl radical appear to be involved for iron, copper, chromium, vanadium and cobalt primarily associated with mitochondria, microsomes and peroxisomes. However, a recent discovery that the upper limit of "free pools" of copper is far less than a single atom per cell casts serious doubt on the in vivo role of copper in Fenton-like generation of free radicals. Nitric oxide (NO) seems to be involved in arsenite-induced DNA damage and pyrimidine excision inhibition. Various studies have confirmed that metals activate signalling pathways and the carcinogenic effect of metals has been related to activation of mainly redox-sensitive transcription factors, involving NF-kappaB, AP-1 and p53

  20. Internal zone growth method for producing metal oxide metal eutectic composites

    DOEpatents

    Clark, Grady W.; Holder, John D.; Pasto, Arvid E.

    1980-01-01

    An improved method for preparing a cermet comprises preparing a compact having about 85 to 95 percent theoretical density from a mixture of metal and metal oxide powders from a system containing a eutectic composition, and inductively heating the compact in a radiofrequency field to cause the formation of an internal molten zone. The metal oxide particles in the powder mixture are effectively sized relative to the metal particles to permit direct inductive heating of the compact by radiofrequency from room temperature. Surface melting is prevented by external cooling or by effectively sizing the particles in the powder mixture.

  1. Transition Metal Oxides for the Oxygen Reduction Reaction: Influence of the Oxidation States of the Metal and its Position on the Periodic Table.

    PubMed

    Toh, Rou Jun; Sofer, Zdeněk; Pumera, Martin

    2015-11-16

    Electrocatalysts have been developed to meet the needs and requirements of renewable energy applications. Metal oxides have been well explored and are promising for this purpose, however, many reports focus on only one or a few metal oxides at once. Herein, thirty metal oxides, which were either commercially available or synthesized by a simple and scalable method, were screened for comparison with regards to their electrocatalytic activity towards the oxygen reduction reaction (ORR). We show that although manganese, iron, cobalt, and nickel oxides generally displayed the ability to enhance the kinetics of oxygen reduction under alkaline conditions compared with bare glassy carbon, there is no significant correlation between the position of a metal on the periodic table and the electrocatalytic performance of its respective metal oxides. Moreover, it was also observed that mixed valent (+2, +3) oxides performed the poorest, compared with their respective pure metal oxides. These findings may be of paramount importance in the field of renewable energy. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Enhanced photoelectrochemical activity in all-oxide heterojunction devices based on correlated "metallic" oxides.

    PubMed

    Apgar, Brent A; Lee, Sungki; Schroeder, Lauren E; Martin, Lane W

    2013-11-20

    n-n Schottky, n-n ohmic, and p-n Schottky heterojunctions based on TiO2 /correlated "metallic" oxide couples exhibit strong solar-light absorption driven by the unique electronic structure of the "metallic" oxides. Photovoltaic and photocatalytic responses are driven by hot electron injection from the "metallic" oxide into the TiO2 , enabling new modalities of operation for energy systems. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. 40 CFR 721.10500 - Acrylated mixed metal oxides (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 31 2014-07-01 2014-07-01 false Acrylated mixed metal oxides (generic... Specific Chemical Substances § 721.10500 Acrylated mixed metal oxides (generic). (a) Chemical substance and... mixed metal oxides (PMN P-06-341) is subject to reporting under this section for the significant new...

  4. 40 CFR 721.10500 - Acrylated mixed metal oxides (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 32 2013-07-01 2013-07-01 false Acrylated mixed metal oxides (generic... Specific Chemical Substances § 721.10500 Acrylated mixed metal oxides (generic). (a) Chemical substance and... mixed metal oxides (PMN P-06-341) is subject to reporting under this section for the significant new...

  5. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  6. Application of a mixed metal oxide catalyst to a metallic substrate

    NASA Technical Reports Server (NTRS)

    Sevener, Kathleen M. (Inventor); Lohner, Kevin A. (Inventor); Mays, Jeffrey A. (Inventor); Wisner, Daniel L. (Inventor)

    2009-01-01

    A method for applying a mixed metal oxide catalyst to a metallic substrate for the creation of a robust, high temperature catalyst system for use in decomposing propellants, particularly hydrogen peroxide propellants, for use in propulsion systems. The method begins by forming a prepared substrate material consisting of a metallic inner substrate and a bound layer of a noble metal intermediate. Alternatively, a bound ceramic coating, or frit, may be introduced between the metallic inner substrate and noble metal intermediate when the metallic substrate is oxidation resistant. A high-activity catalyst slurry is applied to the surface of the prepared substrate and dried to remove the organic solvent. The catalyst layer is then heat treated to bind the catalyst layer to the surface. The bound catalyst layer is then activated using an activation treatment and calcinations to form the high-activity catalyst system.

  7. Method for inhibiting oxidation of metal sulfide-containing material

    DOEpatents

    Elsetinow, Alicia; Borda, Michael J.; Schoonen, Martin A.; Strongin, Daniel R.

    2006-12-26

    The present invention provides means for inhibiting the oxidation of a metal sulfide-containing material, such as ore mine waste rock or metal sulfide taiulings, by coating the metal sulfide-containing material with an oxidation-inhibiting two-tail lipid coating (12) thereon, thereby inhibiting oxidation of the metal sulfide-containing material in acid mine drainage conditions. The lipids may be selected from phospholipids, sphingolipids, glycolipids and combinations thereof.

  8. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  9. Radiation sensors based on GaN microwires

    NASA Astrophysics Data System (ADS)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  10. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  11. Oxidation stress evolution and relaxation of oxide film/metal substrate system

    NASA Astrophysics Data System (ADS)

    Dong, Xuelin; Feng, Xue; Hwang, Keh-Chih

    2012-07-01

    Stresses in the oxide film/metal substrate system are crucial to the reliability of the system at high temperature. Two models for predicting the stress evolution during isothermal oxidation are proposed. The deformation of the system is depicted by the curvature for single surface oxidation. The creep strain of the oxide and metal, and the lateral growth strain of the oxide are considered. The proposed models are compared with the experimental results in literature, which demonstrates that the elastic model only considering for elastic strain gives an overestimated stress in magnitude, but the creep model is consistent with the experimental data and captures the stress relaxation phenomenon during oxidation. The effects of the parameter for the lateral growth strain rate are also analyzed.

  12. The GaN trench gate MOSFET with floating islands: High breakdown voltage and improved BFOM

    NASA Astrophysics Data System (ADS)

    Shen, Lingyan; Müller, Stephan; Cheng, Xinhong; Zhang, Dongliang; Zheng, Li; Xu, Dawei; Yu, Yuehui; Meissner, Elke; Erlbacher, Tobias

    2018-02-01

    A novel GaN trench gate (TG) MOSFET with P-type floating islands (FLI) in drift region, which can suppress the electric field peak at bottom of gate trench during the blocking state and prevent premature breakdown in gate oxide, is proposed and investigated by TCAD simulations. The influence of thickness, position, doping concentration and length of the FLI on breakdown voltage (BV) and specific on-resistance (Ron_sp) is studied, providing useful guidelines for design of this new type of device. Using optimized parameters for the FLI, GaN FLI TG-MOSFET obtains a BV as high as 2464 V with a Ron_sp of 3.0 mΩ cm2. Compared to the conventional GaN TG-MOSFET with the same structure parameters, the Baliga figure of merit (BFOM) is enhanced by 150%, getting closer to theoretical limit for GaN devices.

  13. Atomic scale morphology, growth behaviour and electronic properties of semipolar {101[overline]3} GaN surfaces.

    PubMed

    Kioseoglou, J; Kalesaki, E; Lymperakis, L; Karakostas, Th; Komninou, Ph

    2013-01-30

    First-principles calculations relating to the atomic structure and electronic properties of {101[overline]3} GaN surfaces reveal significant differentiations between the two polarity orientations. The (101[overline]3) surface exhibits a remarkable morphological stability, stabilizing a metallic structure (Ga adlayer) over the entire range of the Ga chemical potential. In contrast, the semiconducting, cleaved surface is favoured on (101[overline]3[overline]) under extremely and moderately N-rich conditions, a Ga bilayer is stabilized under corresponding Ga-rich conditions and various transitions between metallic reconstructions take place in intermediate growth stoichiometries. Efficient growth schemes for smooth, two-dimensional GaN layers and the isolation of {101[overline]3} material from parasitic orientations are identified.

  14. Investigation of 'surface donors' in Al2O3/AlGaN/GaN metal-oxide-semiconductor heterostructures: Correlation of electrical, structural, and chemical properties

    NASA Astrophysics Data System (ADS)

    Ťapajna, M.; Stoklas, R.; Gregušová, D.; Gucmann, F.; Hušeková, K.; Haščík, Š.; Fröhlich, K.; Tóth, L.; Pécz, B.; Brunner, F.; Kuzmík, J.

    2017-12-01

    III-N surface polarization compensating charge referred here to as 'surface donors' (SD) was analyzed in Al2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) heterojunctions using scaled oxide films grown by metal-organic chemical vapor deposition at 600 °C. We systematically investigated impact of HCl pre-treatment prior to oxide deposition and post-deposition annealing (PDA) at 700 °C. SD density was reduced down to 1.9 × 1013 cm-2 by skipping HCl pre-treatment step as compared to 3.3 × 1013 cm-2 for structures with HCl pre-treatment followed by PDA. The nature and origin of SD was then analyzed based on the correlation between electrical, micro-structural, and chemical properties of the Al2O3/GaN interfaces with different SD density (NSD). From the comparison between distributions of interface traps of MOS heterojunction with different NSD, it is demonstrated that SD cannot be attributed to interface trapped charge. Instead, variation in the integrity of the GaOx interlayer confirmed by X-ray photoelectron spectroscopy is well correlated with NSD, indicating SD may be formed by border traps at the Al2O3/GaOx interface.

  15. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  16. Metal Oxide Solubility and Molten Salt Corrosion.

    DTIC Science & Technology

    1982-03-29

    METAL OXIDE SOLUBILITY AND MOLTEN SALT CORROSION .(U) MAR 82 K H STERN UNCLASSI E DL R L-4772NL EL .2. MICROCOPY RESOLUTION TEST CHART NATIONAL BURALU...21 l 7 3 ..... l DTIC NSPECT I" ’I cCPY INSECE( METAL OXIDE SOLUBILITY AND MOLTEN SALT CORROSION I. INTRODUCTION Molten ...discussed in terms of its importance to the understanding of molten salt corrosion . II. PROTECTIVE COATINGS Since most structural metals and alloys are

  17. Kinetics of optically excited charge carriers at the GaN surface: Influence of catalytic Pt nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Winnerl, Andrea, E-mail: andrea.winnerl@wsi.tum.de; Pereira, Rui N.; Stutzmann, Martin

    2015-10-21

    In this work, we use GaN with different deposited Pt nanostructures as a controllable model system to investigate the kinetics of photo-generated charge carriers in hybrid photocatalysts. We combine conductance and contact potential difference measurements to investigate the influence of Pt on the processes involved in the capture and decay of photo-generated charge carriers at and close to the GaN surface. We found that in the presence of Pt nanostructures the photo-excitation processes are similar to those found in Pt free GaN. However, in GaN with Pt nanostructures, photo-generated holes are preferentially trapped in surface states of the GaN coveredmore » with Pt and/or in electronic states of the Pt and lead to an accumulation of positive charge there, whereas negative charge is accumulated in localized states in a shallow defect band of the GaN covered with Pt. This preferential accumulation of photo-generated electrons close to the surface is responsible for a dramatic acceleration of the turn-off charge transfer kinetics and a stronger dependence of the surface photovoltage on light intensity when compared to a Pt free GaN surface. Our study shows that in hybrid photocatalysts, the metal nanostructures induce a spatially inhomogeneous surface band bending of the semiconductor that promotes a lateral drift of photogenerated charges towards the catalytic nanostructures.« less

  18. Polymer-assisted aqueous deposition of metal oxide films

    DOEpatents

    Li, DeQuan [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM

    2003-07-08

    An organic solvent-free process for deposition of metal oxide thin films is presented. The process includes aqueous solutions of necessary metal precursors and an aqueous solution of a water-soluble polymer. After a coating operation, the resultant coating is fired at high temperatures to yield optical quality metal oxide thin films.

  19. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  20. GaN Nanowire MOSFET with Near-Ideal Subthreshold Slope.

    PubMed

    Li, Wenjun; Brubaker, Matt D; Spann, Bryan T; Bertness, Kris A; Fay, Patrick

    2018-02-01

    Wrap-around gate GaN nanowire MOSFETs using Al 2 O 3 as gate oxide have been experimentally demonstrated. The fabricated devices exhibit a minimum subthreshold slope of 60 mV/dec, an average subthreshold slope of 68 mV/dec over three decades of drain current, drain-induced barrier lowering of 27 mV/V, an on-current of 42 μA/μm (normalized by nanowire circumference), on/off ratio over 10 8 , an intrinsic transconductance of 27.8 μS/μm, for a switching efficiency figure of merit, Q=g m /SS of 0.41 μS/μm-dec/mV. These performance metrics make GaN nanowire MOSFETs a promising candidate for emerging low-power applications such as sensors and RF for the internet of things.

  1. Electrochromic device containing metal oxide nanoparticles and ultraviolet blocking material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garcia, Guillermo; Koo, Bonil; Gregoratto, Ivano

    An electrochromic device includes a nanostructured transition metal oxide bronze layer that includes one or more transition metal oxide and one or more dopant. The electrochromic device also includes nanoparticles containing one or more transparent conducting oxide (TCO), a solid state electrolyte, a counter electrode, and at least one protective layer to prevent degradation of the one or more nanostructured transition metal oxide bronze. The nanostructured transition metal oxide bronze selectively modulates transmittance of near-infrared (NIR) and visible radiation as a function of an applied voltage to the device.

  2. Method for making monolithic metal oxide aerogels

    DOEpatents

    Droege, M.W.; Coronado, P.R.; Hair, L.M.

    1995-03-07

    Transparent, monolithic metal oxide aerogels of varying densities are produced using a method in which a metal alkoxide solution and a catalyst solution are prepared separately and reacted. The resulting hydrolyzed-condensed colloidal solution is gelled, and the wet gel is contained within a sealed, but gas permeable, containment vessel during supercritical extraction of the solvent. The present invention is especially advantageous for making metal oxides other than silica that are prone to forming opaque, cracked aerogels. 6 figs.

  3. Method for making monolithic metal oxide aerogels

    DOEpatents

    Droege, Michael W.; Coronado, Paul R.; Hair, Lucy M.

    1995-01-01

    Transparent, monolithic metal oxide aerogels of varying densities are produced using a method in which a metal alkoxide solution and a catalyst solution are prepared separately and reacted. The resulting hydrolyzed-condensed colloidal solution is gelled, and the wet gel is contained within a sealed, but gas permeable, containment vessel during supercritical extraction of the solvent. The present invention is especially advantageous for making metal oxides other than silica that are prone to forming opaque, cracked aerogels.

  4. Conductive metal oxide film and method of making

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Windisch, C.F. Jr.; Exarhos, G.J.

    1999-11-23

    The present invention is a method for reducing a dopant in a film of a metal oxide wherein the dopant is reduced and the first metal oxide is substantially not reduced. The method of the present invention relies upon exposing the film to reducing conditions for a predetermined time and reducing a valence of the metal from a positive valence to a zero valence and maintaining atoms with a zero valence in an atomic configuration within the lattice structure of the metal oxide. According to the present invention, exposure to reducing conditions may be achieved electrochemically or achieved in anmore » elevated temperature gas phase.« less

  5. Conductive metal oxide film and method of making

    DOEpatents

    Windisch, Jr., Charles F.; Exarhos, Gregory J.

    1999-01-01

    The present invention is a method for reducing a dopant in a film of a metal oxide wherein the dopant is reduced and the first metal oxide is substantially not reduced. The method of the present invention relies upon exposing the film to reducing conditions for a predetermined time and reducing a valence of the metal from a positive valence to a zero valence and maintaining atoms with a zero valence in an atomic configuration within the lattice structure of the metal oxide. According to the present invention, exposure to reducing conditions may be achieved electrochemically or achieved in an elevated temperature gas phase.

  6. Synthesis of metal-metal oxide catalysts and electrocatalysts using a metal cation adsorption/reduction and adatom replacement by more noble ones

    DOEpatents

    Adzic, Radoslav; Vukmirovic, Miomir; Sasaki, Kotaro

    2010-04-27

    The invention relates to platinum-metal oxide composite particles and their use as electrocatalysts in oxygen-reducing cathodes and fuel cells. The invention particularly relates to methods for preventing the oxidation of the platinum electrocatalyst in the cathodes of fuel cells by use of these platinum-metal oxide composite particles. The invention additionally relates to methods for producing electrical energy by supplying such a fuel cell with an oxidant, such as oxygen, and a fuel source, such as hydrogen. The invention also relates to methods of making the metal-metal oxide composites.

  7. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  8. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  9. Method of producing solution-derived metal oxide thin films

    DOEpatents

    Boyle, Timothy J.; Ingersoll, David

    2000-01-01

    A method of preparing metal oxide thin films by a solution method. A .beta.-metal .beta.-diketonate or carboxylate compound, where the metal is selected from groups 8, 9, 10, 11, and 12 of the Periodic Table, is solubilized in a strong Lewis base to form a homogeneous solution. This precursor solution forms within minutes and can be deposited on a substrate in a single layer or a multiple layers to form a metal oxide thin film. The substrate with the deposited thin film is heated to change the film from an amorphous phase to a ceramic metal oxide and cooled.

  10. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  11. Method of producing adherent metal oxide coatings on metallic surfaces

    DOEpatents

    Lane, Michael H.; Varrin, Jr., Robert D.

    2001-01-01

    Provided is a process of producing an adherent synthetic corrosion product (sludge) coating on metallic surfaces. The method involves a chemical reaction between a dry solid powder mixture of at least one reactive metal oxide with orthophosphoric acid to produce a coating in which the particles are bound together and the matrix is adherent to the metallic surface.

  12. Roma Gans: Still Writing at 95.

    ERIC Educational Resources Information Center

    Sullivan, Joanna

    1991-01-01

    Recounts discussions with reading educator Roma Gans over a 25-year period. Presents Gans' views about reading, teachers, her family, and her years at Teachers College, Columbia. Notes that Gans has seen the teaching of reading come full circle since her first teaching assignment in 1919. (RS)

  13. Metal Oxide/Graphene Composites for Supercapacitive Electrode Materials.

    PubMed

    Jeong, Gyoung Hwa; Baek, Seungmin; Lee, Seungyeol; Kim, Sang-Wook

    2016-04-05

    Graphene composites with metal or metal oxide nanoparticles have been extensively investigated owing to their potential applications in the fields of fuel cells, batteries, sensing, solar cells, and catalysis. Among them, much research has focused on supercapacitor applications and have come close to realization. Composites include monometal oxides of cobalt, nickel, manganese, and iron, as well as their binary and ternary oxides. In addition, their morphological control and hybrid systems of carbon nanotubes have also been investigated. This review presents the current trends in research on metal oxide/graphene composites for supercapacitors. Furthermore, methods are suggested to improve the properties of electrochemical capacitor electrodes. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Continuous-Flow MOVPE of Ga-Polar GaN Column Arrays and Core-Shell LED Structures

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Mohajerani, Matin Sadat; Ledig, Johannes; Wehmann, Hergo-Heinrich; Mandl, Martin; Strassburg, Martin; Steegmüller, Ulrich; Jahn, Uwe; Lähnemann, Jonas; Riechert, Henning; Griffiths, Ian; Cherns, David; Waag, Andreas

    2013-06-01

    Arrays of dislocation free uniform Ga-polar GaN columns have been realized on patterned SiOx/GaN/sapphire templates by metal organic vapor phase epitaxy using a continuous growth mode. The key parameters and the physical principles of growth of Ga-polar GaN three-dimensional columns are identified, and their potential for manipulating the growth process is discussed. High aspect ratio columns have been achieved using silane during the growth, leading to n-type columns. The vertical growth rate increases with increasing silane flow. In a core-shell columnar LED structure, the shells of InGaN/GaN multi quantum wells and p-GaN have been realized on a core of n-doped GaN column. Cathodoluminescence gives insight into the inner structure of these core-shell LED structures.

  15. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  16. Metal oxide porous ceramic membranes with small pore sizes

    DOEpatents

    Anderson, Marc A.; Xu, Qunyin

    1992-01-01

    A method is disclosed for the production of metal oxide ceramic membranes of very small pore size. The process is particularly useful in the creation of titanium and other transition metal oxide membranes. The method utilizes a sol-gel process in which the rate of particle formation is controlled by substituting a relatively large alcohol in the metal alkoxide and by limiting the available water. Stable, transparent metal oxide ceramic membranes are created having a narrow distribution of pore size, with the pore diameter being manipulable in the range of 5 to 40 Angstroms.

  17. Metal oxide porous ceramic membranes with small pore sizes

    DOEpatents

    Anderson, Marc A.; Xu, Qunyin

    1991-01-01

    A method is disclosed for the production of metal oxide ceramic membranes of very small pore size. The process is particularly useful in the creation of titanium and other transition metal oxide membranes. The method utilizes a sol-gel process in which the rate of particle formation is controlled by substituting a relatively large alcohol in the metal alkoxide and by limiting the available water. Stable, transparent metal oxide ceramic membranes are created having a narrow distribution of pore size, with the pore diameter being manipulable in the range of 5 to 40 Angstroms.

  18. Role of metal oxides in chemical evolution

    NASA Astrophysics Data System (ADS)

    Kamaluddin

    2013-06-01

    Steps of chemical evolution have been designated as formation of biomonomers followed by their polymerization and then to modify in an organized structure leading to the formation of first living cell. Formation of small molecules like amino acids, organic bases, sugar etc. could have occurred in the reducing atmosphere of the primitive Earth. Polymerization of these small molecules could have required some catalyst. In addition to clay, role of metal ions and metal complexes as prebiotic catalyst in the synthesis and polymerization of biomonomers cannot be ruled out. Metal oxides are important constituents of Earth crust and that of other planets. These oxides might have adsorbed organic molecules and catalyzed the condensation processes, which may have led to the formation of first living cell. Different studies were performed in order to investigate the role of metal oxides (especially oxides of iron and manganese) in chemical evolution. Iron oxides (goethite, akaganeite and hematite) as well as manganese oxides (MnO, Mn2O3, Mn3O4 and MnO2) were synthesized and their characterization was done using IR, powder XRD, FE-SEM and TEM. Role of above oxides was studied in the adsorption of ribose nucleotides, formation of nucleobases from formamide and oligomerization of amino acids. Above oxides of iron and manganese were found to have good adsorption affinity towards ribose nucleotides, high catalytic activity in the formation of several nucleobases from formamide and oligomerization of glycine and alanine. Characterization of products was performed using UV, IR, HPLC and ESI-MS techniques. Presence of hematite-water system on Mars has been suggested to be a positive indicator in the chemical evolution on Mars.

  19. Method for producing nanostructured metal-oxides

    DOEpatents

    Tillotson, Thomas M.; Simpson, Randall L.; Hrubesh, Lawrence W.; Gash, Alexander

    2006-01-17

    A synthetic route for producing nanostructure metal-oxide-based materials using sol-gel processing. This procedure employs the use of stable and inexpensive hydrated-metal inorganic salts and environmentally friendly solvents such as water and ethanol. The synthesis involves the dissolution of the metal salt in a solvent followed by the addition of a proton scavenger, which induces gel formation in a timely manner. Both critical point (supercritical extraction) and atmospheric (low temperature evaporation) drying may be employed to produce monolithic aerogels and xerogels, respectively. Using this method synthesis of metal-oxide nanostructured materials have been carried out using inorganic salts, such as of Fe.sup.3+, Cr.sup.3+, Al.sup.3+, Ga.sup.3+, In.sup.3+, Hf.sup.4+, Sn.sup.4+, Zr.sup.4+, Nb.sup.5+, W.sup.6+, Pr.sup.3+, Er.sup.3+, Nd.sup.3+, Ce.sup.3+, U.sup.3+ and Y.sup.3+. The process is general and nanostructured metal-oxides from the following elements of the periodic table can be made: Groups 2 through 13, part of Group 14 (germanium, tin, lead), part of Group 15 (antimony, bismuth), part of Group 16 (polonium), and the lanthanides and actinides. The sol-gel processing allows for the addition of insoluble materials (e.g., metals or polymers) to the viscous sol, just before gelation, to produce a uniformly distributed nanocomposites upon gelation. As an example, energetic nanocomposites of Fe.sub.xO.sub.y gel with distributed Al metal are readily made. The compositions are stable, safe, and can be readily ignited to thermitic reaction.

  20. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  1. 40 CFR 721.5315 - Nickel, cobalt mixed metal oxide (generic).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 31 2011-07-01 2011-07-01 false Nickel, cobalt mixed metal oxide... Specific Chemical Substances § 721.5315 Nickel, cobalt mixed metal oxide (generic). (a) Chemical substance... nickel, cobalt mixed metal oxide. (PMN P-02-90) is subject to reporting under this section for the...

  2. 40 CFR 721.5315 - Nickel, cobalt mixed metal oxide (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 31 2014-07-01 2014-07-01 false Nickel, cobalt mixed metal oxide... Specific Chemical Substances § 721.5315 Nickel, cobalt mixed metal oxide (generic). (a) Chemical substance... nickel, cobalt mixed metal oxide. (PMN P-02-90) is subject to reporting under this section for the...

  3. 40 CFR 721.5315 - Nickel, cobalt mixed metal oxide (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 32 2013-07-01 2013-07-01 false Nickel, cobalt mixed metal oxide... Specific Chemical Substances § 721.5315 Nickel, cobalt mixed metal oxide (generic). (a) Chemical substance... nickel, cobalt mixed metal oxide. (PMN P-02-90) is subject to reporting under this section for the...

  4. 40 CFR 721.5315 - Nickel, cobalt mixed metal oxide (generic).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 32 2012-07-01 2012-07-01 false Nickel, cobalt mixed metal oxide... Specific Chemical Substances § 721.5315 Nickel, cobalt mixed metal oxide (generic). (a) Chemical substance... nickel, cobalt mixed metal oxide. (PMN P-02-90) is subject to reporting under this section for the...

  5. Synthesis of Mesoporous Metal Oxides by Structure Replication: Thermal Analysis of Metal Nitrates in Porous Carbon Matrices

    PubMed Central

    Weinberger, Christian; Roggenbuck, Jan; Hanss, Jan; Tiemann, Michael

    2015-01-01

    A variety of metal nitrates were filled into the pores of an ordered mesoporous CMK-3 carbon matrix by solution-based impregnation. Thermal conversion of the metal nitrates into the respective metal oxides, and subsequent removal of the carbon matrix by thermal combustion, provides a versatile means to prepare mesoporous metal oxides (so-called nanocasting). This study aims to monitor the thermally induced processes by thermogravimetric analysis (TGA), coupled with mass ion detection (MS). The highly dispersed metal nitrates in the pores of the carbon matrix tend to react to the respective metal oxides at lower temperature than reported in the literature for pure, i.e., carbon-free, metal nitrates. The subsequent thermal combustion of the CMK-3 carbon matrix also occurs at lower temperature, which is explained by a catalytic effect of the metal oxides present in the pores. This catalytic effect is particularly strong for oxides of redox active metals, such as transition group VII and VIII metals (Mn, Fe, Co, Ni), Cu, and Ce. PMID:28347073

  6. Water Oxidation Mechanisms of Metal Oxide Catalysts by Vibrational Spectroscopy of Transient Intermediates.

    PubMed

    Zhang, Miao; Frei, Heinz

    2017-05-05

    Water oxidation is an essential reaction of an artificial photosystem for solar fuel generation because it provides electrons needed to reduce carbon dioxide or protons to a fuel. Earth-abundant metal oxides are among the most attractive catalytic materials for this reaction because of their robustness and scalability, but their efficiency poses a challenge. Knowledge of catalytic surface intermediates gained by vibrational spectroscopy under reaction conditions plays a key role in uncovering kinetic bottlenecks and provides a basis for catalyst design improvements. Recent dynamic infrared and Raman studies reveal the molecular identity of transient surface intermediates of water oxidation on metal oxides. Combined with ultrafast infrared observations of how charges are delivered to active sites of the metal oxide catalyst and drive the multielectron reaction, spectroscopic advances are poised to play a key role in accelerating progress toward improved catalysts for artificial photosynthesis.

  7. Nanostructured Metal Oxides and Sulfides for Lithium-Sulfur Batteries.

    PubMed

    Liu, Xue; Huang, Jia-Qi; Zhang, Qiang; Mai, Liqiang

    2017-05-01

    Lithium-sulfur (Li-S) batteries with high energy density and long cycle life are considered to be one of the most promising next-generation energy-storage systems beyond routine lithium-ion batteries. Various approaches have been proposed to break down technical barriers in Li-S battery systems. The use of nanostructured metal oxides and sulfides for high sulfur utilization and long life span of Li-S batteries is reviewed here. The relationships between the intrinsic properties of metal oxide/sulfide hosts and electrochemical performances of Li-S batteries are discussed. Nanostructured metal oxides/sulfides hosts used in solid sulfur cathodes, separators/interlayers, lithium-metal-anode protection, and lithium polysulfides batteries are discussed respectively. Prospects for the future developments of Li-S batteries with nanostructured metal oxides/sulfides are also discussed. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Anisotropy of atomic bonds formed by p-type dopants in bulk GaN crystals

    NASA Astrophysics Data System (ADS)

    Lawniczak-Jablonska, K.; Suski, T.; Gorczyca, I.; Christensen, N. E.; Libera, J.; Kachniarz, J.; Lagarde, P.; Cortes, R.; Grzegory, I.

    The anisotropy of atomic bonds formed by acceptor dopants with nitrogen in bulk wurtzite GaN crystals was studied by means of linearly polarized synchrotron radiation used in measurements of X-ray-absorption spectra for the K-edgeof Mg and Zn dopants. These spectra correspond to i) a single acceptor N bond along the c-axis and ii) three bonds realized with N atoms occupying the ab-plane perpendicular to the c-axis. The Zn dopant formed resonant spectra similar to that characteristic for Ga cations. In the case of the Mg dopant, similarity to Ga cations was observed for triple bonds in the ab-plane, only. Practically no resonant structure for spectra detected along the c-axis was observed. The absorption spectra were compared with ab initio calculations using the full-potential linear muffin-tin-orbital method. These calculations were also used for determination of the bond length for Mg-N and Zn-N in wurtzite GaN crystals and show that introducing dopants causes an increase of the lengths of the bonds formed by both dopants. Extended X-ray-absorption fine-structure measurements performed for bulk GaN:Zn confirmed the prediction of the theory in the case of the Zn-N bond. Finally, it is suggested that the anisotropy in the length of the Mg-N bonds, related to their larger strength in the case of bonds in the ab-plane, can explain preferential formation of a superlattice consisting of Mg-rich layers arranged in ab-planes of several bulk GaN:Mg crystals observed by transmission electron microscopy. Within the sensitivity of the method used, no parasitic metallic clusters or oxide compounds formed by the considered acceptors in GaN crystals were found.

  9. The K 2S 2O 8-KOH photoetching system for GaN

    NASA Astrophysics Data System (ADS)

    Weyher, J. L.; Tichelaar, F. D.; van Dorp, D. H.; Kelly, J. J.; Khachapuridze, A.

    2010-09-01

    A recently developed photoetching system for n-type GaN, a KOH solution containing the strong oxidizing agent potassium peroxydisulphate (K 2S 2O 8), was studied in detail. By careful selection of the etching parameters, such as the ratio of components and the hydrodynamics, two distinct modes were defined: defect-selective etching (denoted by KSO-D) and polishing (KSO-P). Both photoetching methods can be used under open-circuit (electroless) conditions. Well-defined dislocation-related etch whiskers are formed during KSO-D etching. All types of dislocations are revealed, and this was confirmed by cross-sectional TEM examination of the etched samples. Extended electrically active defects are also clearly revealed. The known relationship between etch rate and carrier concentration for photoetching of GaN in KOH solutions was confirmed for KSO-D etch using Raman measurements. It is shown that during KSO-P etching diffusion is the rate-limiting step, i.e. this etch is suitable for polishing of GaN. Some constraints of the KSO etching system for GaN are discussed and peculiar etch features, so far not understood, are described.

  10. Metal Oxide Gas Sensors: Sensitivity and Influencing Factors

    PubMed Central

    Wang, Chengxiang; Yin, Longwei; Zhang, Luyuan; Xiang, Dong; Gao, Rui

    2010-01-01

    Conductometric semiconducting metal oxide gas sensors have been widely used and investigated in the detection of gases. Investigations have indicated that the gas sensing process is strongly related to surface reactions, so one of the important parameters of gas sensors, the sensitivity of the metal oxide based materials, will change with the factors influencing the surface reactions, such as chemical components, surface-modification and microstructures of sensing layers, temperature and humidity. In this brief review, attention will be focused on changes of sensitivity of conductometric semiconducting metal oxide gas sensors due to the five factors mentioned above. PMID:22294916

  11. Emerging Applications of Liquid Metals Featuring Surface Oxides

    PubMed Central

    2014-01-01

    Gallium and several of its alloys are liquid metals at or near room temperature. Gallium has low toxicity, essentially no vapor pressure, and a low viscosity. Despite these desirable properties, applications calling for liquid metal often use toxic mercury because gallium forms a thin oxide layer on its surface. The oxide interferes with electrochemical measurements, alters the physicochemical properties of the surface, and changes the fluid dynamic behavior of the metal in a way that has, until recently, been considered a nuisance. Here, we show that this solid oxide “skin” enables many new applications for liquid metals including soft electrodes and sensors, functional microcomponents for microfluidic devices, self-healing circuits, shape-reconfigurable conductors, and stretchable antennas, wires, and interconnects. PMID:25283244

  12. Origins of Fermi-level pinning on GaN and InN polar and nonpolar surfaces

    NASA Astrophysics Data System (ADS)

    Segev, D.; Van de Walle, C. G.

    2006-10-01

    Using band structure and total energy methods, we study the atomic and electronic structures of the polar (+c and - c plane) and nonpolar (a and m plane) surfaces of GaN and InN. We identify two distinct microscopic origins for Fermi-level pinning on GaN and InN, depending on surface stoichiometry and surface polarity. At moderate Ga/N ratios unoccupied gallium dangling bonds pin the Fermi level on n-type GaN at 0.5 0.7 eV below the conduction-band minimum. Under highly Ga-rich conditions metallic Ga adlayers lead to Fermi-level pinning at 1.8 eV above the valence-band maximum. We also explain the source of the intrinsic electron accumulation that has been universally observed on polar InN surfaces. It is caused by In-In bonds leading to occupied surface states above the conduction-band minimum. We predict that such a charge accumulation will be absent on the nonpolar surfaces of InN, when prepared under specific conditions.

  13. Development of techniques for processing metal-metal oxide systems

    NASA Technical Reports Server (NTRS)

    Johnson, P. C.

    1976-01-01

    Techniques for producing model metal-metal oxide systems for the purpose of evaluating the results of processing such systems in the low-gravity environment afforded by a drop tower facility are described. Because of the lack of success in producing suitable materials samples and techniques for processing in the 3.5 seconds available, the program was discontinued.

  14. Metal/metal oxide doped oxide catalysts having high deNOx selectivity for lean NOx exhaust aftertreatment systems

    DOEpatents

    Park, Paul W.

    2004-03-16

    A lean NOx catalyst and method of preparing the same is disclosed. The lean NOx catalyst includes a ceramic substrate, an oxide support material, preferably .gamma.-alumina, deposited on the substrate and a metal promoter or dopant introduced into the oxide support material. The metal promoters or dopants are selected from the group consisting of indium, gallium, tin, silver, germanium, gold, nickel, cobalt, copper, iron, manganese, molybdenum, chromium, cerium, vanadium, oxides thereof, and combinations thereof. The .gamma.-alumina preferably has a pore volume of from about 0.5 to about 2.0 cc/g; a surface area of between about 80 to 350 m.sup.2 /g; an average pore size diameter of between about 3 to 30 nm; and an impurity level of less than or equal to 0.2 weight percent. In a preferred embodiment the .gamma.-alumina is prepared by a sol-gel method, with the metal doping of the .gamma.-alumina preferably accomplished using an incipient wetness impregnation technique.

  15. Plasmonically sensitized metal-oxide electron extraction layers for organic solar cells.

    PubMed

    Trost, S; Becker, T; Zilberberg, K; Behrendt, A; Polywka, A; Heiderhoff, R; Görrn, P; Riedl, T

    2015-01-16

    ZnO and TiOx are commonly used as electron extraction layers (EELs) in organic solar cells (OSCs). A general phenomenon of OSCs incorporating these metal-oxides is the requirement to illuminate the devices with UV light in order to improve device characteristics. This may cause severe problems if UV to VIS down-conversion is applied or if the UV spectral range (λ < 400 nm) is blocked to achieve an improved device lifetime. In this work, silver nanoparticles (AgNP) are used to plasmonically sensitize metal-oxide based EELs in the vicinity (1-20 nm) of the metal-oxide/organic interface. We evidence that plasmonically sensitized metal-oxide layers facilitate electron extraction and afford well-behaved highly efficient OSCs, even without the typical requirement of UV exposure. It is shown that in the plasmonically sensitized metal-oxides the illumination with visible light lowers the WF due to desorption of previously ionosorbed oxygen, in analogy to the process found in neat metal oxides upon UV exposure, only. As underlying mechanism the transfer of hot holes from the metal to the oxide upon illumination with hν < Eg is verified. The general applicability of this concept to most common metal-oxides (e.g. TiOx and ZnO) in combination with different photoactive organic materials is demonstrated.

  16. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    PubMed

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  17. Defect Chemistry and Plasmon Physics of Colloidal Metal Oxide Nanocrystals.

    PubMed

    Lounis, Sebastien D; Runnerstrom, Evan L; Llordés, Anna; Milliron, Delia J

    2014-05-01

    Plasmonic nanocrystals of highly doped metal oxides have seen rapid development in the past decade and represent a class of materials with unique optoelectronic properties. In this Perspective, we discuss doping mechanisms in metal oxides and the accompanying physics of free carrier scattering, both of which have implications in determining the properties of localized surface plasmon resonances (LSPRs) in these nanocrystals. The balance between activation and compensation of dopants limits the free carrier concentration of the most common metal oxides, placing a ceiling on the LSPR frequency. Furthermore, because of ionized impurity scattering of the oscillating plasma by dopant ions, scattering must be treated in a fundamentally different way in semiconductor metal oxide materials when compared with conventional metals. Though these effects are well-understood in bulk metal oxides, further study is needed to understand their manifestation in nanocrystals and corresponding impact on plasmonic properties, and to develop materials that surpass current limitations in free carrier concentration.

  18. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  19. Powerful Oxidizing Agents for the Oxidative Deintercalation of Lithium from Transition Metal Oxides

    DTIC Science & Technology

    1989-08-16

    22217 11 TITLE dnrcluae Sec’.r/ 2 ’als.rit,catC Powerful Oxidizing Agents for the Oxidative Deintercalation of Lithium from Transition Metal Oxides...0 d dlentity by block number) FIELD GROUP SUB GROUP Oxidizing Agents, Lithium Oxides I - Deintercalation 19 AbS*RA?, trne on-tsxes~e it necessary...anid enit’y oy 010ck .1Uf~oer. N02+ andMoF6 are shown to be powerful oxidizing agents for the deintercalation of lithium from Li~oO2 an 62Ct . The

  20. Synthesis of Lithium Metal Oxide Nanoparticles by Induction Thermal Plasmas.

    PubMed

    Tanaka, Manabu; Kageyama, Takuya; Sone, Hirotaka; Yoshida, Shuhei; Okamoto, Daisuke; Watanabe, Takayuki

    2016-04-06

    Lithium metal oxide nanoparticles were synthesized by induction thermal plasma. Four different systems-Li-Mn, Li-Cr, Li-Co, and Li-Ni-were compared to understand formation mechanism of Li-Me oxide nanoparticles in thermal plasma process. Analyses of X-ray diffractometry and electron microscopy showed that Li-Me oxide nanoparticles were successfully synthesized in Li-Mn, Li-Cr, and Li-Co systems. Spinel structured LiMn₂O₄ with truncated octahedral shape was formed. Layer structured LiCrO₂ or LiCoO₂ nanoparticles with polyhedral shapes were also synthesized in Li-Cr or Li-Co systems. By contrast, Li-Ni oxide nanoparticles were not synthesized in the Li-Ni system. Nucleation temperatures of each metal in the considered system were evaluated. The relationship between the nucleation temperature and melting and boiling points suggests that the melting points of metal oxides have a strong influence on the formation of lithium metal oxide nanoparticles. A lower melting temperature leads to a longer reaction time, resulting in a higher fraction of the lithium metal oxide nanoparticles in the prepared nanoparticles.

  1. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  2. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  3. Luminescence from defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Morkoç, H.

    2006-04-01

    We briefly review the luminescence properties of defects in GaN and focus on the most interesting defects. In particular, the blue luminescence band peaking at about 3 eV is assigned to different defects and even different types of transitions in undoped, Zn-, C-, and Mg-doped GaN. Another omnipresent luminescence band, the yellow luminescence band may have different origin in nearly dislocation-free freestanding GaN templates, undoped thin layers, and carbon-doped GaN. The Y4 and Y7 lines are caused by recombination at unidentified point defects captured by threading edge dislocations.

  4. Multiscale model of metal alloy oxidation at grain boundaries

    NASA Astrophysics Data System (ADS)

    Sushko, Maria L.; Alexandrov, Vitaly; Schreiber, Daniel K.; Rosso, Kevin M.; Bruemmer, Stephen M.

    2015-06-01

    High temperature intergranular oxidation and corrosion of metal alloys is one of the primary causes of materials degradation in nuclear systems. In order to gain insights into grain boundary oxidation processes, a mesoscale metal alloy oxidation model is established by combining quantum Density Functional Theory (DFT) and mesoscopic Poisson-Nernst-Planck/classical DFT with predictions focused on Ni alloyed with either Cr or Al. Analysis of species and fluxes at steady-state conditions indicates that the oxidation process involves vacancy-mediated transport of Ni and the minor alloying element to the oxidation front and the formation of stable metal oxides. The simulations further demonstrate that the mechanism of oxidation for Ni-5Cr and Ni-4Al is qualitatively different. Intergranular oxidation of Ni-5Cr involves the selective oxidation of the minor element and not matrix Ni, due to slower diffusion of Ni relative to Cr in the alloy and due to the significantly smaller energy gain upon the formation of nickel oxide compared to that of Cr2O3. This essentially one-component oxidation process results in continuous oxide formation and a monotonic Cr vacancy distribution ahead of the oxidation front, peaking at alloy/oxide interface. In contrast, Ni and Al are both oxidized in Ni-4Al forming a mixed spinel NiAl2O4. Different diffusivities of Ni and Al give rise to a complex elemental distribution in the vicinity of the oxidation front. Slower diffusing Ni accumulates in the oxide and metal within 3 nm of the interface, while Al penetrates deeper into the oxide phase. Ni and Al are both depleted from the region 3-10 nm ahead of the oxidation front creating voids. The oxide microstructure is also different. Cr2O3 has a plate-like structure with 1.2-1.7 nm wide pores running along the grain boundary, while NiAl2O4 has 1.5 nm wide pores in the direction parallel to the grain boundary and 0.6 nm pores in the perpendicular direction providing an additional pathway for oxygen

  5. Metal oxide electrocatalysts for alternative energy technologies

    NASA Astrophysics Data System (ADS)

    Pacquette, Adele Lawren

    This dissertation focuses on the development of metal oxide electrocatalysts with varying applications for alternative energy technologies. Interest in utilizing clean, renewable and sustainable sources of energy for powering the planet in the future has received much attention. This will address the growing concern of the need to reduce our dependence on fossil fuels. The facile synthesis of metal oxides from earth abundant metals was explored in this work. The electrocatalysts can be incorporated into photoelectrochemical devices, fuel cells, and other energy storage devices. The first section addresses the utilization of semiconductors that can harness solar energy for water splitting to generate hydrogen. An oxysulfide was studied in order to combine the advantageous properties of the stability of metal oxides and the visible light absorbance of metal chalcogenides. Bi 2O2S was synthesized under facile hydrothermal conditions. The band gap of Bi2O2S was smaller than that of its oxide counterpart, Bi2O3. Light absorption by Bi 2O2S was extended to the visible region (>600 nm) in comparison to Bi2O3. The formation of a composite with In 2O3 was formed in order to create a UV irradiation protective coating of the Bi2O2S. The Bi2O2S/In 2O3 composite coupled with a dye CrTPP(Cl) and cocatalysts Pt and Co3O4 was utilized for water splitting under light irradiation to generate hydrogen and oxygen. The second section focuses on improving the stability and light absorption of semiconductors by changing the shapes and morphologies. One of the limitations of semiconductor materials is that recombination of electron-hole pairs occur within the bulk of the materials instead of migration to the surface. Three-dimensional shapes, such as nanorods, can prevent this recombination in comparison to spherical particles. Hierarchical structures, such as dendrites, cubes, and multipods, were synthesized under hydrothermal conditions, in order to reduce recombination and improve

  6. Method of adhesion between an oxide layer and a metal layer

    DOEpatents

    Jennison, Dwight R.; Bogicevic, Alexander; Kelber, Jeffry A.; Chambers, Scott A.

    2004-09-14

    A method of controlling the wetting characteristics and increasing the adhesion between a metal and an oxide layer. By introducing a negatively-charged species to the surface of an oxide layer, layer-by-layer growth of metal deposited onto the oxide surface is promoted, increasing the adhesion strength of the metal-oxide interface. The negatively-charged species can either be deposited onto the oxide surface or a compound can be deposited that dissociates on, or reacts with, the surface to form the negatively-charged species. The deposited metal adatoms can thereby bond laterally to the negatively-charged species as well as vertically to the oxide surface as well as react with the negatively charged species, be oxidized, and incorporated on or into the surface of the oxide.

  7. Preparation of gallium nitride surfaces for atomic layer deposition of aluminum oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kerr, A. J.; Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093; Chagarov, E.

    2014-09-14

    A combined wet and dry cleaning process for GaN(0001) has been investigated with XPS and DFT-MD modeling to determine the molecular-level mechanisms for cleaning and the subsequent nucleation of gate oxide atomic layer deposition (ALD). In situ XPS studies show that for the wet sulfur treatment on GaN(0001), sulfur desorbs at room temperature in vacuum prior to gate oxide deposition. Angle resolved depth profiling XPS post-ALD deposition shows that the a-Al{sub 2}O{sub 3} gate oxide bonds directly to the GaN substrate leaving both the gallium surface atoms and the oxide interfacial atoms with XPS chemical shifts consistent with bulk-like charge.more » These results are in agreement with DFT calculations that predict the oxide/GaN(0001) interface will have bulk-like charges and a low density of band gap states. This passivation is consistent with the oxide restoring the surface gallium atoms to tetrahedral bonding by eliminating the gallium empty dangling bonds on bulk terminated GaN(0001)« less

  8. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    DOEpatents

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  9. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  10. Ultrafast, superhigh gain visible-blind UV detector and optical logic gates based on nonpolar a-axial GaN nanowire

    NASA Astrophysics Data System (ADS)

    Wang, Xingfu; Zhang, Yong; Chen, Xinman; He, Miao; Liu, Chao; Yin, Yian; Zou, Xianshao; Li, Shuti

    2014-09-01

    Nonpolar a-axial GaN nanowire (NW) was first used to construct the MSM (metal-semiconductor-metal) symmetrical Schottky contact device for application as visible-blind ultraviolet (UV) detector. Without any surface or composition modifications, the fabricated device demonstrated a superior performance through a combination of its high sensitivity (up to 104 A W-1) and EQE value (up to 105), as well as ultrafast (<26 ms) response speed, which indicates that a balance between the photocurrent gain and the response speed has been achieved. Based on its excellent photoresponse performance, an optical logic AND gate and OR gate have been demonstrated for performing photo-electronic coupled logic devices by further integrating the fabricated GaN NW detectors, which logically convert optical signals to electrical signals in real time. These results indicate the possibility of using a nonpolar a-axial GaN NW not only as a high performance UV detector, but also as a stable optical logic device, both in light-wave communications and for future memory storage.Nonpolar a-axial GaN nanowire (NW) was first used to construct the MSM (metal-semiconductor-metal) symmetrical Schottky contact device for application as visible-blind ultraviolet (UV) detector. Without any surface or composition modifications, the fabricated device demonstrated a superior performance through a combination of its high sensitivity (up to 104 A W-1) and EQE value (up to 105), as well as ultrafast (<26 ms) response speed, which indicates that a balance between the photocurrent gain and the response speed has been achieved. Based on its excellent photoresponse performance, an optical logic AND gate and OR gate have been demonstrated for performing photo-electronic coupled logic devices by further integrating the fabricated GaN NW detectors, which logically convert optical signals to electrical signals in real time. These results indicate the possibility of using a nonpolar a-axial GaN NW not only as a high

  11. Epitaxy of Polar Oxides and Semiconductors

    NASA Astrophysics Data System (ADS)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  12. Method for continuous synthesis of metal oxide powders

    DOEpatents

    Berry, David A.; Haynes, Daniel J.; Shekhawat, Dushyant; Smith, Mark W.

    2015-09-08

    A method for the rapid and continuous production of crystalline mixed-metal oxides from a precursor solution comprised of a polymerizing agent, chelated metal ions, and a solvent. The method discharges solution droplets of less than 500 .mu.m diameter using an atomizing or spray-type process into a reactor having multiple temperature zones. Rapid evaporation occurs in a first zone, followed by mixed-metal organic foam formation in a second zone, followed by amorphous and partially crystalline oxide precursor formation in a third zone, followed by formation of the substantially crystalline mixed-metal oxide in a fourth zone. The method operates in a continuous rather than batch manner and the use of small droplets as the starting material for the temperature-based process allows relatively high temperature processing. In a particular embodiment, the first zone operates at 100-300.degree. C., the second zone operates at 300-700.degree. C., and the third operates at 700-1000.degree. C., and fourth zone operates at at least 700.degree. C. The resulting crystalline mixed-metal oxides display a high degree of crystallinity and sphericity with typical diameters on the order of 50 .mu.m or less.

  13. Field-assisted nanopatterning of metals, metal oxides and metal salts

    NASA Astrophysics Data System (ADS)

    Liu, Jun-Fu; Miller, Glen P.

    2009-02-01

    The tip-based nanofabrication method called field-assisted nanopatterning or FAN has now been extended to the transfer of metals, metal oxides and metal salts onto various receiving substrates including highly ordered pyrolytic graphite, passivated gold and indium-tin oxide. Standard atomic force microscope tips were first dip-coated using suspensions of inorganic compounds in solvent. The films prepared in this manner were non-uniform and contained inorganic nanoparticles. Tip-based nanopatterning on chosen substrates was conducted under high electric field conditions. The same tip was used for both nanofabrication and imaging. Arbitrary patterns were formed with dimensions that ranged from tens of microns to sub-20 nm and were controlled by tuning the tip bias during fabrication. Most tip-based nanopatterning techniques are limited in terms of the type of species that can be deposited and the type of substrates onto which the deposition occurs. With the successful deposition of inorganic species reported here, FAN is demonstrated to be a truly versatile tip-based nanofabrication technique that is useful for the deposition of a wide variety of both organic and inorganic species including small molecules, large molecules and polymers.

  14. Semiconducting transition metal oxides.

    PubMed

    Lany, Stephan

    2015-07-22

    Open shell transition metal oxides are usually described as Mott or charge transfer insulators, which are often viewed as being disparate from semiconductors. Based on the premise that the presence of a correlated gap and semiconductivity are not mutually exclusive, this work reviews electronic structure calculations on the binary 3d oxides, so to distill trends and design principles for semiconducting transition metal oxides. This class of materials possesses the potential for discovery, design, and development of novel functional semiconducting compounds, e.g. for energy applications. In order to place the 3d orbitals and the sp bands into an integrated picture, band structure calculations should treat both contributions on the same footing and, at the same time, account fully for electron correlation in the 3d shell. Fundamentally, this is a rather daunting task for electronic structure calculations, but quasi-particle energy calculations in GW approximation offer a viable approach for band structure predictions in these materials. Compared to conventional semiconductors, the inherent multivalent nature of transition metal cations is more likely to cause undesirable localization of electron or hole carriers. Therefore, a quantitative prediction of the carrier self-trapping energy is essential for the assessing the semiconducting properties and to determine whether the transport mechanism is a band-like large-polaron conduction or a small-polaron hopping conduction. An overview is given for the binary 3d oxides on how the hybridization between the 3d crystal field symmetries with the O-p orbitals of the ligands affects the effective masses and the likelihood of electron and hole self-trapping, identifying those situations where small masses and band-like conduction are more likely to be expected. The review concludes with an illustration of the implications of the increased electronic complexity of transition metal cations on the defect physics and doping, using

  15. Mesoporous carbon incorporated metal oxide nanomaterials as supercapacitor electrodes.

    PubMed

    Jiang, Hao; Ma, Jan; Li, Chunzhong

    2012-08-08

    Supercapacitors have attracted huge attention in recent years as they have the potential to satisfy the demand of both huge energy and power density in many advanced technologies. However, poor conductivity and cycling stability remains to be the major challenge for its widespread application. Various strategies have been developed for meeting the ever-increasing energy and power demands in supercapacitors. This Research News article aims to review recent progress in the development of mesoporous carbon incorporated metal oxide nanomaterials, especially metal oxide nanoparticles confined in ordered mesoporous carbon and 1D metal oxides coated with a layer of mesoporous carbon for high-performance supercapacitor applications. In addition, a recent trend in supercapacitor development - hierarchical porous graphitic carbons (HPGC) combining macroporous cores, mesoporous walls, and micropores as an excellent support for metal oxides - is also discussed.

  16. Bioaccessibility of micron-sized powder particles of molybdenum metal, iron metal, molybdenum oxides and ferromolybdenum--Importance of surface oxides.

    PubMed

    Mörsdorf, Alexander; Odnevall Wallinder, Inger; Hedberg, Yolanda

    2015-08-01

    The European chemical framework REACH requires that hazards and risks posed by chemicals, including alloys and metals, that are manufactured, imported or used in different products (substances or articles) are identified and proven safe for humans and the environment. Metals and alloys need hence to be investigated on their extent of released metals (bioaccessibility) in biologically relevant environments. Read-across from available studies may be used for similar materials. This study investigates the release of molybdenum and iron from powder particles of molybdenum metal (Mo), a ferromolybdenum alloy (FeMo), an iron metal powder (Fe), MoO2, and MoO3 in different synthetic body fluids of pH ranging from 1.5 to 7.4 and of different composition. Spectroscopic tools and cyclic voltammetry have been employed to characterize surface oxides, microscopy, light scattering and nitrogen absorption for particle characterization, and atomic absorption spectroscopy to quantify released amounts of metals. The release of molybdenum from the Mo powder generally increased with pH and was influenced by the fluid composition. The mixed iron and molybdenum surface oxide of the FeMo powder acted as a barrier both at acidic and weakly alkaline conditions. These findings underline the importance of the surface oxide characteristics for the bioaccessibility of metal alloys. Copyright © 2015 The Authors. Published by Elsevier Inc. All rights reserved.

  17. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  18. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  19. Metal oxide-encapsulated dye-sensitized photoanodes for dye-sensitized solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hupp, Joseph T.; Son, Ho-Jin

    2016-01-12

    Dye-sensitized semiconducting metal oxide films for photoanodes, photoanodes incorporating the films and DSCs incorporating the photoanodes are provided. Also provided are methods for making the dye sensitized semiconducting metal oxide films. The methods of making the films are based on the deposition of an encapsulating layer of a semiconducting metal oxide around the molecular anchoring groups of photosensitizing dye molecules adsorbed to a porous film of the semiconducting metal oxide. The encapsulating layer of semiconducting metal oxide is formed in such a way that it is not coated over the chromophores of the adsorbed dye molecules and, therefore, allows themore » dye molecules to remain electrochemically addressable.« less

  20. Anaerobic Nitrate-Dependent Metal Bio-Oxidation

    NASA Astrophysics Data System (ADS)

    Weber, K.; Knox, T.; Achenbach, L. A.; Coates, J. D.

    2007-12-01

    Direct biological oxidation of reduced metals (Fe(II) and U(IV)) coupled to nitrate reduction at circumneutral pH under anaerobic conditions has been recognized in several environments as well as pure culture. Several phylogentically diverse mesophilic bacteria have been described as capable of anaerobic, nitrate-dependent Fe(II) oxidation (NFOx). Our recent identification of a freshwater mesophilic, lithoautotroph, Ferrutens nitratireducens strain 2002, capable of growth through NFOx presents an opportunity to further study metal bio- oxidation. Continuing physiological studies revealed that in addition to Fe(II) oxidation, strain 2002 is capable of oxidizing U(IV) (4 μM) in washed cell suspensions with nitrate serving as the electron acceptor. Pasteurized cultures exhibited abiotic oxidation of 2 μM U(IV). Under growth conditions, strain 2002 catalyzed the oxidation of 12 μM U(IV) within a two week period. Cultures amended with sodium azide, an electron transport inhibitor, demonstrated limited oxidation (7 μM) similar to pasteurized cultures, supporting the direct role of electron transport in U(IV) bio-oxidation. The oxidation of U(IV) coupled denitrification at circumneutral pH would yield enough energy to support anaerobic microbial growth (ΔG°'= -460.36 kJ/mole). It is currently unknown whether or not strain 2002 can couple this metabolism to growth. The growth of F. nitratireducens strain 2002 utilizing Fe(II) as the sole electron donor was previously demonstrated. The amount of U(IV) (~12 μM) that strain 2002 oxidized under similar autotrophic growth conditions yields 0.0019 kJ, enough energy for the generation of ATP (5.3 x 10-20 kJ ATP-1), but not enough energy for cell replication as calculated for nitrate-dependent Fe(II) oxidizing conditions (0.096 kJ) assuming a similar metabolism. In addition to F. nitratireducens strain 2002, a nitrate-dependent Fe(II) oxidizing bacterium isolated from U contaminated groundwater, Diaphorobacter sp. strain

  1. Plasmonically sensitized metal-oxide electron extraction layers for organic solar cells

    PubMed Central

    Trost, S.; Becker, T.; Zilberberg, K.; Behrendt, A.; Polywka, A.; Heiderhoff, R.; Görrn, P.; Riedl, T.

    2015-01-01

    ZnO and TiOx are commonly used as electron extraction layers (EELs) in organic solar cells (OSCs). A general phenomenon of OSCs incorporating these metal-oxides is the requirement to illuminate the devices with UV light in order to improve device characteristics. This may cause severe problems if UV to VIS down-conversion is applied or if the UV spectral range (λ < 400 nm) is blocked to achieve an improved device lifetime. In this work, silver nanoparticles (AgNP) are used to plasmonically sensitize metal-oxide based EELs in the vicinity (1–20 nm) of the metal-oxide/organic interface. We evidence that plasmonically sensitized metal-oxide layers facilitate electron extraction and afford well-behaved highly efficient OSCs, even without the typical requirement of UV exposure. It is shown that in the plasmonically sensitized metal-oxides the illumination with visible light lowers the WF due to desorption of previously ionosorbed oxygen, in analogy to the process found in neat metal oxides upon UV exposure, only. As underlying mechanism the transfer of hot holes from the metal to the oxide upon illumination with hν < Eg is verified. The general applicability of this concept to most common metal-oxides (e.g. TiOx and ZnO) in combination with different photoactive organic materials is demonstrated. PMID:25592174

  2. Metal-organic framework derived hollow polyhedron metal oxide posited graphene oxide for energy storage applications.

    PubMed

    Ramaraju, Bendi; Li, Cheng-Hung; Prakash, Sengodu; Chen, Chia-Chun

    2016-01-18

    A composite made from hollow polyhedron copper oxide and graphene oxide was synthesized by sintering a Cu-based metal-organic framework (Cu-MOF) embedded with exfoliated graphene oxide. As a proof-of-concept application, the obtained Cu(ox)-rGO materials were used in a lithium-ion battery and a sodium-ion battery as anode materials. Overall, the Cu(ox)-rGO composite delivers excellent electrochemical properties with stable cycling when compared to pure CuO-rGO and Cu-MOF.

  3. Role of Defects and Adsorbed Water Film in Influencing the Electrical, Optical and Catalytic Properties of Transition Metal Oxides

    NASA Astrophysics Data System (ADS)

    Wang, Qi

    , gallium nitride and zinc oxide. Most TMOs at room temperature are known to be strongly hydrated. We show that an adsorbed water film present on the surface of TMOs facilitates the dissolution of gaseous species and promotes charge transfers at the adsorbed-water/oxide interfaces. Further, we show the role of vacancy defects in enhancing catalytic processes by directly monitoring the charge transfer process between gaseous species and vacancy defects in non-stoichiometric p-type nickel oxide and n-type tungsten oxide using in-situ NIR-PL, electrical resistance, and X-ray photoelectron spectroscopy. We find the importance of adsorbed water and vacancy defects in affecting catalytic, electronic, electrical, and optical changes such as insulator-to-metal transitions and radiative emissions during electrochemical reactions. In addition, we demonstrate that electrochemical surface transfer doping exists in another system, specifically, in gallium nitride, and the presence of this adsorbed water film present on the surface of GaN induces electron transfer from GaN that leads to the formation of an electron depletion region on the surface.

  4. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  5. Method and apparatus for the production of metal oxide powder

    DOEpatents

    Harris, Michael T.; Scott, Timothy C.; Byers, Charles H.

    1993-01-01

    The present invention provides a method for preparing metal oxide powder. A first solution, which is substantially organic, is prepared. A second solution, which is an aqueous solution substantially immiscible in the first solution, is prepared and delivered as drops to the first solution. The drops of the second solution are atomized by a pulsed electric field forming micro-drops of the second solution. Reagents in the first solution diffuse into and react with reactants in the micro-drops of the second solution forming metal hydroxide or oxalate particles. The metal hydroxide or metal oxalate particles are then recovered and dried to produce the metal oxide powder. An apparatus for preparing a metal oxide powder is also disclosed.

  6. Method and apparatus for the production of metal oxide powder

    DOEpatents

    Harris, Michael T.; Scott, Timothy C.; Byers, Charles H.

    1992-01-01

    The present invention provides a method for preparing metal oxide powder. A first solution, which is substantially organic, is prepared. A second solution, which is an aqueous solution substantially immiscible in the first solution, is prepared and delivered as drops to the first solution. The drops of the second solution are atomized by a pulsed electric field forming micro-drops of the second solution. Reagents in the first solution diffuse into and react with reactants in the micro-drops of the second solution forming metal hydroxide or oxalate particles. The metal hydroxide or metal oxalate particles are then recovered and dried to produce the metal oxide powder. An apparatus for preparing a metal oxide powder is also disclosed.

  7. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  8. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    PubMed

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  9. Cytotoxicity, permeability, and inflammation of metal oxide nanoparticles in human cardiac microvascular endothelial cells: cytotoxicity, permeability, and inflammation of metal oxide nanoparticles.

    PubMed

    Sun, Jing; Wang, Shaochuang; Zhao, Dong; Hun, Fei Han; Weng, Lei; Liu, Hui

    2011-10-01

    Wide applications and extreme potential of metal oxide nanoparticles (NPs) increase occupational and public exposure and may yield extraordinary hazards for human health. Exposure to NPs has a risk for dysfunction of the vascular endothelial cells. The objective of this study was to assess the cytotoxicity of six metal oxide NPs to human cardiac microvascular endothelial cells (HCMECs) in vitro. Metal oxide NPs used in this study included zinc oxide (ZnO), iron(III) oxide (Fe(2)O(3)), iron(II,III) oxide (Fe(3)O(4)), magnesium oxide (MgO), aluminum oxide (Al(2)O(3)), and copper(II) oxide (CuO). The cell viability, membrane leakage of lactate dehydrogenase, intracellular reactive oxygen species, permeability of plasma membrane, and expression of inflammatory markers vascular cell adhesion molecule-1, intercellular adhesion molecule-1, macrophage cationic peptide-1, and interleukin-8 in HCMECs were assessed under controlled and exposed conditions (12-24 h and 0.001-100 μg/ml of exposure). The results indicated that Fe(2)O(3), Fe(3)O(4), and Al(2)O(3) NPs did not have significant effects on cytotoxicity, permeability, and inflammation response in HCMECs at any of the concentrations tested. ZnO, CuO, and MgO NPs produced the cytotoxicity at the concentration-dependent and time-dependent manner, and elicited the permeability and inflammation response in HCMECs. These results demonstrated that cytotoxicity, permeability, and inflammation in vascular endothelial cells following exposure to metal oxide nanoparticles depended on particle composition, concentration, and exposure time. © Springer Science+Business Media B.V. 2011

  10. Multiscale model of metal alloy oxidation at grain boundaries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sushko, Maria L., E-mail: maria.sushko@pnnl.gov; Alexandrov, Vitaly; Schreiber, Daniel K.

    2015-06-07

    High temperature intergranular oxidation and corrosion of metal alloys is one of the primary causes of materials degradation in nuclear systems. In order to gain insights into grain boundary oxidation processes, a mesoscale metal alloy oxidation model is established by combining quantum Density Functional Theory (DFT) and mesoscopic Poisson-Nernst-Planck/classical DFT with predictions focused on Ni alloyed with either Cr or Al. Analysis of species and fluxes at steady-state conditions indicates that the oxidation process involves vacancy-mediated transport of Ni and the minor alloying element to the oxidation front and the formation of stable metal oxides. The simulations further demonstrate thatmore » the mechanism of oxidation for Ni-5Cr and Ni-4Al is qualitatively different. Intergranular oxidation of Ni-5Cr involves the selective oxidation of the minor element and not matrix Ni, due to slower diffusion of Ni relative to Cr in the alloy and due to the significantly smaller energy gain upon the formation of nickel oxide compared to that of Cr{sub 2}O{sub 3}. This essentially one-component oxidation process results in continuous oxide formation and a monotonic Cr vacancy distribution ahead of the oxidation front, peaking at alloy/oxide interface. In contrast, Ni and Al are both oxidized in Ni-4Al forming a mixed spinel NiAl{sub 2}O{sub 4}. Different diffusivities of Ni and Al give rise to a complex elemental distribution in the vicinity of the oxidation front. Slower diffusing Ni accumulates in the oxide and metal within 3 nm of the interface, while Al penetrates deeper into the oxide phase. Ni and Al are both depleted from the region 3–10 nm ahead of the oxidation front creating voids. The oxide microstructure is also different. Cr{sub 2}O{sub 3} has a plate-like structure with 1.2–1.7 nm wide pores running along the grain boundary, while NiAl{sub 2}O{sub 4} has 1.5 nm wide pores in the direction parallel to the grain boundary and 0.6 nm pores in the

  11. Growth and sacrificial oxidation of transition metal nanolayers

    NASA Astrophysics Data System (ADS)

    Tsarfati, Tim; Zoethout, Erwin; van de Kruijs, Robbert; Bijkerk, Fred

    2009-04-01

    Growth and oxidation of Au, Pt, Pd, Rh, Cu, Ru, Ni and Co layers of 0.3-4.3 nm thickness on Mo have been investigated with ARPES and AFM. Co and Ni layers oxidize while the Mo remains metallic. For nobler metals, the on top O and oxidation state of subsurface Mo increase, suggesting sacrificial e - donation by Mo. Au and Cu, in spite of their significantly lower surface free energy, grow in islands on Mo and actually promote Mo oxidation. Applications of the sacrificial oxidation in nanometer thin layers exist in a range of nanoscopic devices, such as nano-electronics and protection of e.g. multilayer X-ray optics for astronomy, medicine and lithography.

  12. The Formation and Characterization of GaN Hexagonal Pyramids

    NASA Astrophysics Data System (ADS)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  13. Process for Making a Noble Metal on Tin Oxide Catalyst

    NASA Technical Reports Server (NTRS)

    Davis, Patricia; Miller, Irvin; Upchurch, Billy

    2010-01-01

    To produce a noble metal-on-metal oxide catalyst on an inert, high-surface-area support material (that functions as a catalyst at approximately room temperature using chloride-free reagents), for use in a carbon dioxide laser, requires two steps: First, a commercially available, inert, high-surface-area support material (silica spheres) is coated with a thin layer of metal oxide, a monolayer equivalent. Very beneficial results have been obtained using nitric acid as an oxidizing agent because it leaves no residue. It is also helpful if the spheres are first deaerated by boiling in water to allow the entire surface to be coated. A metal, such as tin, is then dissolved in the oxidizing agent/support material mixture to yield, in the case of tin, metastannic acid. Although tin has proven especially beneficial for use in a closed-cycle CO2 laser, in general any metal with two valence states, such as most transition metals and antimony, may be used. The metastannic acid will be adsorbed onto the high-surface-area spheres, coating them. Any excess oxidizing agent is then evaporated, and the resulting metastannic acid-coated spheres are dried and calcined, whereby the metastannic acid becomes tin(IV) oxide. The second step is accomplished by preparing an aqueous mixture of the tin(IV) oxide-coated spheres, and a soluble, chloride-free salt of at least one catalyst metal. The catalyst metal may be selected from the group consisting of platinum, palladium, ruthenium, gold, and rhodium, or other platinum group metals. Extremely beneficial results have been obtained using chloride-free salts of platinum, palladium, or a combination thereof, such as tetraammineplatinum (II) hydroxide ([Pt(NH3)4] (OH)2), or tetraammine palladium nitrate ([Pd(NH3)4](NO3)2).

  14. Metal sulfide initiators for metal oxide sorbent regeneration

    DOEpatents

    Turk, Brian S.; Gupta, Raghubir P.

    2001-01-01

    A process of regenerating a sulfided sorbent is provided. According to the process of the invention, a substantial portion of the energy necessary to initiate the regeneration reaction is provided by the combustion of a particulate metal sulfide additive. In using the particulate metal sulfide additive, the oxygen-containing gas used to regenerate the sulfided sorbent can be fed to the regeneration zone without heating or at a lower temperature than used in conventional processes wherein the regeneration reaction is initiated only by heating the oxygen-containing gas. The particulate metal sulfide additive is preferably an inexpensive mineral ore such as iron pyrite which does not adversely affect the regeneration or corresponding desulfurization reactions. The invention further includes a sorbent composition comprising the particulate metal sulfide additive in admixture with an active metal oxide sorbent capable of removing one or more sulfur compounds from a sulfur-containing gas stream.

  15. Metal sulfide initiators for metal oxide sorbent regeneration

    DOEpatents

    Turk, Brian S.; Gupta, Raghubir P.

    1999-01-01

    A process of regenerating a sulfided sorbent is provided. According to the process of the invention, a substantial portion of the energy necessary to initiate the regeneration reaction is provided by the combustion of a particulate metal sulfide additive. In using the particulate metal sulfide additive, the oxygen-containing gas used to regenerate the sulfided sorbent can be fed to the regeneration zone without heating or at a lower temperature than used in conventional processes wherein the regeneration reaction is initiated only by heating the oxygen-containing. The particulate metal sulfide additive is preferably an inexpensive mineral ore such as iron pyrite which does not adversely affect the regeneration or corresponding desulfurization reactions. The invention further includes a sorbent composition comprising the particulate metal sulfide additive in admixture with an active metal oxide sorbent capable of removing one or more sulfur compounds from a sulfur-containing gas stream.

  16. Metal sulfide initiators for metal oxide sorbent regeneration

    DOEpatents

    Turk, B.S.; Gupta, R.P.

    1999-06-22

    A process of regenerating a sulfided sorbent is provided. According to the process of the invention, a substantial portion of the energy necessary to initiate the regeneration reaction is provided by the combustion of a particulate metal sulfide additive. In using the particulate metal sulfide additive, the oxygen-containing gas used to regenerate the sulfided sorbent can be fed to the regeneration zone without heating or at a lower temperature than used in conventional processes wherein the regeneration reaction is initiated only by heating the oxygen-containing gas. The particulate metal sulfide additive is preferably an inexpensive mineral ore such as iron pyrite which does not adversely affect the regeneration or corresponding desulfurization reactions. The invention further includes a sorbent composition comprising the particulate metal sulfide additive in admixture with an active metal oxide sorbent capable of removing one or more sulfur compounds from a sulfur-containing gas stream. 1 fig.

  17. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    NASA Astrophysics Data System (ADS)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  18. Multilevel metallization method for fabricating a metal oxide semiconductor device

    NASA Technical Reports Server (NTRS)

    Hollis, B. R., Jr.; Feltner, W. R.; Bouldin, D. L.; Routh, D. E. (Inventor)

    1978-01-01

    An improved method is described of constructing a metal oxide semiconductor device having multiple layers of metal deposited by dc magnetron sputtering at low dc voltages and low substrate temperatures. The method provides multilevel interconnections and cross over between individual circuit elements in integrated circuits without significantly reducing the reliability or seriously affecting the yield.

  19. High power blue laser diodes on semipolar (202¯1¯) GaN substrates

    NASA Astrophysics Data System (ADS)

    Pourhashemi, Seyed Arash

    High power blue laser didoes (LDs), among other applications, show the promise of realizing efficient and reliable solid state lighting systems. Since first GaN optoelectronic devices were demonstrated in early 1990s, GaN LDs were traditionally fabricated on polar c-plane. However in recent years there has been a growing interest in nonpolar and semipolar planes. Nonpolar and semipolar devices offer the prospect of achieving higher efficiencies though elimination or reduction of polarization-related electric fields. In this project I investigated semipolar (202 ¯1 ¯) plane of GaN for blue LDs fabrication. Results include blue LD (Lambda=450 nm) with highest output power, differential quantum efficiency (?d) and external quantum efficiency (EQE) reported for a GaN LD on a semipolar plane to date. Output power of 2.52 W, etad=50% and EQE=39% were achieved in pulsed mode and output power of 1.71 W was achieved in true CW mode. Moreover, use of indium tin oxide (ITO) as cladding layer in order to reduce the thickness of Mg-doped p-GaN layer was investigated. Blue LDs with ITO cladding were demonstrated in this work with highest output power, etad and EQE reported for a GaN LD with transparent conducting oxide (TCO) cladding layer to date. The lack of any natural cleavage plane orthogonal to the in-plane projection of the c-axis on semipolar planes has made Cl2-based dry etch processes the most common way to form mirror facets for semipolar LDs. However, mirror facets fabricated by dry etching can be inclined or rough. For this work, mechanical polishing was used to form LD mirror facets. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high power CW semipolar LDs.

  20. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  1. A Comprehensive Review of Glucose Biosensors Based on Nanostructured Metal-Oxides

    PubMed Central

    Rahman, Md. Mahbubur; Saleh Ahammad, A. J.; Jin, Joon-Hyung; Ahn, Sang Jung; Lee, Jae-Joon

    2010-01-01

    Nanotechnology has opened new and exhilarating opportunities for exploring glucose biosensing applications of the newly prepared nanostructured materials. Nanostructured metal-oxides have been extensively explored to develop biosensors with high sensitivity, fast response times, and stability for the determination of glucose by electrochemical oxidation. This article concentrates mainly on the development of different nanostructured metal-oxide [such as ZnO, Cu(I)/(II) oxides, MnO2, TiO2, CeO2, SiO2, ZrO2, and other metal-oxides] based glucose biosensors. Additionally, we devote our attention to the operating principles (i.e., potentiometric, amperometric, impedimetric and conductometric) of these nanostructured metal-oxide based glucose sensors. Finally, this review concludes with a personal prospective and some challenges of these nanoscaled sensors. PMID:22399911

  2. Dissolution of Fe(III) (hydr) oxides by metal-EDTA complexes

    NASA Astrophysics Data System (ADS)

    Ngwack, Bernd; Sigg, Laura

    1997-03-01

    The dissolution of Fe(III)(hydr)oxides (goethite and hydrous ferric oxide) by metal-EDTA complexes occurs by ligand-promoted dissolution. The process is initiated by the adsorption of metal-EDTA complexes to the surface and is followed by the dissociation of the complex at the surface and the release of Fe(III)EDTA into solution. The dissolution rate is decreased to a great extent if EDTA is complexed by metals in comparison to the uncomplexed EDTA. The rate decreases in the order EDTA CaEDTA ≫ PbEDTA > ZnEDTA > CuEDTA > Co(II)EDTA > NiEDTA. Two different rate-limiting steps determine the dissolution process: (1) detachment of Fe(III) from the oxide-structure and (2) dissociation of the metal-EDTA complexes. In the case of goethite, step 1 is slower than step 2 and the dissolution rates by various metals are similar. In the case of hydrous ferric oxide, step 2 is rate-limiting and the effect of the complexed metal is very pronounced.

  3. Method and apparatus for the production of metal oxide powder

    DOEpatents

    Harris, M.T.; Scott, T.C.; Byers, C.H.

    1992-06-16

    The present invention provides a method for preparing metal oxide powder. A first solution, which is substantially organic, is prepared. A second solution, which is an aqueous solution substantially immiscible in the first solution, is prepared and delivered as drops to the first solution. The drops of the second solution are atomized by a pulsed electric field forming micro-drops of the second solution. Reagents in the first solution diffuse into and react with reactants in the micro-drops of the second solution forming metal hydroxide or oxalate particles. The metal hydroxide or metal oxalate particles are then recovered and dried to produce the metal oxide powder. An apparatus for preparing a metal oxide powder is also disclosed. 2 figs.

  4. Process for making a noble metal on tin oxide catalyst

    NASA Technical Reports Server (NTRS)

    Upchurch, Billy T. (Inventor); Davis, Patricia (Inventor); Miller, Irvin M. (Inventor)

    1989-01-01

    A quantity of reagent grade tin metal or compound, chloride-free, and high-surface-area silica spheres are placed in deionized water, followed by deaerating the mixture by boiling and adding an oxidizing agent, such as nitric acid. The nitric acid oxidizes the tin to metastannic acid which coats the spheres because the acid is absorbed on the substrate. The metastannic acid becomes tin oxide upon drying and calcining. The tin-oxide coated silica spheres are then placed in water and boiled. A chloride-free precious metal compound in aqueous solution is then added to the mixture containing the spheres, and the precious metal compound is reduced to a precious metal by use of a suitable reducing agent such as formic acid. Very beneficial results were obtained using the precious metal compound tetraammine platinum(II) hydroxide.

  5. Mesoporous metal oxide microsphere electrode compositions and their methods of making

    DOEpatents

    Paranthaman, Mariappan Parans; Liu, Hansan; Brown, Gilbert M.; Sun, Xiao-Guang; Bi, Zhonghe

    2016-12-06

    Compositions and methods of making are provided for mesoporous metal oxide microspheres electrodes. The mesoporous metal oxide microsphere compositions comprise (a) microspheres with an average diameter between 200 nanometers (nm) and 10 micrometers (.mu.m); (b) mesopores on the surface and interior of the microspheres, wherein the mesopores have an average diameter between 1 nm and 50 nm and the microspheres have a surface area between 50 m.sup.2/g and 500 m.sup.2/g. The methods of making comprise forming composite powders. The methods may also comprise refluxing the composite powders in a basic solution to form an etched powder, washing the etched powder with an acid to form a hydrated metal oxide, and heat-treating the hydrated metal oxide to form mesoporous metal oxide microspheres.

  6. Nanostructured carbon-metal oxide composite electrodes for supercapacitors: a review

    NASA Astrophysics Data System (ADS)

    Zhi, Mingjia; Xiang, Chengcheng; Li, Jiangtian; Li, Ming; Wu, Nianqiang

    2012-12-01

    This paper presents a review of the research progress in the carbon-metal oxide composites for supercapacitor electrodes. In the past decade, various carbon-metal oxide composite electrodes have been developed by integrating metal oxides into different carbon nanostructures including zero-dimensional carbon nanoparticles, one-dimensional nanostructures (carbon nanotubes and carbon nanofibers), two-dimensional nanosheets (graphene and reduced graphene oxides) as well as three-dimensional porous carbon nano-architectures. This paper has described the constituent, the structure and the properties of the carbon-metal oxide composites. An emphasis is placed on the synergistic effects of the composite on the performance of supercapacitors in terms of specific capacitance, energy density, power density, rate capability and cyclic stability. This paper has also discussed the physico-chemical processes such as charge transport, ion diffusion and redox reactions involved in supercapacitors.

  7. Nanostructured carbon-metal oxide composite electrodes for supercapacitors: a review.

    PubMed

    Zhi, Mingjia; Xiang, Chengcheng; Li, Jiangtian; Li, Ming; Wu, Nianqiang

    2013-01-07

    This paper presents a review of the research progress in the carbon-metal oxide composites for supercapacitor electrodes. In the past decade, various carbon-metal oxide composite electrodes have been developed by integrating metal oxides into different carbon nanostructures including zero-dimensional carbon nanoparticles, one-dimensional nanostructures (carbon nanotubes and carbon nanofibers), two-dimensional nanosheets (graphene and reduced graphene oxides) as well as three-dimensional porous carbon nano-architectures. This paper has described the constituent, the structure and the properties of the carbon-metal oxide composites. An emphasis is placed on the synergistic effects of the composite on the performance of supercapacitors in terms of specific capacitance, energy density, power density, rate capability and cyclic stability. This paper has also discussed the physico-chemical processes such as charge transport, ion diffusion and redox reactions involved in supercapacitors.

  8. Pt-decorated GaN nanowires with significant improvement in H2 gas-sensing performance at room temperature.

    PubMed

    Abdullah, Q N; Yam, F K; Hassan, Z; Bououdina, M

    2015-12-15

    Superior sensitivity towards H2 gas was successfully achieved with Pt-decorated GaN nanowires (NWs) gas sensor. GaN NWs were fabricated via chemical vapor deposition (CVD) route. Morphology (field emission scanning electron microscopy and transmission electron microscopy) and crystal structure (high resolution X-ray diffraction) characterizations of the as-synthesized nanostructures demonstrated the formation of GaN NWs having a wurtzite structure, zigzaged shape and an average diameter of 30-166nm. The Pt-decorated GaN NWs sensor shows a high response of 250-2650% upon exposure to H2 gas concentration from 7 to 1000ppm respectively at room temperature (RT), and then increases to about 650-4100% when increasing the operating temperature up to 75°C. The gas-sensing measurements indicated that the Pt-decorated GaN NWs based sensor exhibited efficient detection of H2 at low concentration with excellent sensitivity, repeatability, and free hysteresis phenomena over a period of time of 100min. The large surface-to-volume ratio of GaN NWs and the catalytic activity of Pt metal are the most influential factors leading to the enhancement of H2 gas-sensing performances through the improvement of the interaction between the target molecules (H2) and the sensing NWs surface. The attractive low-cost, low power consumption and high-performance of the resultant decorated GaN NWs gas sensor assure their uppermost potential for H2 gas sensor working at low operating temperature. Copyright © 2015 Elsevier Inc. All rights reserved.

  9. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  10. Reactivity of Metal Oxide Sorbents for Removal of H{sub 2}S

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kwon, K.C.; Crowe, E.R.

    1996-12-31

    Removal of hydrogen sulfide contained in hot coal gases produced from integrated gasification combined cycle power generation systems is required to protect downstream combustion turbines from being corroded with sulfur compounds. Removal of sulfur compounds from hot coal gas products is investigated by using various metal oxide sorbents and membrane separation methods. The main requirements of these metal oxide sorbents are durability and high sulfur loading capacity during absorption-regeneration cycles. In this research, durable metal oxide sorbents were formulated. Reactivity of the formulated metal oxide sorbents with simulated coal gas mixtures was examined to search for an ideal sorbent formulationmore » with a high-sulfur loading capacity suitable for removal of hydrogen sulfide from coal gases. The main objectives of this research are to formulate durable metal oxide sorbents with high-sulfur loading capacity by a physical mixing method, to investigate reaction kinetics on the removal of sulfur compounds from coal gases at high temperature and pressure, to study reaction kinetics on the regeneration of sulfided sorbents, to identify effects of hydrogen partial pressures and moisture on equilibrium/dynamic absorption of hydrogen sulfide into formulated metal oxide sorbents as well as initial reaction rates of H{sub 2}S with formulated metal oxide sorbents, and to evaluate intraparticular diffusivity of H{sub 2}S into formulated sorbents at various reaction conditions. The metal oxide sorbents such as TU-1, TU-19, TU-24, TU-25 and TU-28 were formulated with zinc oxide powder as an active sorbent ingredient, bentonite as a binding material and titanium oxide as a supporting metal oxide.« less

  11. A general melt-injection-decomposition route to oriented metal oxide nanowire arrays

    NASA Astrophysics Data System (ADS)

    Han, Dongqiang; Zhang, Xinwei; Hua, Zhenghe; Yang, Shaoguang

    2016-12-01

    In this manuscript, a general melt-injection-decomposition (MID) route has been proposed and realized for the fabrication of oriented metal oxide nanowire arrays. Nitrate was used as the starting materials, which was injected into the nanopores of the anodic aluminum oxide (AAO) membrane through the capillarity action in its liquid state. At higher temperature, the nitrate decomposed into corresponding metal oxide within the nanopores of the AAO membrane. Oriented metal oxide nanowire arrays were formed within the AAO membrane as a result of the confinement of the nanopores. Four kinds of metal oxide (CuO, Mn2O3, Co3O4 and Cr2O3) nanowire arrays are presented here as examples fabricated by this newly developed process. X-ray diffraction, scanning electron microscopy and transmission electron microscopy studies showed clear evidence of the formations of the oriented metal oxide nanowire arrays. Formation mechanism of the metal oxide nanowire arrays is discussed based on the Thermogravimetry and Differential Thermal Analysis measurement results.

  12. Metal/silicon Interfaces and Their Oxidation Behavior - Photoemission Spectroscopy Analysis.

    NASA Astrophysics Data System (ADS)

    Yeh, Jyh-Jye

    Synchrotron radiation photoemission spectroscopy was used to study Ni/Si and Au/Si interface properties on the atomic scale at room temperature, after high temperature annealing and after oxygen exposures. Room temperature studies of metal/Si interfaces provide background for an understanding of the interface structure after elevated temperature annealing. Oxidation studies of Si surfaces covered with metal overlayers yield insight about the effect of metal atoms in the Si oxidation mechanisms and are useful in the identification of subtle differences in bonding relations between atoms at the metal/Si interfaces. Core level and valence band spectra with variable surface sensitivities were used to study the interactions between metal, Si, and oxygen for metal coverages and oxide thickness in the monolayer region. Interface morphology at the initial stage of metal/Si interface formation and after oxidation was modeled on the basis of the evolutions of metal and Si signals at different probing depths in the photoemission experiment. Both Ni/Si and Au/Si interfaces formed at room temperature have a diffusive region at the interface. This is composed of a layer of metal-Si alloy, formed by Si outdiffusion into the metal overlayer, above a layer of interstitial metal atoms in the Si substrate. Different atomic structures of these two regions at Ni/Si interface can account for the two different growth orientations of epitaxial Ni disilicides on the Si(111) surface after thermal annealing. Annealing the Au/Si interface at high temperature depletes all the Au atoms except for one monolayer of Au on the Si(111) surface. These phenomena are attributed to differences in the metal-Si chemical bonding relations associated with specific atomic structures. After oxygen exposures, both the Ni disilicide surface and Au covered Si surfaces (with different coverages and surface orderings) show silicon in higher oxidation states, in comparison to oxidized silicon on a clean surface

  13. Silicon-on-insulator with hybrid orientations for heterogeneous integration of GaN on Si (100) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin

    2018-05-01

    Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).

  14. Displacement method and apparatus for reducing passivated metal powders and metal oxides

    DOEpatents

    Morrell,; Jonathan S. , Ripley; Edward, B [Knoxville, TN

    2009-05-05

    A method of reducing target metal oxides and passivated metals to their metallic state. A reduction reaction is used, often combined with a flux agent to enhance separation of the reaction products. Thermal energy in the form of conventional furnace, infrared, or microwave heating may be applied in combination with the reduction reaction.

  15. Characterization, sorption, and exhaustion of metal oxide nanoparticles as metal adsorbents

    NASA Astrophysics Data System (ADS)

    Engates, Karen Elizabeth

    Safe drinking water is paramount to human survival. Current treatments do not adequately remove all metals from solution, are expensive, and use many resources. Metal oxide nanoparticles are ideal sorbents for metals due to their smaller size and increased surface area in comparison to bulk media. With increasing demand for fresh drinking water and recent environmental catastrophes to show how fragile water supplies are, new approaches to water conservation incorporating new technologies like metal oxide nanoparticles should be considered as an alternative method for metal contaminant adsorbents from typical treatment methods. This research evaluated the potential of manufactured iron, anatase, and aluminum nanoparticles (Al2O3, TiO2, Fe2O3) to remove metal contaminants (Pb, Cd, Cu, Ni, Zn) in lab-controlled and natural waters in comparison to their bulk counterparts by focusing on pH, contaminant and adsorbent concentrations, particle size, and exhaustive capabilities. Microscopy techniques (SEM, BET, EDX) were used to characterize the adsorbents. Adsorption experiments were performed using 0.01, 0.1, or 0.5 g/L nanoparticles in pH 8 solution. When results were normalized by mass, nanoparticles adsorbed more than bulk particles but when surface area normalized the opposite was observed. Adsorption was pH-dependent and increased with time and solid concentration. Aluminum oxide was found to be the least acceptable adsorbent for the metals tested, while titanium dioxide anatase (TiO2) and hematite (alpha-Fe2O3) showed great ability to remove individual and multiple metals from pH 8 and natural waters. Intraparticle diffusion was likely part of the complex kinetic process for all metals using Fe2O3 but not TiO 2 nanoparticles within the first hour of adsorption. Adsorption kinetics for all metals tested were described by a modified first order rate equation used to consider the diminishing equilibrium metal concentrations with increasing metal oxides, showing faster

  16. DFT applied to the study of carbon-doped zinc-blende (cubic) GaN

    NASA Astrophysics Data System (ADS)

    Espitia R, M. J.; Ortega-López, C.; Rodríguez Martínez, J. A.

    2016-08-01

    Employing first principles within the framework of density functional theory, the structural properties, electronic structure, and magnetism of C-doped zincblende (cubic) GaN were investigated. The calculations were carried out using the pseudopotential method, employed exactly as implemented in Quantum ESPRESSO code. For GaC0.0625N0.9375 concentration, a metallic behavior was found. This metallic property comes from the hybridization and polarization of C-2p states and their neighboring N-2p and G-4p states.

  17. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    NASA Astrophysics Data System (ADS)

    Ohta, Akio; Truyen, Nguyen Xuan; Fujimura, Nobuyuki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The energy distribution of the electronic state density of wet-cleaned epitaxial GaN surfaces and SiO2/GaN structures has been studied by total photoelectron yield spectroscopy (PYS). By X-ray photoelectron spectroscopy (XPS) analysis, the energy band diagram for a wet-cleaned epitaxial GaN surface such as the energy level of the valence band top and electron affinity has been determined to obtain a better understanding of the measured PYS signals. The electronic state density of GaN surface with different carrier concentrations in the energy region corresponding to the GaN bandgap has been evaluated. Also, the interface defect state density of SiO2/GaN structures was also estimated by not only PYS analysis but also capacitance–voltage (C–V) characteristics. We have demonstrated that PYS analysis enables the evaluation of defect state density filled with electrons at the SiO2/GaN interface in the energy region corresponding to the GaN midgap, which is difficult to estimate by C–V measurement of MOS capacitors.

  18. Pulsed photonic fabrication of nanostructured metal oxide thin films

    NASA Astrophysics Data System (ADS)

    Bourgeois, Briley B.; Luo, Sijun; Riggs, Brian C.; Adireddy, Shiva; Chrisey, Douglas B.

    2017-09-01

    Nanostructured metal oxide thin films with a large specific surface area are preferable for practical device applications in energy conversion and storage. Herein, we report instantaneous (milliseconds) photonic synthesis of three-dimensional (3-D) nanostructured metal oxide thin films through the pulsed photoinitiated pyrolysis of organometallic precursor films made by chemical solution deposition. High wall-plug efficiency-pulsed photonic irradiation (xenon flash lamp, pulse width of 1.93 ms, fluence of 7.7 J/cm2 and frequency of 1.2 Hz) is used for scalable photonic processing. The photothermal effect of subsequent pulses rapidly improves the crystalline quality of nanocrystalline metal oxide thin films in minutes. The following paper highlights pulsed photonic fabrication of 3-D nanostructured TiO2, Co3O4, and Fe2O3 thin films, exemplifying a promising new method for the low-cost and high-throughput manufacturing of nanostructured metal oxide thin films for energy applications.

  19. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  20. Cryochemical method for forming spherical metal oxide particles from metal salt solutions

    DOEpatents

    Tinkle, M.C.

    1973-12-01

    A method is described of preparing small metal oxide spheres cryochemically utilizing metal salts (e.g., nitrates) that cannot readily be dried and calcined without loss of sphericity of the particles. Such metal salts are cryochemically formed into small spheres, partially or completely converted to an insoluble salt, and dried and calcined. (Official Gazette)

  1. New GaN Schottky barrier diode employing a trench on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Ha, Min-Woo; Lee, Seung-Chul; Choi, Young-Hwan; Kim, Soo-Seong; Yun, Chong-Man; Han, Min-Koo

    2006-10-01

    A new GaN Schottky barrier diode employing a trench structure, which is proposed and fabricated, successfully decreases a forward voltage drop without sacrificing any other electric characteristics. The trench is located in the middle of Schottky contact during a mesa etch. The Schottky metal of Pt/Mo/Ti/Au is e-gun evaporated on the 300 nm-deep trench as well as the surface of the proposed GaN Schottky barrier diode. The trench forms the vertical Au Schottky contact and lateral Pt Schottky contact due to the evaporation sequence of Schottky metal. The forward voltage drops of the proposed diode and conventional one are 0.73 V and 1.25 V respectively because the metal work function (5.15 eV) of the vertical Au Schottky contact is considerably less than that of the lateral Pt Schottky contact (5.65 eV). The proposed diode exhibits the low on-resistance of 1.58 mΩ cm 2 while the conventional one exhibits 8.20 mΩ cm 2 due to the decrease of a forward voltage drop.

  2. Morphological Control of Metal Oxide-Doped Zinc Oxide and Application to Cosmetics

    NASA Astrophysics Data System (ADS)

    Goto, Takehiro; Yin, Shu; Sato, Tsugio; Tanaka, Takumi

    2012-06-01

    Zinc oxide shows excellent transparency and ultraviolet radiation shielding ability, and is used for various cosmetics.1-3 However, it possesses high catalytic activity and lower dispersibility. Therefore, spherical particles of zinc oxide have been synthesized by soft solution reaction using zinc nitrate, ethylene glycol, sodium hydroxide and triethanolamine as starting materials. After dissolving these compounds in water, the solution was heated at 90°C for 1 h to form almost mono-dispersed spherical zinc oxide particles. The particle size changed depending on zinc ion concentration, ethylene glycol concentration and so on. Furthermore, with doping some metal ions, the phtocatalytic activity could be decreased. The obtained monodispersed metal ion-doped spherical zinc oxides showed excellent UV shielding ability and low photocatalytic activity. Therefore, they are expected to be used as cosmetics ingredients.

  3. Metal oxide nanostructures and their gas sensing properties: a review.

    PubMed

    Sun, Yu-Feng; Liu, Shao-Bo; Meng, Fan-Li; Liu, Jin-Yun; Jin, Zhen; Kong, Ling-Tao; Liu, Jin-Huai

    2012-01-01

    Metal oxide gas sensors are predominant solid-state gas detecting devices for domestic, commercial and industrial applications, which have many advantages such as low cost, easy production, and compact size. However, the performance of such sensors is significantly influenced by the morphology and structure of sensing materials, resulting in a great obstacle for gas sensors based on bulk materials or dense films to achieve highly-sensitive properties. Lots of metal oxide nanostructures have been developed to improve the gas sensing properties such as sensitivity, selectivity, response speed, and so on. Here, we provide a brief overview of metal oxide nanostructures and their gas sensing properties from the aspects of particle size, morphology and doping. When the particle size of metal oxide is close to or less than double thickness of the space-charge layer, the sensitivity of the sensor will increase remarkably, which would be called "small size effect", yet small size of metal oxide nanoparticles will be compactly sintered together during the film coating process which is disadvantage for gas diffusion in them. In view of those reasons, nanostructures with many kinds of shapes such as porous nanotubes, porous nanospheres and so on have been investigated, that not only possessed large surface area and relatively mass reactive sites, but also formed relatively loose film structures which is an advantage for gas diffusion. Besides, doping is also an effective method to decrease particle size and improve gas sensing properties. Therefore, the gas sensing properties of metal oxide nanostructures assembled by nanoparticles are reviewed in this article. The effect of doping is also summarized and finally the perspectives of metal oxide gas sensor are given.

  4. Metal Oxide Nanostructures and Their Gas Sensing Properties: A Review

    PubMed Central

    Sun, Yu-Feng; Liu, Shao-Bo; Meng, Fan-Li; Liu, Jin-Yun; Jin, Zhen; Kong, Ling-Tao; Liu, Jin-Huai

    2012-01-01

    Metal oxide gas sensors are predominant solid-state gas detecting devices for domestic, commercial and industrial applications, which have many advantages such as low cost, easy production, and compact size. However, the performance of such sensors is significantly influenced by the morphology and structure of sensing materials, resulting in a great obstacle for gas sensors based on bulk materials or dense films to achieve highly-sensitive properties. Lots of metal oxide nanostructures have been developed to improve the gas sensing properties such as sensitivity, selectivity, response speed, and so on. Here, we provide a brief overview of metal oxide nanostructures and their gas sensing properties from the aspects of particle size, morphology and doping. When the particle size of metal oxide is close to or less than double thickness of the space-charge layer, the sensitivity of the sensor will increase remarkably, which would be called “small size effect”, yet small size of metal oxide nanoparticles will be compactly sintered together during the film coating process which is disadvantage for gas diffusion in them. In view of those reasons, nanostructures with many kinds of shapes such as porous nanotubes, porous nanospheres and so on have been investigated, that not only possessed large surface area and relatively mass reactive sites, but also formed relatively loose film structures which is an advantage for gas diffusion. Besides, doping is also an effective method to decrease particle size and improve gas sensing properties. Therefore, the gas sensing properties of metal oxide nanostructures assembled by nanoparticles are reviewed in this article. The effect of doping is also summarized and finally the perspectives of metal oxide gas sensor are given. PMID:22736968

  5. Fluidized reduction of oxides on fine metal powders without sintering

    NASA Technical Reports Server (NTRS)

    Hayashi, T.

    1985-01-01

    In the process of reducing extremely fine metal particles (av. particle size or = 1000 angstroms) covered with an oxide layer, the metal particles are fluidized by a gas flow contg. H, heated, and reduced. The method uniformly and easily reduces surface oxide layers of the extremely fine metal particles without causing sintering. The metal particles are useful for magnetic recording materials, conductive paste, powder metallurgy materials, chem. reagents, and catalysts.

  6. Solid-State Diffusional Behaviors of Functional Metal Oxides at Atomic Scale.

    PubMed

    Chen, Jui-Yuan; Huang, Chun-Wei; Wu, Wen-Wei

    2018-02-01

    Metal/metal oxides have attracted extensive research interest because of their combination of functional properties and compatibility with industry. Diffusion and thermal reliability have become essential issues that require detailed study to develop atomic-scaled functional devices. In this work, the diffusional reaction behavior that transforms piezoelectric ZnO into magnetic Fe 3 O 4 is investigated at the atomic scale. The growth kinetics of metal oxides are systematically studied through macro- and microanalyses. The growth rates are evaluated by morphology changes, which determine whether the growth behavior was a diffusion- or reaction-controlled process. Furthermore, atom attachment on the kink step is observed at the atomic scale, which has important implications for the thermodynamics of functional metal oxides. Faster growth planes simultaneously decrease, which result in the predominance of low surface energy planes. These results directly reveal the atomic formation process of metal oxide via solid-state diffusion. In addition, the nanofabricated method provides a novel approach to investigate metal oxide evolution and sheds light on diffusional reaction behavior. More importantly, the results and phenomena of this study provide considerable inspiration to enhance the material stability and reliability of metal/oxide-based devices. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Oxidation catalysts comprising metal exchanged hexaaluminate wherein the metal is Sr, Pd, La, and/or Mn

    DOEpatents

    Wickham, David [Boulder, CO; Cook, Ronald [Lakewood, CO

    2008-10-28

    The present invention provides metal-exchanged hexaaluminate catalysts that exhibit good catalytic activity and/or stability at high temperatures for extended periods with retention of activity as combustion catalysts, and more generally as oxidation catalysts, that make them eminently suitable for use in methane combustion, particularly for use in natural gas fired gas turbines. The hexaaluminate catalysts of this invention are of particular interest for methane combustion processes for minimization of the generation of undesired levels (less than about 10 ppm) of NOx species. Metal exchanged hexaaluminate oxidation catalysts are also useful for oxidation of volatile organic compounds (VOC), particularly hydrocarbons. Metal exchanged hexaaluminate oxidation catalysts are further useful for partial oxidation, particularly at high temperatures, of reduced species, particularly hydrocarbons (alkanes and alkenes).

  8. Kinetic and catalytic analysis of mesoporous metal oxides on the oxidation of Rhodamine B

    NASA Astrophysics Data System (ADS)

    Xaba, Morena S.; Noh, Ji-Hyang; Mokgadi, Keabetswe; Meijboom, Reinout

    2018-05-01

    In this study, we demonstrate the synthesis and catalytic activity of different mesoporous transition metal oxides, silica (SiO2), copper oxide (CuO), chromium oxide (Cr2O3), iron oxide (Fe2O3) cobalt oxide (Co3O4), cerium oxide (CeO2) and nickel oxide (NiO), on the oxidation of a pollutant dye, Rhodamine B (RhB). These metal oxides were synthesized by inverse micelle formation method and characterized by transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray diffraction (XRD), adsorption-desorption isotherms (BET) and H2-temperature programmed reduction (TPR). UV-vis spectrophotometry was used to monitor the time-resolved absorbance of RhB at λmax = 554 nm. Mesoporous copper oxide was calcined at different final heating temperatures of 250, 350, 450 and 550 °C, and each mesoporous copper oxide catalyst showed unique physical properties and catalytic behavior. Mesoporous CuO-550 with the smallest characteristic path length δ, proved to be the catalyst of choice for the oxidation of RhB in aqueous media. We observed that the oxidation of RhB in aqueous media is dependent on the crystallite size and characteristic path length of the mesoporous metal oxide. The Langmuir-Hinshelwood model was used to fit the experimental data and to prove that the reaction occurs on the surface of the mesoporous CuO. The thermodynamic parameters, EA, ΔH#, ΔS# and ΔG# were calculated and catalyst recycling and reusability were demonstrated.

  9. Electron microscopy study of gold nanoparticles deposited on transition metal oxides.

    PubMed

    Akita, Tomoki; Kohyama, Masanori; Haruta, Masatake

    2013-08-20

    Many researchers have investigated the catalytic performance of gold nanoparticles (GNPs) supported on metal oxides for various catalytic reactions of industrial importance. These studies have consistently shown that the catalytic activity and selectivity depend on the size of GNPs, the kind of metal oxide supports, and the gold/metal oxide interface structure. Although researchers have proposed several structural models for the catalytically active sites and have identified the specific electronic structures of GNPs induced by the quantum effect, recent experimental and theoretical studies indicate that the perimeter around GNPs in contact with the metal oxide supports acts as an active site in many reactions. Thus, it is of immense importance to investigate the detailed structures of the perimeters and the contact interfaces of gold/metal oxide systems by using electron microscopy at an atomic scale. This Account describes our investigation, at the atomic scale using electron microscopy, of GNPs deposited on metal oxides. In particular, high-resolution transmission electron microscopy (HRTEM) and high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) are valuable tools to observe local atomic structures, as has been successfully demonstrated for various nanoparticles, surfaces, and material interfaces. TEM can be applied to real powder catalysts as received without making special specimens, in contrast to what is typically necessary to observe bulk materials. For precise structure analyses at an atomic scale, model catalysts prepared by using well-defined single-crystalline substrates are also adopted for TEM observations. Moreover, aberration-corrected TEM, which has high spatial resolution under 0.1 nm, is a promising tool to observe the interface structure between GNPs and metal oxide supports including oxygen atoms at the interfaces. The oxygen atoms in particular play an important role in the behavior of gold/metal oxide

  10. Clustered atom-replaced structure in single-crystal-like metal oxide

    NASA Astrophysics Data System (ADS)

    Araki, Takeshi; Hayashi, Mariko; Ishii, Hirotaka; Yokoe, Daisaku; Yoshida, Ryuji; Kato, Takeharu; Nishijima, Gen; Matsumoto, Akiyoshi

    2018-06-01

    By means of metal organic deposition using trifluoroacetates (TFA-MOD), we replaced and localized two or more atoms in a single-crystalline structure having almost perfect orientation. Thus, we created a new functional structure, namely, clustered atom-replaced structure (CARS), having single-crystal-like metal oxide. We replaced metals in the oxide with Sm and Lu and localized them. Energy dispersive x-ray spectroscopy results, where the Sm signal increases with the Lu signal in the single-crystalline structure, confirm evidence of CARS. We also form other CARS with three additional metals, including Pr. The valence number of Pr might change from 3+ to approximately 4+, thereby reducing the Pr–Ba distance. We directly observed the structure by a high-angle annular dark-field image, which provided further evidence of CARS. The key to establishing CARS is an equilibrium chemical reaction and a combination of additional larger and smaller unit cells to matrix cells. We made a new functional metal oxide with CARS and expect to realize CARS in other metal oxide structures in the future by using the above-mentioned process.

  11. Multiscale model of metal alloy oxidation at grain boundaries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sushko, Maria L.; Alexandrov, Vitali Y.; Schreiber, Daniel K.

    2015-06-07

    High temperature intergranular oxidation and corrosion of metal alloys is one of the primary causes of materials degradation in nuclear systems. In order to gain insights into grain boundary oxidation processes, a mesoscale metal alloy oxidation model at experimentally relevant length scales is established by combining quantum Density Functional Theory (DFT) and mesoscopic Poisson-Nernst-Planck/classical DFT with predictions focused on Ni alloyed with either Cr or Al. Analysis of species and fluxes at steady-state conditions indicates that the oxidation process involves vacancy-mediated transport of Ni and the minor alloying element to the oxidation front and the formation of stable metal oxides.more » The simulations further demonstrate that the mechanism of oxidation for Ni-5Cr and Ni-4Al is qualitatively different. Intergranular oxidation of Ni-5Cr involves the selective oxidation of the minor element and not matrix Ni, due to slower diffusion of Ni relative to Cr in the alloy and due to the significantly smaller energy gain upon the formation of nickel oxide compared to that of Cr2O3. This essentially one-component oxidation process results in continuous oxide formation and a monotonic Cr vacancy distribution ahead of the oxidation front, peaking at alloy/oxide interface. In contrast, Ni and Al are both oxidized in Ni-4Al forming a mixed spinel NiAl2O4. Different diffusivities of Ni and Al give rise to a complex elemental distribution in the vicinity of the oxidation front. Slower diffusing Ni accumulates in the oxide and metal within 3 nm of the interface, while Al penetrates deeper into the oxide phase. Ni and Al are both depleted from the region 3–10 nm ahead of the oxidation front creating voids. The oxide microstructure is also different. Cr2O3 has a plate-like structure with 1.2 - 1.7 nm wide pores running along the grain boundary, while NiAl2O4 has 1.5 nm wide pores in the direction parallel to the grain boundary and 0.6 nm pores in the perpendicular

  12. Stable and High Piezoelectric Output of GaN Nanowire-Based Lead-Free Piezoelectric Nanogenerator by Suppression of Internal Screening.

    PubMed

    Johar, Muhammad Ali; Hassan, Mostafa Afifi; Waseem, Aadil; Ha, Jun-Seok; Lee, June Key; Ryu, Sang-Wan

    2018-06-14

    A piezoelectric nanogenerator (PNG) that is based on c-axis GaN nanowires is fabricated on flexible substrate. In this regard, c-axis GaN nanowires were grown on GaN substrate using the vapor-liquid-solid (VLS) technique by metal organic chemical vapor deposition. Further, Polydimethylsiloxane (PDMS) was coated on nanowire-arrays then PDMS matrix embedded with GaN nanowire-arrays was transferred on Si-rubber substrate. The piezoelectric performance of nanowire-based flexible PNG was measured, while the device was actuated using a cyclic stretching-releasing agitation mechanism that was driven by a linear motor. The piezoelectric output was measured as a function of actuation frequency ranging from 1 Hz to 10 Hz and a linear tendency was observed for piezoelectric output current, while the output voltages remained constant. A maximum of piezoelectric open circuit voltages and short circuit current were measured 15.4 V and 85.6 nA, respectively. In order to evaluate the feasibility of our flexible PNG for real application, a long term stability test was performed for 20,000 cycles and the device performance was degraded by less than 18%. The underlying reason for the high piezoelectric output was attributed to the reduced free carriers inside nanowires due to surface Fermi-level pinning and insulating metal-dielectric-semiconductor interface, respectively; the former reduced the free carrier screening radially while latter reduced longitudinally. The flexibility and the high aspect ratio of GaN nanowire were the responsible factors for higher stability. Such higher piezoelectric output and the novel design make our device more promising for the diverse range of real applications.

  13. Effect of stacking faults on the photoluminescence spectrum of zincblende GaN

    NASA Astrophysics Data System (ADS)

    Church, S. A.; Hammersley, S.; Mitchell, P. W.; Kappers, M. J.; Lee, L. Y.; Massabuau, F.; Sahonta, S. L.; Frentrup, M.; Shaw, L. J.; Wallis, D. J.; Humphreys, C. J.; Oliver, R. A.; Binks, D. J.; Dawson, P.

    2018-05-01

    The photoluminescence spectra of a zincblende GaN epilayer grown via metal-organic chemical vapour deposition upon 3C-SiC/Si (001) substrates were investigated. Of particular interest was a broad emission band centered at 3.4 eV, with a FWHM of 200 meV, which extends above the bandgap of both zincblende and wurtzite GaN. Photoluminescence excitation measurements show that this band is associated with an absorption edge centered at 3.6 eV. Photoluminescence time decays for the band are monoexponential, with lifetimes that reduce from 0.67 ns to 0.15 ns as the recombination energy increases. TEM measurements show no evidence of wurtzite GaN inclusions which are typically used to explain emission in this energy range. However, dense stacking fault bunches are present in the epilayers. A model for the band alignment at the stacking faults was developed to explain this emission band, showing how both electrons and holes can be confined adjacent to stacking faults. Different stacking fault separations can change the carrier confinement energies sufficiently to explain the width of the emission band, and change the carrier wavefunction overlap to account for the variation in decay time.

  14. GaN transistors on Si for switching and high-frequency applications

    NASA Astrophysics Data System (ADS)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  15. Development of metal oxide impregnated stilbite thick film ethanol sensor

    NASA Astrophysics Data System (ADS)

    Mahabole, M. P.; Lakhane, M. A.; Choudhari, A. L.; Khairnar, R. S.

    2016-05-01

    This paper presents the study of the sensing efficiency of Titanium oxide/ Stilbite and Copper oxide /Stilbite composites towards detection of hazardous pollutants like ethanol. Stilbite based composites are prepared by physically mixing zeolite with metal oxides namely TiO2 and CuO with weight ratios of 25:75, 50:50 and 75:25. The resulting sensor materials are characterized by X-ray diffraction and Fourier Transform Infrared Spectroscopy techniques. Composite sensors are fabricated in the form of thick film by using screen printing technique. The effect of metal oxide concentration on various ethanol sensing parameters such as operating temperature, maximum uptake capacity and response/recovery time are investigated. The results indicate that metal oxide impregnated stilbite composites have great potential as low temperature ethanol sensor.

  16. Metal Oxide Nanomaterial QNAR Models: Available Structural Descriptors and Understanding of Toxicity Mechanisms

    PubMed Central

    Ying, Jiali; Zhang, Ting; Tang, Meng

    2015-01-01

    Metal oxide nanomaterials are widely used in various areas; however, the divergent published toxicology data makes it difficult to determine whether there is a risk associated with exposure to metal oxide nanomaterials. The application of quantitative structure activity relationship (QSAR) modeling in metal oxide nanomaterials toxicity studies can reduce the need for time-consuming and resource-intensive nanotoxicity tests. The nanostructure and inorganic composition of metal oxide nanomaterials makes this approach different from classical QSAR study; this review lists and classifies some structural descriptors, such as size, cation charge, and band gap energy, in recent metal oxide nanomaterials quantitative nanostructure activity relationship (QNAR) studies and discusses the mechanism of metal oxide nanomaterials toxicity based on these descriptors and traditional nanotoxicity tests. PMID:28347085

  17. Elemental Metals or Oxides Distributed on a Carbon Substrate or Self-Supported and the Manufacturing Process Using Graphite Oxide as Template

    NASA Technical Reports Server (NTRS)

    Hung, Ching-Chen (Inventor)

    1999-01-01

    A process for providing elemental metals or metal oxides distributed on a carbon substrate or self-supported utilizing graphite oxide as a percursor. The graphite oxide is exposed to one or more metal chlorides to form an intermediary product comprising carbon, metal, chloride, and oxygen. This intermediary product can be further processed by direct exposure to carbonate solutions to form a second intermediary product comprising carbon, metal carbonate, and oxygen. Either intermediary product may be further processed: a) in air to produce metal oxide; b) in an inert environment to produce metal oxide on carbon substrate; c) in a reducing environment to produce elemental metal distributed on carbon substrate. The product generally takes the shape of the carbon precursor.

  18. Elemental Metals or Oxides Distributed on a Carbon Substrate or Self-Supported and the Manufacturing Process Using Graphite Oxide as Template

    NASA Technical Reports Server (NTRS)

    Hung, Ching-Cheh (Inventor)

    1999-01-01

    A process for providing elemental metals or metal oxides distributed on a carbon substrate or self-supported utilizing graphite oxide as a precursor. The graphite oxide is exposed to one or more metal chlorides to form an intermediary product comprising carbon, metal, chloride, and oxygen. This intermediary product can be further processed by direct exposure to carbonate-solutions to form a second intermediary product comprising carbon, metal carbonate, and oxygen. Either intermediary product may be further processed: a) in air to produce metal oxide; b) in an inert environment to produce metal oxide on carbon substrate; c) in a reducing environment to produce elemental metal distributed on carbon substrate. The product generally takes the shape of the carbon precursor.

  19. The Effect of Metal Oxide on Nanoparticles from Thermite Reactions

    ERIC Educational Resources Information Center

    Moore, Lewis Ryan

    2006-01-01

    The purpose of this research was to determine how metal oxide used in a thermite reaction can impact the production of nanoparticles. The results showed the presence of nanoparticles (less than 1 micron in diameter) of at least one type produced by each metal oxide. The typical particles were metallic spheres, which ranged from 300 nanometers in…

  20. 3D Architectured Graphene/Metal Oxide Hybrids for Gas Sensors: A Review

    PubMed Central

    Xia, Yi; Li, Ran; Chen, Ruosong; Wang, Jing; Xiang, Lan

    2018-01-01

    Graphene/metal oxide-based materials have been demonstrated as promising candidates for gas sensing applications due to the enhanced sensing performance and synergetic effects of the two components. Plenty of metal oxides such as SnO2, ZnO, WO3, etc. have been hybridized with graphene to improve the gas sensing properties. However, graphene/metal oxide nanohybrid- based gas sensors still have several limitations in practical application such as the insufficient sensitivity and response rate, and long recovery time in some cases. To achieve higher sensing performances of graphene/metal oxides nanocomposites, many recent efforts have been devoted to the controllable synthesis of 3D graphene/metal oxides architectures owing to their large surface area and well-organized structure for the enhanced gas adsorption/diffusion on sensing films. This review summarizes recent advances in the synthesis, assembly, and applications of 3D architectured graphene/metal oxide hybrids for gas sensing. PMID:29735951

  1. A liquid metal reaction environment for the room-temperature synthesis of atomically thin metal oxides

    NASA Astrophysics Data System (ADS)

    Zavabeti, Ali; Ou, Jian Zhen; Carey, Benjamin J.; Syed, Nitu; Orrell-Trigg, Rebecca; Mayes, Edwin L. H.; Xu, Chenglong; Kavehei, Omid; O'Mullane, Anthony P.; Kaner, Richard B.; Kalantar-zadeh, Kourosh; Daeneke, Torben

    2017-10-01

    Two-dimensional (2D) oxides have a wide variety of applications in electronics and other technologies. However, many oxides are not easy to synthesize as 2D materials through conventional methods. We used nontoxic eutectic gallium-based alloys as a reaction solvent and co-alloyed desired metals into the melt. On the basis of thermodynamic considerations, we predicted the composition of the self-limiting interfacial oxide. We isolated the surface oxide as a 2D layer, either on substrates or in suspension. This enabled us to produce extremely thin subnanometer layers of HfO2, Al2O3, and Gd2O3. The liquid metal-based reaction route can be used to create 2D materials that were previously inaccessible with preexisting methods. The work introduces room-temperature liquid metals as a reaction environment for the synthesis of oxide nanomaterials with low dimensionality.

  2. Thermochemical analyses of the oxidative vaporization of metals and oxides by oxygen molecules and atoms

    NASA Technical Reports Server (NTRS)

    Kohl, F. J.; Leisz, D. M.; Fryburg, G. C.; Stearns, C. A.

    1977-01-01

    Equilibrium thermochemical analyses are employed to describe the vaporization processes of metals and metal oxides upon exposure to molecular and atomic oxygen. Specific analytic results for the chromium-, platinum-, aluminum-, and silicon-oxygen systems are presented. Maximum rates of oxidative vaporization predicted from the thermochemical considerations are compared with experimental results for chromium and platinum. The oxidative vaporization rates of chromium and platinum are considerably enhanced by oxygen atoms.

  3. Reactor process using metal oxide ceramic membranes

    DOEpatents

    Anderson, Marc A.

    1994-01-01

    A reaction vessel for use in photoelectrochemical reactions includes as its reactive surface a metal oxide porous ceramic membrane of a catalytic metal such as titanium. The reaction vessel includes a light source and a counter electrode. A provision for applying an electrical bias between the membrane and the counter electrode permits the Fermi levels of potential reaction to be favored so that certain reactions may be favored in the vessel. The electrical biasing is also useful for the cleaning of the catalytic membrane. Also disclosed is a method regenerating a porous metal oxide ceramic membrane used in a photoelectrochemical catalytic process by periodically removing the reactants and regenerating the membrane using a variety of chemical, thermal, and electrical techniques.

  4. Reactor process using metal oxide ceramic membranes

    DOEpatents

    Anderson, M.A.

    1994-05-03

    A reaction vessel for use in photoelectrochemical reactions includes as its reactive surface a metal oxide porous ceramic membrane of a catalytic metal such as titanium. The reaction vessel includes a light source and a counter electrode. A provision for applying an electrical bias between the membrane and the counter electrode permits the Fermi levels of potential reaction to be favored so that certain reactions may be favored in the vessel. The electrical biasing is also useful for the cleaning of the catalytic membrane. Also disclosed is a method regenerating a porous metal oxide ceramic membrane used in a photoelectrochemical catalytic process by periodically removing the reactants and regenerating the membrane using a variety of chemical, thermal, and electrical techniques. 2 figures.

  5. Photoluminescence enhancement from GaN by beryllium doping

    NASA Astrophysics Data System (ADS)

    García-Gutiérrez, R.; Ramos-Carrazco, A.; Berman-Mendoza, D.; Hirata, G. A.; Contreras, O. E.; Barboza-Flores, M.

    2016-10-01

    High quality Be-doped (Be = 0.19 at.%) GaN powder has been grown by reacting high purity Ga diluted alloys (Be-Ga) with ultra high purity ammonia in a horizontal quartz tube reactor at 1200 °C. An initial low-temperature treatment to dissolve ammonia into the Ga melt produced GaN powders with 100% reaction efficiency. Doping was achieved by dissolving beryllium into the gallium metal. The powders synthesized by this method regularly consist of two particle size distributions: large hollow columns with lengths between 5 and 10 μm and small platelets in a range of diameters among 1 and 3 μm. The GaN:Be powders present a high quality polycrystalline profile with preferential growth on the [10 1 bar 1] plane, observed by means of X-ray diffraction. The three characteristics growth planes of the GaN crystalline phase were found by using high resolution TEM microscopy. The optical enhancing of the emission in the GaN powder is attributed to defects created with the beryllium doping. The room temperature photoluminescence emission spectra of GaN:Be powders, revealed the presence of beryllium on a shoulder peak at 3.39 eV and an unusual Y6 emission at 3.32eV related to surface donor-acceptor pairs. Also, a donor-acceptor-pair transition at 3.17 eV and a phonon replica transition at 3.1 eV were observed at low temperature (10 K). The well-known yellow luminescence band coming from defects was observed in both spectra at room and low temperature. Cathodoluminescence emission from GaN:Be powders presents two main peaks associated with an ultraviolet band emission and the yellow emission known from defects. To study the trapping levels related with the defects formed in the GaN:Be, thermoluminescence glow curves were obtained using UV and β radiation in the range of 50 and 150 °C.

  6. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  7. Metal-oxide-metal point contact junction detectors. [detection mechanism and mechanical stability

    NASA Technical Reports Server (NTRS)

    Baird, J.; Havemann, R. H.; Fults, R. D.

    1973-01-01

    The detection mechanism(s) and design of a mechanically stable metal-oxide-metal point contact junction detector are considered. A prototype for a mechanically stable device has been constructed and tested. A technique has been developed which accurately predicts microwave video detector and heterodyne mixer SIM (semiconductor-insulator-metal) diode performance from low dc frequency volt-ampere curves. The difference in contact potential between the two metals and geometrically induced rectification constitute the detection mechanisms.

  8. A molecular cross-linking approach for hybrid metal oxides.

    PubMed

    Jung, Dahee; Saleh, Liban M A; Berkson, Zachariah J; El-Kady, Maher F; Hwang, Jee Youn; Mohamed, Nahla; Wixtrom, Alex I; Titarenko, Ekaterina; Shao, Yanwu; McCarthy, Kassandra; Guo, Jian; Martini, Ignacio B; Kraemer, Stephan; Wegener, Evan C; Saint-Cricq, Philippe; Ruehle, Bastian; Langeslay, Ryan R; Delferro, Massimiliano; Brosmer, Jonathan L; Hendon, Christopher H; Gallagher-Jones, Marcus; Rodriguez, Jose; Chapman, Karena W; Miller, Jeffrey T; Duan, Xiangfeng; Kaner, Richard B; Zink, Jeffrey I; Chmelka, Bradley F; Spokoyny, Alexander M

    2018-04-01

    There is significant interest in the development of methods to create hybrid materials that transform capabilities, in particular for Earth-abundant metal oxides, such as TiO 2 , to give improved or new properties relevant to a broad spectrum of applications. Here we introduce an approach we refer to as 'molecular cross-linking', whereby a hybrid molecular boron oxide material is formed from polyhedral boron-cluster precursors of the type [B 12 (OH) 12 ] 2- . This new approach is enabled by the inherent robustness of the boron-cluster molecular building block, which is compatible with the harsh thermal and oxidizing conditions that are necessary for the synthesis of many metal oxides. In this work, using a battery of experimental techniques and materials simulation, we show how this material can be interfaced successfully with TiO 2 and other metal oxides to give boron-rich hybrid materials with intriguing photophysical and electrochemical properties.

  9. A molecular cross-linking approach for hybrid metal oxides

    NASA Astrophysics Data System (ADS)

    Jung, Dahee; Saleh, Liban M. A.; Berkson, Zachariah J.; El-Kady, Maher F.; Hwang, Jee Youn; Mohamed, Nahla; Wixtrom, Alex I.; Titarenko, Ekaterina; Shao, Yanwu; McCarthy, Kassandra; Guo, Jian; Martini, Ignacio B.; Kraemer, Stephan; Wegener, Evan C.; Saint-Cricq, Philippe; Ruehle, Bastian; Langeslay, Ryan R.; Delferro, Massimiliano; Brosmer, Jonathan L.; Hendon, Christopher H.; Gallagher-Jones, Marcus; Rodriguez, Jose; Chapman, Karena W.; Miller, Jeffrey T.; Duan, Xiangfeng; Kaner, Richard B.; Zink, Jeffrey I.; Chmelka, Bradley F.; Spokoyny, Alexander M.

    2018-03-01

    There is significant interest in the development of methods to create hybrid materials that transform capabilities, in particular for Earth-abundant metal oxides, such as TiO2, to give improved or new properties relevant to a broad spectrum of applications. Here we introduce an approach we refer to as `molecular cross-linking', whereby a hybrid molecular boron oxide material is formed from polyhedral boron-cluster precursors of the type [B12(OH)12]2-. This new approach is enabled by the inherent robustness of the boron-cluster molecular building block, which is compatible with the harsh thermal and oxidizing conditions that are necessary for the synthesis of many metal oxides. In this work, using a battery of experimental techniques and materials simulation, we show how this material can be interfaced successfully with TiO2 and other metal oxides to give boron-rich hybrid materials with intriguing photophysical and electrochemical properties.

  10. Structure of metal-oxide Ti-Ta-(Ti,Ta)xOy coatings during spark alloying and induction-thermal oxidation

    NASA Astrophysics Data System (ADS)

    Koshuro, V.; Fomin, A.; Fomina, M.; Rodionov, I.; Brzhozovskii, B.; Martynov, V.; Zakharevich, A.; Aman, A.; Oseev, A.; Majcherek, S.; Hirsch, S.

    2016-08-01

    The study focuses on combined spark alloying of titanium and titanium alloy surface and porous matrix structure oxidation. The metal-oxide coatings morphology is the result of melt drop transfer, heat treatment, and oxidation. The study establishes the influence of technological regimes of alloying and oxidation on morphological heterogeneity of metal- oxide system Ti-Ta-(Ti,Ta)xOy.

  11. CATALYTIC OXIDATION OF DIMETHYL SULFIDE WITH OZONE: EFFECT OF PROMOTER AND PHYSICO-CHEMICAL PROPERTIES OF METAL OXIDE CATALYSTS

    EPA Science Inventory

    This study reports improved catalytic activities and stabilities for the oxidation of dimethyl sulfide (DMS), a major pollutant of pulp and paper mills. Ozone was used as an oxidant and Cu, Mo, V, Cr and Mn metal oxides, and mixed metal oxides support on y-alumina as catalysts ov...

  12. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  13. Are metallothioneins equally good biomarkers of metal and oxidative stress?

    PubMed

    Figueira, Etelvina; Branco, Diana; Antunes, Sara C; Gonçalves, Fernando; Freitas, Rosa

    2012-10-01

    Several researchers investigated the induction of metallothioneins (MTs) in the presence of metals, namely Cadmium (Cd). Fewer studies observed the induction of MTs due to oxidizing agents, and literature comparing the sensitivity of MTs to different stressors is even more scarce or even nonexistent. The role of MTs in metal and oxidative stress and thus their use as a stress biomarker, remains to be clearly elucidated. To better understand the role of MTs as a biomarker in Cerastoderma edule, a bivalve widely used as bioindicator, a laboratory assay was conducted aiming to assess the sensitivity of MTs to metal and oxidative stressors. For this purpose, Cd was used to induce metal stress, whereas hydrogen peroxide (H2O2), being an oxidizing compound, was used to impose oxidative stress. Results showed that induction of MTs occurred at very different levels in metal and oxidative stress. In the presence of the oxidizing agent (H2O2), MTs only increased significantly when the degree of oxidative stress was very high, and mortality rates were higher than 50 percent. On the contrary, C. edule survived to all Cd concentrations used and significant MTs increases, compared to the control, were observed in all Cd exposures. The present work also revealed that the number of ions and the metal bound to MTs varied with the exposure conditions. In the absence of disturbance, MTs bound most (60-70 percent) of the essential metals (Zn and Cu) in solution. In stressful situations, such as the exposure to Cd and H2O2, MTs did not bind to Cu and bound less to Zn. When organisms were exposed to Cd, the total number of ions bound per MT molecule did not change, compared to control. However the sort of ions bound per MT molecule differed; part of the Zn and all Cu ions where displaced by Cd ions. For organisms exposed to H2O2, each MT molecule bound less than half of the ions compared to control and Cd conditions, which indicates a partial oxidation of thiol groups in the cysteine

  14. Interaction of Metal Oxides with Biomolecules: Implication in Astrobiology

    NASA Astrophysics Data System (ADS)

    Kamaluddin; Iqubal, Md. Asif

    2014-08-01

    Steps of chemical evolution have been designated as formation of biomonomers followed by their polymerization and then to modify in an organized structure leading to the formation of first living cell. Polymerization of biomonomers could have required some catalyst. In addition to clay, role of metal ions and metal complexes as prebiotic catalyst in the synthesis and polymerization of biomonomers cannot be ruled out. Metal oxides are important constituents of Earth crust and that of other planets. These oxides might have adsorbed organic molecules and catalyzed the condensation processes, which may have led to the formation of first living cell. Different studies were performed in order to investigate the role of metal oxides (especially oxides of iron and manganese) in chemical evolution. Iron oxides (goethite, akaganeite and hematite) as well as manganese oxides (MnO, Mn2O3, Mn3O4 and MnO2) were synthesized and their characterization was done using IR, powder XRD, FE-SEM and TEM. Role of above oxides was studied in the adsorption of ribose nucleotides, formation of nucleobases from formamide and oligomerization of amino acids. Above oxides of iron and manganese were found to have good adsorption affinity towards ribose nucleotides, high catalytic activity in the formation of several nucleobases from formamide and oligomerization of glycine and alanine. Characterization of products was performed using UV, IR, HPLC and ESI-MS techniques. Presence of hematite-water system on Mars has been suggested to be a positive indicator in the chemical evolution on Mars.

  15. Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth. PMID:29503508

  16. Apparatus enables accurate determination of alkali oxides in alkali metals

    NASA Technical Reports Server (NTRS)

    Dupraw, W. A.; Gahn, R. F.; Graab, J. W.; Maple, W. E.; Rosenblum, L.

    1966-01-01

    Evacuated apparatus determines the alkali oxide content of an alkali metal by separating the metal from the oxide by amalgamation with mercury. The apparatus prevents oxygen and moisture from inadvertently entering the system during the sampling and analytical procedure.

  17. Ultralow-power complementary metal-oxide-semiconductor inverters constructed on Schottky barrier modified nanowire metal-oxide-semiconductor field-effect-transistors.

    PubMed

    Ma, R M; Peng, R M; Wen, X N; Dai, L; Liu, C; Sun, T; Xu, W J; Qin, G G

    2010-10-01

    We show that the threshold voltages of both n- and p-channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) can be lowered to close to zero by adding extra Schottky contacts on top of nanowires (NWs). Novel complementary metal-oxide-semiconductor (CMOS) inverters are constructed on these Schottky barrier modified n- and p-channel NW MOSFETs. Based on the high performances of the modified n- and p-channel MOSFETs, especially the low threshold voltages, the as-fabricated CMOS inverters have low operating voltage, high voltage gain, and ultra-low static power dissipation.

  18. Method of making metal oxide ceramic membranes with small pore sizes

    DOEpatents

    Anderson, Marc A.; Xu, Qunyin

    1992-01-01

    A method for the production of metal oxide ceramic membranes is composed of very small pore size. The process is particularly useful in the creation of titanium and other transition metal oxide membranes. The method utilizes a sol-gel process in which the rate of particle formation is controlled by substituting a relatively large alcohol in the metal alkoxide and by limiting the available water. Stable, transparent metal oxide ceramic membranes are created having a narrow distribution of pore size, with the pore diameter being manipulable in the range of 5 to 40 Angstroms.

  19. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Erofeev, E. V., E-mail: erofeev@micran.ru; Fedin, I. V.; Kutkov, I. V.

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping levelmore » makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.« less

  20. Development of metal oxide impregnated stilbite thick film ethanol sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahabole, M. P., E-mail: kashinath.bogle@gmail.com; Lakhane, M. A.; Choudhari, A. L.

    This paper presents the study of the sensing efficiency of Titanium oxide/ Stilbite and Copper oxide /Stilbite composites towards detection of hazardous pollutants like ethanol. Stilbite based composites are prepared by physically mixing zeolite with metal oxides namely TiO{sub 2} and CuO with weight ratios of 25:75, 50:50 and 75:25. The resulting sensor materials are characterized by X-ray diffraction and Fourier Transform Infrared Spectroscopy techniques. Composite sensors are fabricated in the form of thick film by using screen printing technique. The effect of metal oxide concentration on various ethanol sensing parameters such as operating temperature, maximum uptake capacity and response/recoverymore » time are investigated. The results indicate that metal oxide impregnated stilbite composites have great potential as low temperature ethanol sensor.« less

  1. Effects of hydrogen treatment on ohmic contacts to p-type GaN films

    NASA Astrophysics Data System (ADS)

    Huang, Bohr-Ran; Chou, Chia-Hui; Ke, Wen-Cheng; Chou, Yi-Lun; Tsai, Chia-Lung; Wu, Meng-chyi

    2011-06-01

    This study investigated the effects of hydrogen (H 2) treatment on metal contacts to Mg-doped p-GaN films by Hall-effect measurement, current-voltage ( I- V) analyzer and X-ray photoemission spectra (XPS). The interfacial oxide layer on the p-GaN surface was found to be the main reason for causing the nonlinear I- V behavior of the untreated p-GaN films. The increased nitrogen vacancy (V N) density due to increased GaN decomposition rate at high-temperature hydrogen treatment is believed to form high density surface states on the surface of p-GaN films. Compared to untreated p-GaN films, the surface Fermi level determined by the Ga 2p core-level peak on 1000 °C H 2-treated p-GaN films lies about ˜2.1 eV closer to the conduction band edge (i.e., the surface inverted to n-type behavior). The reduction in barrier height due to the high surface state density pinned the surface Fermi level close to the conduction band edge, and allowed the electrons to easily flow over the barrier from the metal into the p-GaN films. Thus, a good ohmic contact was achieved on the p-GaN films by the surface inversion method.

  2. Carrier and photon dynamics in a topological insulator Bi{sub 2}Te{sub 3}/GaN type II staggered heterostructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaturvedi, P.; Chouksey, S.; Banerjee, D.

    2015-11-09

    We have demonstrated a type-II band-aligned heterostructure between pulsed laser deposited topological insulator bismuth telluride and metal organic-chemical-vapour deposited GaN on a sapphire substrate. The heterostructure shows a large valence band-offset of 3.27 eV as determined from x-ray photoelectron spectroscopy, which is close to the bandgap of GaN (3.4 eV). Further investigation using x-ray diffraction, Raman spectroscopy, and energy-dispersive x-ray spectrum reveals the stoichiometric and material properties of bismuth telluride on GaN. Steady state photon emission from GaN is found to be modulated by the charge transfer process due to diffusion across the junction. The time constant involved with the charge transfermore » process is found to be 0.6 ns by transient absorption spectroscopy. The heterostructure can be used for designing devices with different functionalities and improving the performance of the existing devices on GaN.« less

  3. Grouped and Multistep Nanoheteroepitaxy: Toward High-Quality GaN on Quasi-Periodic Nano-Mask.

    PubMed

    Feng, Xiaohui; Yu, Tongjun; Wei, Yang; Ji, Cheng; Cheng, Yutian; Zong, Hua; Wang, Kun; Yang, Zhijian; Kang, Xiangning; Zhang, Guoyi; Fan, Shoushan

    2016-07-20

    A novel nanoheteroepitaxy method, namely, the grouped and multistep nanoheteroepitaxy (GM-NHE), is proposed to attain a high-quality gallium nitride (GaN) epilayer by metal-organic vapor phase epitaxy. This method combines the effects of sub-100 nm nucleation and multistep lateral growth by using a low-cost but unique carbon nanotube mask, which consists of nanoscale growth windows with a quasi-periodic 2D fill factor. It is found that GM-NHE can facilely reduce threading dislocation density (TDD) and modulate residual stress on foreign substrate without any regrowth. As a result, high-quality GaN epilayer is produced with homogeneously low TDD of 4.51 × 10(7) cm(-2) and 2D-modulated stress, and the performance of the subsequent 410 nm near-ultraviolet light-emitting diode is greatly boosted. In this way, with the facile fabrication of nanomask and the one-off epitaxy procedure, GaN epilayer is prominently improved with the assistance of nanotechnology, which demonstrates great application potential for high-efficiency TDD-sensitive optoelectronic and electronic devices.

  4. New Perspectives on Oxidized Genome Damage and Repair Inhibition by Pro-Oxidant Metals in Neurological Diseases

    PubMed Central

    Mitra, Joy; Guerrero, Erika N.; Hegde, Pavana M.; Wang, Haibo; Boldogh, Istvan; Rao, Kosagi Sharaf; Mitra, Sankar; Hegde, Muralidhar L.

    2014-01-01

    The primary cause(s) of neuronal death in most cases of neurodegenerative diseases, including Alzheimer’s and Parkinson’s disease, are still unknown. However, the association of certain etiological factors, e.g., oxidative stress, protein misfolding/aggregation, redox metal accumulation and various types of damage to the genome, to pathological changes in the affected brain region(s) have been consistently observed. While redox metal toxicity received major attention in the last decade, its potential as a therapeutic target is still at a cross-roads, mostly because of the lack of mechanistic understanding of metal dyshomeostasis in affected neurons. Furthermore, previous studies have established the role of metals in causing genome damage, both directly and via the generation of reactive oxygen species (ROS), but little was known about their impact on genome repair. Our recent studies demonstrated that excess levels of iron and copper observed in neurodegenerative disease-affected brain neurons could not only induce genome damage in neurons, but also affect their repair by oxidatively inhibiting NEIL DNA glycosylases, which initiate the repair of oxidized DNA bases. The inhibitory effect was reversed by a combination of metal chelators and reducing agents, which underscore the need for elucidating the molecular basis for the neuronal toxicity of metals in order to develop effective therapeutic approaches. In this review, we have focused on the oxidative genome damage repair pathway as a potential target for reducing pro-oxidant metal toxicity in neurological diseases. PMID:25036887

  5. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  6. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  7. Antimicrobial Activity of Nitric Oxide-Releasing Ti-6Al-4V Metal Oxide

    PubMed Central

    Reger, Nina A.; Meng, Wilson S.; Gawalt, Ellen S.

    2017-01-01

    Titanium and titanium alloy materials are commonly used in joint replacements, due to the high strength of the materials. Pathogenic microorganisms can easily adhere to the surface of the metal implant, leading to an increased potential for implant failure. The surface of a titanium-aluminum-vanadium (Ti-6Al-4V) metal oxide implant material was functionalized to deliver an small antibacterial molecule, nitric oxide. S-nitroso-penicillamine, a S-nitrosothiol nitric oxide donor, was covalently immobilized on the metal oxide surface using self-assembled monolayers. Infrared spectroscopy was used to confirm the attachment of the S-nitrosothiol donor to the Ti-Al-4V surface. Attachment of S-nitroso-penicillamine resulted in a nitric oxide (NO) release of 89.6 ± 4.8 nmol/cm2 under physiological conditions. This low concentration of nitric oxide reduced Escherichia coli and Staphylococcus epidermidis growth by 41.5 ± 1.2% and 25.3 ± 0.6%, respectively. Combining the S-nitrosothiol releasing Ti-6Al-4V with tetracycline, a commonly-prescribed antibiotic, increased the effectiveness of the antibiotic by 35.4 ± 1.3%, which allows for lower doses of antibiotics to be used. A synergistic effect of ampicillin with S-nitroso-penicillamine-modified Ti-6Al-4V against S. epidermidis was not observed. The functionalized Ti-6Al-4V surface was not cytotoxic to mouse fibroblasts. PMID:28635681

  8. Microstructure of a base metal thick film system. [Glass frit with base metal oxide addition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mentley, D.E.

    1976-06-01

    A base metal thick film conductor system using glass frits with base metal oxide additions was investigated as metallization for hybrid microcircuits. Application of previous work on wetting and chemical bonding was made to this system. The observation of changes in the properties of the thick film was made by photomicrographs of screened samples and sheet resistivity measurements. In addition to the chemical and wetting properties, the effect of glass frit particle size on conductivity was also analyzed. The base metal oxide addition was found to produce a more consistent thick film conductor at low volume percentages of metal bymore » inhibiting the formation of low melting redox reaction products.« less

  9. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  10. Synthesis of metal silicide at metal/silicon oxide interface by electronic excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, J.-G., E-mail: jglee36@kims.re.kr; Nagase, T.; Yasuda, H.

    The synthesis of metal silicide at the metal/silicon oxide interface by electronic excitation was investigated using transmission electron microscopy. A platinum silicide, α-Pt{sub 2}Si, was successfully formed at the platinum/silicon oxide interface under 25–200 keV electron irradiation. This is of interest since any platinum silicide was not formed at the platinum/silicon oxide interface by simple thermal annealing under no-electron-irradiation conditions. From the electron energy dependence of the cross section for the initiation of the silicide formation, it is clarified that the silicide formation under electron irradiation was not due to a knock-on atom-displacement process, but a process induced by electronic excitation.more » It is suggested that a mechanism related to the Knotek and Feibelman mechanism may play an important role in silicide formation within the solid. Similar silicide formation was also observed at the palladium/silicon oxide and nickel/silicon oxide interfaces, indicating a wide generality of the silicide formation by electronic excitation.« less

  11. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  12. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  13. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  14. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE PAGES

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.; ...

    2017-04-05

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  15. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  16. Regeneration of sulfated metal oxides and carbonates

    DOEpatents

    Hubble, Bill R.; Siegel, Stanley; Cunningham, Paul T.

    1978-03-28

    Alkali metal or alkaline earth metal carbonates such as calcium carbonate and magnesium carbonate found in dolomite or limestone are employed for removal of sulfur dioxide from combustion exhaust gases. The sulfated carbonates are regenerated to oxides through use of a solid-solid reaction, particularly calcium sulfide with calcium sulfate to form calcium oxide and sulfur dioxide gas. The regeneration is performed by contacting the sulfated material with a reductant gas such as hydrogen within an inert diluent to produce calcium sulfide in mixture with the sulfate under process conditions selected to permit the sulfide-sulfate, solid-state reaction to occur.

  17. Raman spectroscopy of sputtered metal-graphene and metal-oxide-graphene interfaces

    NASA Astrophysics Data System (ADS)

    Chen, Ching-Tzu; Gajek, Marcin; Freitag, Marcus; Kuroda, Marcelo; Perebeinos, Vasili; Raoux, Simone

    2012-02-01

    In this talk, we report our recent development in sputtering deposition of magnetic and non-magnetic metal and metal-oxide thin films on graphene for applications in spintronics and nanoeleoctronics. TEM and SEM images demonstrate homogeneous coverage, uniform thickness, and good crystallinity of the sputtered films. Raman spectroscopy shows that the structure of the underlying graphene is well preserved, and the spectral weight of the defect D mode is comparable to that of the e-beam evaporated samples. Most significantly, we report the first observation of graphene-enhanced surface excitations of crystalline materials. Specifically, we discover two pronounced dispersive Raman modes at the interface of graphene and the nickel-oxide and cobalt-oxide films which we attribute to the strong light absorption and high-order resonant scattering process in the graphene layer. We will present the frequency-dependent, polarization-dependent Raman data of these two modes and discuss their microscopic origin.

  18. Structural, electronic and chemical properties of metal/oxide and oxide/oxide interfaces and thin film structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lad, Robert J.

    1999-12-14

    This project focused on three different aspects of oxide thin film systems: (1) Model metal/oxide and oxide/oxide interface studies were carried out by depositing ultra-thin metal (Al, K, Mg) and oxide (MgO, AlO{sub x}) films on TiO{sub 2}, NiO and {alpha}-Al{sub 2}O{sub 3} single crystal oxide substrates. (2) Electron cyclotron resonance (ECR) oxygen plasma deposition was used to fabricate AlO{sub 3} and ZrO{sub 2} films on sapphire substrates, and film growth mechanisms and structural characteristics were investigated. (3) The friction and wear characteristics of ZrO{sub 2} films on sapphire substrates in unlubricated sliding contact were studied and correlated with filmmore » microstructure. In these studies, thin film and interfacial regions were characterized using diffraction (RHEED, LEED, XRD), electron spectroscopies (XPS, UPS, AES), microscopy (AFM) and tribology instruments (pin-on-disk, friction microprobe, and scratch tester). By precise control of thin film microstructure, an increased understanding of the structural and chemical stability of interface regions and tribological performance of ultra-thin oxide films was achieved in these important ceramic systems.« less

  19. Metal Ions, Not Metal-Catalyzed Oxidative Stress, Cause Clay Leachate Antibacterial Activity

    PubMed Central

    Otto, Caitlin C.; Koehl, Jennifer L.; Solanky, Dipesh; Haydel, Shelley E.

    2014-01-01

    Aqueous leachates prepared from natural antibacterial clays, arbitrarily designated CB-L, release metal ions into suspension, have a low pH (3.4–5), generate reactive oxygen species (ROS) and H2O2, and have a high oxidation-reduction potential. To isolate the role of pH in the antibacterial activity of CB clay mixtures, we exposed three different strains of Escherichia coli O157:H7 to 10% clay suspensions. The clay suspension completely killed acid-sensitive and acid-tolerant E. coli O157:H7 strains, whereas incubation in a low-pH buffer resulted in a minimal decrease in viability, demonstrating that low pH alone does not mediate antibacterial activity. The prevailing hypothesis is that metal ions participate in redox cycling and produce ROS, leading to oxidative damage to macromolecules and resulting in cellular death. However, E. coli cells showed no increase in DNA or protein oxidative lesions and a slight increase in lipid peroxidation following exposure to the antibacterial leachate. Further, supplementation with numerous ROS scavengers eliminated lipid peroxidation, but did not rescue the cells from CB-L-mediated killing. In contrast, supplementing CB-L with EDTA, a broad-spectrum metal chelator, reduced killing. Finally, CB-L was equally lethal to cells in an anoxic environment as compared to the aerobic environment. Thus, ROS were not required for lethal activity and did not contribute to toxicity of CB-L. We conclude that clay-mediated killing was not due to oxidative damage, but rather, was due to toxicity associated directly with released metal ions. PMID:25502790

  20. Physicochemical of pillared clays prepared by several metal oxides

    NASA Astrophysics Data System (ADS)

    Rinaldi, Nino; Kristiani, Anis

    2017-03-01

    Natural clays could be modified by the pillarization method, called as Pillared Clays (PILCs). PILCs have been known as porous materials that can be used for many applications, one of the fields is catalysis. PILCs as two dimensional materials are interesting because their structures and textural properties can be controlled by using a metal oxide as the pillar. Different metal oxide used as the pillar causes different properties results of pillared clays. Usually, natural smectite clays/bentonites are used as a raw material. Therefore, a series of bentonite pillared by metal oxides was prepared through pillarization method. Variation of metals pillared into bentonite are aluminium, chromium, zirconium, and ferro. The physicochemical properties of catalysts were characterized by using X-ray Diffraction (XRD), Thermo Gravimetric Analysis (TGA), Brunauer-Emmett-Teller (BET) and Barret-Joyner-Halenda (BJH) analysis, and Fourier transform infrared spectroscopy (FTIR) measurement. Noteworthy characterization results showed that different metals pillared into bentonite affected physical and chemical properties, i.e. basal spacing, surface area, pore size distribution, thermal stability and acidity.

  1. Mesoporous metal oxide microsphere electrode compositions and their methods of making

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parans Paranthaman, Mariappan; Bi, Zhonghe; Bridges, Craig A.

    Compositions and methods of making are provided for treated mesoporous metal oxide microspheres electrodes. The compositions include microspheres with an average diameter between about 200 nanometers and about 10 micrometers and mesopores on the surface and interior of the microspheres. The methods of making include forming a mesoporous metal oxide microsphere composition and treating the mesoporous metal oxide microspheres by at least annealing in a reducing atmosphere, doping with an aliovalent element, and coating with a coating composition.

  2. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    The main goal of the Project is to investigate doping mechanisms in p-type GaN and AlGaN and controllably fabricate ultra high doped p-GaN materials and epitaxial structures. Highly doped p-type GaN-based materials with low electrical resistivity and abrupt doping profiles are of great importance for efficient light emitters for solid state lighting (SSL) applications. Cost-effective hydride vapor phase epitaxial (HVPE) technology was proposed to investigate and develop p-GaN materials for SSL. High p-type doping is required to improve (i) carrier injection efficiency in light emitting p-n junctions that will result in increasing of light emitting efficiency, (ii) current spreading inmore » light emitting structures that will improve external quantum efficiency, and (iii) parameters of Ohmic contacts to reduce operating voltage and tolerate higher forward currents needed for the high output power operation of light emitters. Highly doped p-type GaN layers and AlGaN/GaN heterostructures with low electrical resistivity will lead to novel device and contact metallization designs for high-power high efficiency GaN-based light emitters. Overall, highly doped p-GaN is a key element to develop light emitting devices for the DOE SSL program. The project was focused on material research for highly doped p-type GaN materials and device structures for applications in high performance light emitters for general illumination P-GaN and p-AlGaN layers and multi-layer structures were grown by HVPE and investigated in terms of surface morphology and structure, doping concentrations and profiles, optical, electrical, and structural properties. Tasks of the project were successfully accomplished. Highly doped GaN materials with p-type conductivity were fabricated. As-grown GaN layers had concentration N{sub a}-N{sub d} as high as 3 x 10{sup 19} cm{sup -3}. Mechanisms of doping were investigated and results of material studies were reported at several International conferences

  3. Influence of Fe(2+)-catalysed iron oxide recrystallization on metal cycling.

    PubMed

    Latta, Drew E; Gorski, Christopher A; Scherer, Michelle M

    2012-12-01

    Recent work has indicated that iron (oxyhydr-)oxides are capable of structurally incorporating and releasing metals and nutrients as a result of Fe2+-induced iron oxide recrystallization. In the present paper, we briefly review the current literature examining the mechanisms by which iron oxides recrystallize and summarize how recrystallization affects metal incorporation and release. We also provide new experimental evidence for the Fe2+-induced release of structural manganese from manganese-doped goethite. Currently, the exact mechanism(s) for Fe2+-induced recrystallization remain elusive, although they are likely to be both oxide-and metal-dependent. We conclude by discussing some future research directions for Fe2+-catalysed iron oxide recrystallization.

  4. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  5. Interfacial reactions of nano-structured Cu-doped indium oxide/indium tin oxide ohmic contacts to p-GaN.

    PubMed

    Yoon, Young Joon; Chae, S W; Kim, B K; Park, Min Joo; Kwak, Joon Seop

    2010-05-01

    Interfacial microstructure and elemental diffusion of Cu-doped indium oxide (CIO)/indium tin oxide (ITO) ohmic contacts to p-type GaN for light-emitting diodes (LEDs) were investigated using cross-sectional transmission electron microscopy (XTEM), X-ray photoelectron spectroscopy (XPS), and X-ray diffraction. The CIO/ITO contacts gave specific contact resistances of approximately 10(-4) omegacm2 and transmittance greater than 95% at a wavelength of 405 nm when annealed at 630 degrees C for 1 min in air. After annealing at 630 degrees C, multi-component oxides composed of Ga2O3-In2O3, Ga2O3-CuO, and In2O3-CuO formed at the interface between p-GaN and ITO. Formation of multi-component oxides reduced the barrier height between p-GaN and ITO due to their higher work functions than that of ITO, and caused Ga in the GaN to diffuse into the CIO/ITO layer, followed by generation of acceptor-like Ga vacancies near the GaN surface, which lowered contact resistivity of the CIO/ITO contacts to p-GaN after the annealing.

  6. Nanostructured Metal Oxides for Stoichiometric Degradation of Chemical Warfare Agents.

    PubMed

    Štengl, Václav; Henych, Jiří; Janoš, Pavel; Skoumal, Miroslav

    2016-01-01

    Metal oxides have very important applications in many areas of chemistry, physics and materials science; their properties are dependent on the method of preparation, the morphology and texture. Nanostructured metal oxides can exhibit unique characteristics unlike those of the bulk form depending on their morphology, with a high density of edges, corners and defect surfaces. In recent years, methods have been developed for the preparation of metal oxide powders with tunable control of the primary particle size as well as of a secondary particle size: the size of agglomerates of crystallites. One of the many ways to take advantage of unique properties of nanostructured oxide materials is stoichiometric degradation of chemical warfare agents (CWAs) and volatile organic compounds (VOC) pollutants on their surfaces.

  7. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  8. Optimization of GaN Nanorod Growth Conditions for Coalescence Overgrowth

    DTIC Science & Technology

    2016-02-04

    GaN core and QW deposition, an NR LED array can be implemented by covering the NRs with a transparent conductor . It has been demonstrated that the...with a transparent conductor . It has been demonstrated that the optical and electrical performances of an NR LED array can be comparable to those of a...a process of buffered oxide etching for removing this SiNx layer on the sidewalls is required before sidewall QW deposition. Nevertheless, the

  9. Non-uniform solute segregation at semi-coherent metal/oxide interfaces

    DOE PAGES

    Choudhury, Samrat; Aguiar, Jeffery A.; Fluss, Michael J.; ...

    2015-08-26

    The properties and performance of metal/oxide nanocomposites are governed by the structure and chemistry of the metal/oxide interfaces. Here we report an integrated theoretical and experimental study examining the role of interfacial structure, particularly misfit dislocations, on solute segregation at a metal/oxide interface. We find that the local oxygen environment, which varies significantly between the misfit dislocations and the coherent terraces, dictates the segregation tendency of solutes to the interface. Depending on the nature of the solute and local oxygen content, segregation to misfit dislocations can change from attraction to repulsion, revealing the complex interplay between chemistry and structure atmore » metal/oxide interfaces. These findings indicate that the solute chemistry at misfit dislocations is controlled by the dislocation density and oxygen content. As a result, fundamental thermodynamic concepts – the Hume-Rothery rules and the Ellingham diagram – qualitatively predict the segregation behavior of solutes to such interfaces, providing design rules for novel interfacial chemistries.« less

  10. Nanostructured metal oxide-based materials as advanced anodes for lithium-ion batteries.

    PubMed

    Wu, Hao Bin; Chen, Jun Song; Hng, Huey Hoon; Lou, Xiong Wen David

    2012-04-21

    The search for new electrode materials for lithium-ion batteries (LIBs) has been an important way to satisfy the ever-growing demands for better performance with higher energy/power densities, improved safety and longer cycle life. Nanostructured metal oxides exhibit good electrochemical properties, and they are regarded as promising anode materials for high-performance LIBs. In this feature article, we will focus on three different categories of metal oxides with distinct lithium storage mechanisms: tin dioxide (SnO(2)), which utilizes alloying/dealloying processes to reversibly store/release lithium ions during charge/discharge; titanium dioxide (TiO(2)), where lithium ions are inserted/deinserted into/out of the TiO(2) crystal framework; and transition metal oxides including iron oxide and cobalt oxide, which react with lithium ions via an unusual conversion reaction. For all three systems, we will emphasize that creating nanomaterials with unique structures could effectively improve the lithium storage properties of these metal oxides. We will also highlight that the lithium storage capability can be further enhanced through designing advanced nanocomposite materials containing metal oxides and other carbonaceous supports. By providing such a rather systematic survey, we aim to stress the importance of proper nanostructuring and advanced compositing that would result in improved physicochemical properties of metal oxides, thus making them promising negative electrodes for next-generation LIBs.

  11. Redox switching and oxygen evolution at oxidized metal and metal oxide electrodes: iron in base.

    PubMed

    Lyons, Michael E G; Doyle, Richard L; Brandon, Michael P

    2011-12-28

    Outstanding issues regarding the film formation, redox switching characteristics and the oxygen evolution reaction (OER) electrocatalytic behaviour of multicycled iron oxyhydroxide films in aqueous alkaline solution have been revisited. The oxide is grown using a repetitive potential multicycling technique, and the mechanism of the latter hydrous oxide formation process has been discussed. A duplex layer model of the oxide/solution interphase region is proposed. The acid/base behaviour of the hydrous oxide and the microdispersed nature of the latter material has been emphasised. The hydrous oxide is considered as a porous assembly of interlinked octahedrally coordinated anionic metal oxyhydroxide surfaquo complexes which form an open network structure. The latter contains considerable quantities of water molecules which facilitate hydroxide ion discharge at the metal site during active oxygen evolution, and also charge compensating cations. The dynamics of redox switching has been quantified via analysis of the cyclic voltammetry response as a function of potential sweep rate using the Laviron-Aoki electron hopping diffusion model by analogy with redox polymer modified electrodes. Steady state Tafel plot analysis has been used to elucidate the kinetics and mechanism of oxygen evolution. Tafel slope values of ca. 60 mV dec(-1) and ca. 120 mV dec(-1) are found at low and high overpotentials respectively, whereas the reaction order with respect to hydroxide ion activity changes from ca. 3/2 to ca. 1 as the potential is increased. These observations are rationalised in terms of a kinetic scheme involving Temkin adsorption and the rate determining formation of a physisorbed hydrogen peroxide intermediate on the oxide surface. The dual Tafel slope behaviour is ascribed to the potential dependence of the surface coverage of adsorbed intermediates.

  12. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Long, CL; Del Genio, A; Deng, M

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJOmore » initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include

  13. In situ-generated metal oxide catalyst during CO oxidation reaction transformed from redox-active metal-organic framework-supported palladium nanoparticles

    PubMed Central

    2012-01-01

    The preparation of redox-active metal-organic framework (ra-MOF)-supported Pd nanoparticles (NPs) via the redox couple-driven method is reported, which can yield unprotected metallic NPs at room temperature within 10 min without the use of reducing agents. The Pd@ra-MOF has been exploited as a precursor of an active catalyst for CO oxidation. Under the CO oxidation reaction condition, Pd@ra-MOF is transformed into a PdOx-NiOy/C nanocomposite to generate catalytically active species in situ, and the resultant nanocatalyst shows sustainable activity through synergistic stabilization. PMID:22898143

  14. Catalysts Based on Earth-Abundant Metals for Visible Light-Driven Water Oxidation Reaction.

    PubMed

    Lin, Junqi; Han, Qing; Ding, Yong

    2018-06-04

    Exploration of water oxidation catalyst (WOC) with excellent performance is the key for the overall water splitting reaction, which is a feasible strategy to convert solar energy to chemical energy. Although some compounds composed of noble metals, mainly Ru and Ir, have been reported to catalyze water oxidation with high efficiency, catalysts based on low-cost and earth-abundant transition metals are essential for realizing economical and large-scale light-driven water splitting. Various WOCs containing earth-abundant metals (mainly Mn, Fe, Co, Ni, Cu) have been utilized for visible light-driven water oxidation in recent years. In this Personal Account, we summarize our recent developments in WOCs based on earth-abundant transition metals including polyoxometalates (POMs), metal oxides or bimetal oxides, and metal complexes containing multidentate ligand scaffolds for visible light-driven water oxidation reaction. © 2018 The Chemical Society of Japan & Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  16. Metal-Organic Framework-Derived Nanoporous Metal Oxides toward Supercapacitor Applications: Progress and Prospects.

    PubMed

    Salunkhe, Rahul R; Kaneti, Yusuf V; Yamauchi, Yusuke

    2017-06-27

    Transition metal oxides (TMOs) have attracted significant attention for energy storage applications such as supercapacitors due to their good electrical conductivity, high electrochemical response (by providing Faradaic reactions), low manufacturing costs, and easy processability. Despite exhibiting these attractive characteristics, the practical applications of TMOs for supercapacitors are still relatively limited. This is largely due to their continuous Faradaic reactions, which can lead to major changes or destruction of their structure as well phase changes (in some cases) during cycling, leading to the degradation in their capacitive performance over time. Hence, there is an immediate need to develop new synthesis methods, which will readily provide stable porous architectures, controlled phase, as well as useful control over dimensions (1-D, 2-D, and 3-D) of the metal oxides for improving their performance in supercapacitor applications. Since its discovery in late 1990s, metal-organic frameworks (MOFs) have influenced many fields of material science. In recent years, they have gained significant attention as precursors or templates for the derivation of porous metal oxide nanostructures and nanocomposites for next-generation supercapacitor applications. Even though these materials have widespread applications and have been widely studied in terms of their structural features and synthesis, it is still not clear how these materials will play an important role in the development of the supercapacitor field. In this review, we will summarize the recent developments in the field of MOF-derived porous metal oxide nanostructures and nanocomposites for supercapacitor applications. Furthermore, the current challenges along with the future trends and prospects in the application of these materials for supercapacitors will also be discussed.

  17. Production of crystalline refractory metal oxides containing colloidal metal precipitates and useful as solar-effective absorbers

    DOEpatents

    Narayan, Jagdish; Chen, Yok

    1983-01-01

    This invention is a new process for producing refractory crystalline oxides having improved or unusual properties. The process comprises the steps of forming a doped-metal crystal of the oxide; exposing the doped crystal in a bomb to a reducing atmosphere at superatmospheric pressure and a temperature effecting precipitation of the dopant metal in the crystal lattice of the oxide but insufficient to effect net diffusion of the metal out of the lattice; and then cooling the crystal. Preferably, the cooling step is effected by quenching. The process forms colloidal precipitates of the metal in the oxide lattice. The process may be used, for example, to produce thermally stable black MgO crystalline bodies containing magnetic colloidal precipitates consisting of about 99% Ni. The Ni-containing bodies are solar-selective absorbers, having a room-temperature absorptivity of about 0.96 over virtually all of the solar-energy spectrum and exhibiting an absorption edge in the region of 2 .mu.m. The process parameters can be varied to control the average size of the precipitates. The process can produce a black MgO crystalline body containing colloidal Ni precipitates, some of which have the face-centered-cubic structure and others of which have the body-centered cubic structure. The products of the process are metal-precipitate-containing refractory crystalline oxides which have improved or unique optical, mechanical, magnetic, and/or electronic properties.

  18. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  19. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  20. Multi-metal oxide ceramic nanomaterial

    DOEpatents

    O'Brien, Stephen; Liu, Shuangyi; Huang, Limin

    2016-06-07

    A convenient and versatile method for preparing complex metal oxides is disclosed. The method uses a low temperature, environmentally friendly gel-collection method to form a single phase nanomaterial. In one embodiment, the nanomaterial consists of Ba.sub.AMn.sub.BTi.sub.CO.sub.D in a controlled stoichiometry.

  1. Study of Cs/NF3 adsorption on GaN (0 0 1) surface

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-03-01

    To investigate the optoelectronics properties of Cs/NF3 adsorption on GaN (0 0 1) photocathode surface, different adsorption models of Cs-only, Cs/O, Cs/NF3 adsorption on GaN clean surface were established, respectively. Atomic structures, work function, adsorption energy, E-Mulliken charge distribution, density of states and optical properties of all these adsorption systems were calculated using first principles. Compared with Cs/O co-adsorption, Cs/NF3 co-adsorption show better stability and more decline of work function, which is more beneficial for photoemission efficiency. Besides, surface band structures of Cs/NF3 co-adsorption system exhibit metal properties, implying good conductivity. Meanwhile, near valence band minimum of Cs/NF3 co-adsorption system, more acceptor levels emerges to form a p-type emission surface, which is conductive to the escape of photoelectrons. In addition, imaginary part of dielectric function curve and absorption curve of Cs/NF3 co-adsorption system both move towards lower energy side. This work can direct the optimization of activation process of NEA GaN photocathode.

  2. Preventing Bacterial Infections using Metal Oxides Nanocoatings on Bone Implant

    NASA Astrophysics Data System (ADS)

    Duceac, L. D.; Straticiuc, S.; Hanganu, E.; Stafie, L.; Calin, G.; Gavrilescu, S. L.

    2017-06-01

    Nowadays bone implant removal is caused by infection that occurs around it possibly acquired after surgery or during hospitalization. The purpose of this study was to reveal some metal oxides applied as coatings on bone implant thus limiting the usual antibiotics-resistant bacteria colonization. Therefore ZnO, TiO2 and CuO were synthesized and structurally and morphologically analized in order to use them as an alternative antimicrobial agents deposited on bone implant. XRD, SEM, and FTIR characterization techniques were used to identify structure and texture of these nanoscaled metal oxides. These metal oxides nanocoatings on implant surface play a big role in preventing bacterial infection and reducing surgical complications.

  3. Electronic doping of transition metal oxide perovskites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cammarata, Antonio, E-mail: cammaant@fel.cvut.cz; Rondinelli, James M.

    2016-05-23

    CaFeO{sub 3} is a prototypical negative charge transfer oxide that undergoes electronic metal-insulator transition concomitant with a dilation and contraction of nearly rigid octahedra. Altering the charge neutrality of the bulk system destroys the electronic transition, while the structure is significantly modified at high charge content. Using density functional theory simulations, we predict an alternative avenue to modulate the structure and the electronic transition in CaFeO{sub 3}. Charge distribution can be modulated using strain-rotation coupling and thin film engineering strategies, proposing themselves as a promising avenue for fine tuning electronic features in transition metal-oxide perovskites.

  4. Method of CO and/or CO.sub.2 hydrogenation using doped mixed-metal oxides

    DOEpatents

    Shekhawat, Dushyant; Berry, David A.; Haynes, Daniel J.; Abdelsayed, Victor; Smith, Mark W.; Spivey, James J.

    2015-10-06

    A method of hydrogenation utilizing a reactant gas mixture comprising a carbon oxide and a hydrogen agent, and a hydrogenation catalyst comprising a mixed-metal oxide containing metal sites supported and/or incorporated into the lattice. The mixed-metal oxide comprises a perovskite, a pyrochlore, a fluorite, a brownmillerite, or mixtures thereof doped at the A-site or the B-site. The metal site may comprise a deposited metal, where the deposited metal is a transition metal, an alkali metal, an alkaline earth metal, or mixtures thereof. Contact between the carbon oxide, hydrogen agent, and hydrogenation catalyst under appropriate conditions of temperature, pressure and gas flow rate generate a hydrogenation reaction and produce a hydrogenated product made up of carbon from the carbon oxide and some portion of the hydrogen agent. The carbon oxide may be CO, CO.sub.2, or mixtures thereof and the hydrogen agent may be H.sub.2. In a particular embodiment, the hydrogenated product comprises an alcohol, an olefin, an aldehyde, a ketone, an ester, an oxo-product, or mixtures thereof.

  5. Benzyl Alcohol-Mediated Versatile Method to Fabricate Nonstoichiometric Metal Oxide Nanostructures.

    PubMed

    Qamar, Mohammad; Adam, Alaaldin; Azad, Abdul-Majeed; Kim, Yong-Wah

    2017-11-22

    Nanostructured metal oxides with cationic or anionic deficiency find applications in a wide range of technological areas including the energy sector and environment. However, a facile route to prepare such materials in bulk with acceptable reproducibility is still lacking; many synthesis techniques are still only bench-top and cannot be easily scaled-up. Here, we report that the benzyl alcohol (BA)-mediated method is capable of producing a host of nanostructured metal oxides (MO x , where M = Ti, Zn, Ce, Sn, In, Ga, or Fe) with inherent nonstoichiometry. It employs multifunctional BA as a solvent, a reducing agent, and a structure-directing agent. Depending on the oxidation states of metal, elemental or nonstoichiometric oxide forms are obtained. Augmented photoelectrochemical oxidation of water under visible light by some of these nonstoichiometric oxides highlights the versatility of the BA-mediated synthesis protocol.

  6. A Comprehensive Review of One-Dimensional Metal-Oxide Nanostructure Photodetectors

    PubMed Central

    Zhai, Tianyou; Fang, Xiaosheng; Liao, Meiyong; Xu, Xijin; Zeng, Haibo; Yoshio, Bando; Golberg, Dmitri

    2009-01-01

    One-dimensional (1D) metal-oxide nanostructures are ideal systems for exploring a large number of novel phenomena at the nanoscale and investigating size and dimensionality dependence of nanostructure properties for potential applications. The construction and integration of photodetectors or optical switches based on such nanostructures with tailored geometries have rapidly advanced in recent years. Active 1D nanostructure photodetector elements can be configured either as resistors whose conductions are altered by a charge-transfer process or as field-effect transistors (FET) whose properties can be controlled by applying appropriate potentials onto the gates. Functionalizing the structure surfaces offers another avenue for expanding the sensor capabilities. This article provides a comprehensive review on the state-of-the-art research activities in the photodetector field. It mainly focuses on the metal oxide 1D nanostructures such as ZnO, SnO2, Cu2O, Ga2O3, Fe2O3, In2O3, CdO, CeO2, and their photoresponses. The review begins with a survey of quasi 1D metal-oxide semiconductor nanostructures and the photodetector principle, then shows the recent progresses on several kinds of important metal-oxide nanostructures and their photoresponses and briefly presents some additional prospective metal-oxide 1D nanomaterials. Finally, the review is concluded with some perspectives and outlook on the future developments in this area. PMID:22454597

  7. Benchmarking nanoparticulate metal oxide electrocatalysts for the alkaline water oxidation reaction

    DOE PAGES

    Jung, Suho; McCrory, Charles C. L.; Ferrer, Ivonne M.; ...

    2016-11-27

    Nanoparticulate metal-oxide catalysts are among the most prevalent systems for alkaline water oxidation. However, comparisons of the electrochemical performance of these materials have been challenging due to the different methods of attachment, catalyst loadings, and electrochemical test conditions reported in the literature. Here in this paper, we have leveraged a conventional drop-casting method that allows for the successful adhesion of a wide range of nanoparticulate catalysts to glassy-carbon electrode surfaces. We have applied this adhesion method to prepare catalyst films from 16 crystalline metal-oxide nanoparticles with a constant loading of 0.8 mg cm -2, and evaluated the resulting nanoparticulate filmsmore » for the oxygen evolution reaction under conditions relevant to an integrated solar fuels device. In general, the activities of the adhered nanoparticulate films are similar to those of thin-film catalysts prepared by electrodeposition or sputtering, achieving 10 mA cm -2 current densities per geometric area at overpotentials of ~0.35–0.5 V.« less

  8. ZnO nanorod arrays and direct wire bonding on GaN surfaces for rapid fabrication of antireflective, high-temperature ultraviolet sensors

    NASA Astrophysics Data System (ADS)

    So, Hongyun; Senesky, Debbie G.

    2016-11-01

    Rapid, cost-effective, and simple fabrication/packaging of microscale gallium nitride (GaN) ultraviolet (UV) sensors are demonstrated using zinc oxide nanorod arrays (ZnO NRAs) as an antireflective layer and direct bonding of aluminum wires to the GaN surface. The presence of the ZnO NRAs on the GaN surface significantly reduced the reflectance to less than 1% in the UV and 4% in the visible light region. As a result, the devices fabricated with ZnO NRAs and mechanically stable aluminum bonding wires (pull strength of 3-5 gf) showed higher sensitivity (136.3% at room temperature and 148.2% increase at 250 °C) when compared with devices with bare (uncoated) GaN surfaces. In addition, the devices demonstrated reliable operation at high temperatures up to 300 °C, supporting the feasibility of simple and cost-effective UV sensors operating with higher sensitivity in high-temperature conditions, such as in combustion, downhole, and space exploration applications.

  9. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  10. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  11. Stacking fault effects in Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Schmidt, T. M.; Miwa, R. H.; Orellana, W.; Chacham, H.

    2002-01-01

    First-principles total energy calculations are performed to investigate the interaction of a stacking fault with a p-type impurity in both zinc-blende and wurtzite GaN. For both structures we find that, in the presence of a stacking fault, the impurity level is a more localized state in the band gap. In zinc-blende GaN, the minimum energy position of the substitutional Mg atom is at the plane of the stacking fault. In contrast, in wurtzite GaN the substitutional Mg atom at the plane of the stacking fault is a local minimum and the global minimum is the substitutional Mg far from the fault. This behavior can be understood as a packing effect which induces a distinct strain relief process, since the local structure of the stacking fault in zinc-blende GaN is similar to fault-free wurtzite GaN and vice-versa.

  12. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2¯01).

    PubMed

    Kollmannsberger, Sebastian L; Walenta, Constantin A; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-28

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α-H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga 2 O 3 (2¯01) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  13. Platinum redispersion on metal oxides in low temperature fuel cells.

    PubMed

    Tripković, Vladimir; Cerri, Isotta; Nagami, Tetsuo; Bligaard, Thomas; Rossmeisl, Jan

    2013-03-07

    We have analyzed the aptitude of several metal oxide supports (TiO(2), SnO(2), NbO(2), ZrO(2), SiO(2), Ta(2)O(5) and Nb(2)O(5)) to redisperse platinum under electrochemical conditions pertinent to the Proton Exchange Membrane Fuel Cell (PEMFC) cathode. The redispersion on oxide supports in air has been studied in detail; however, due to different operating conditions it is not straightforward to link the chemical and the electrochemical environment. The largest differences reflect in (1) the oxidation state of the surface (the oxygen species coverage), (2) temperature and (3) the possibility of platinum dissolution at high potentials and the interference of redispersion with normal working potential of the PEMFC cathode. We have calculated the PtO(x) (x = 0, 1, 2) adsorption energies on different metal oxides' surface terminations as well as inside the metal oxides' bulk, and we have concluded that NbO(2) might be a good support for platinum redispersion at PEMFC cathodes.

  14. Inverse oxide/metal catalysts in fundamental studies and practical applications: A perspective of recent developments

    DOE PAGES

    Rodriguez, José A.; Liu, Ping; Graciani, Jesús; ...

    2016-06-21

    Inverse oxide/metal catalysts have shown to be excellent systems for studying the role of the oxide and oxide–metal interface in catalytic reactions. These systems can have special structural and catalytic properties due to strong oxide–metal interactions difficult to attain when depositing a metal on a regular oxide support. Oxide phases that are not seen or are metastable in a bulk oxide can become stable in an oxide/metal system opening the possibility for new chemical properties. Using these systems, it has been possible to explore fundamental properties of the metal–oxide interface (composition, structure, electronic state), which determine catalytic performance in themore » oxidation of CO, the water–gas shift and the hydrogenation of CO 2 to methanol. Recently, there has been a significant advance in the preparation of oxide/metal catalysts for technical or industrial applications. In conclusion, one goal is to identify methods able to control in a precise way the size of the deposited oxide particles and their structure on the metal substrate.« less

  15. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  16. Methods of making metal oxide nanostructures and methods of controlling morphology of same

    DOEpatents

    Wong, Stanislaus S; Hongjun, Zhou

    2012-11-27

    The present invention includes a method of producing a crystalline metal oxide nanostructure. The method comprises providing a metal salt solution and providing a basic solution; placing a porous membrane between the metal salt solution and the basic solution, wherein metal cations of the metal salt solution and hydroxide ions of the basic solution react, thereby producing a crystalline metal oxide nanostructure.

  17. Control of cerium oxidation state through metal complex secondary structures

    DOE PAGES

    Levin, Jessica R.; Dorfner, Walter L.; Carroll, Patrick J.; ...

    2015-08-11

    A series of alkali metal cerium diphenylhydrazido complexes, M x(py) y[Ce(PhNNPh) 4], M = Li, Na, and K, x = 4 (Li and Na) or 5 (K), and y = 4 (Li), 8 (Na), or 7 (K), were synthesized to probe how a secondary coordination sphere would modulate electronic structures at a cerium cation. The resulting electronic structures of the heterobimetallic cerium diphenylhydrazido complexes were found to be strongly dependent on the identity of the alkali metal cations. When M = Li + or Na +, the cerium(III) starting material was oxidized with concomitant reduction of 1,2-diphenylhydrazine to aniline. Reductionmore » of 1,2-diphenylhydrazine was not observed when M = K +, and the complex remained in the cerium(III) oxidation state. Oxidation of the cerium(III) diphenylhydrazido complex to the Ce( IV) diphenylhydrazido one was achieved through a simple cation exchange reaction of the alkali metals. As a result, UV-Vis spectroscopy, FTIR spectroscopy, electrochemistry, magnetic susceptibility, and DFT studies were used to probe the oxidation state and the electronic changes that occurred at the metal centre.« less

  18. Metal oxide nanostructures: preparation, characterization and functional applications as chemical sensors.

    PubMed

    Zappa, Dario; Bertuna, Angela; Comini, Elisabetta; Kaur, Navpreet; Poli, Nicola; Sberveglieri, Veronica; Sberveglieri, Giorgio

    2017-01-01

    Preparation and characterization of different metal oxide (NiO, WO 3 , ZnO, SnO 2 and Nb 2 O 5 ) nanostructures for chemical sensing are presented. p-Type (NiO) and n-type (WO 3 , SnO 2 , ZnO and Nb 2 O 5 ) metal oxide nanostructures were grown on alumina substrates using evaporation-condensation, thermal oxidation and hydrothermal techniques. Surface morphologies and crystal structures were investigated through scanning electron microscopy and Raman spectroscopy. Furthermore, different batches of sensors have been prepared, and their sensing performances towards carbon monoxide and nitrogen dioxide have been explored. Moreover, metal oxide nanowires have been integrated into an electronic nose and successfully applied to discriminate between drinking and contaminated water.

  19. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN filmmore » in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.« less

  20. Method for making monolithic metal oxide aerogels

    DOEpatents

    Coronado, Paul R.

    1999-01-01

    Transparent, monolithic metal oxide aerogels of varying densities are produced using a method in which a metal alkoxide solution and a catalyst solution are prepared separately and reacted. The resulting hydrolyzed-condensed colloidal solution is gelled, and the wet gel is contained within a sealed, but gas permeable, containment vessel during supercritical extraction of the solvent. The containment vessel is enclosed within an aqueous atmosphere that is above the supercritical temperature and pressure of the solvent of the metal alkoxide solution.

  1. Metal Inhibition of Growth and Manganese Oxidation in Pseudomonas putida GB-1

    NASA Astrophysics Data System (ADS)

    Pena, J.; Sposito, G.

    2009-12-01

    Biogenic manganese oxides (MnO2) are ubiquitous nanoparticulate minerals that contribute to the adsorption of nutrient and toxicant metals, the oxidative degradation of various organic compounds, and the respiration of metal-reducing bacteria in aquatic and terrestrial environments. The formation of these minerals is catalyzed by a diverse and widely-distributed group of bacteria and fungi, often through the enzymatic oxidation of aqueous Mn(II) to Mn(IV). In metal-impacted ecosystems, toxicant metals may alter the viability and metabolic activity of Mn-oxidizing organisms, thereby limiting the conditions under which biogenic MnO2 can form and diminishing their potential as adsorbent materials. Pseudomonas putida GB-1 (P. putida GB-1) is a model Mn-oxidizing laboratory culture representative of freshwater and soil biofilm-forming bacteria. Manganese oxidation in P. putida GB-1 occurs via two single-electron-transfer reactions, involving a multicopper oxidase enzyme found on the bacterial outer membrane surface. Near the onset of the stationary phase of growth, dark brown MnO2 particles are deposited in a matrix of bacterial cells and extracellular polymeric substances, thus forming heterogeneous biomineral assemblages. In this study, we assessed the influence of various transition metals on microbial growth and manganese oxidation capacity in a P. putida GB-1 culture propagated in a nutrient-rich growth medium. The concentration-response behavior of actively growing P. putida GB-1 cells was investigated for Fe, Co, Ni, Cu and Zn at pH ≈ 6 in the presence and absence of 1 mM Mn. Toxicity parameters such as EC0, EC50 and Hillslope, and EC100 were obtained from the sigmoidal concentration-response curves. The extent of MnO2 formation in the presence of the various metal cations was documented 24, 50, 74 and 104 h after the metal-amended medium was inoculated. Toxicity values were compared to twelve physicochemical properties of the metals tested. Significant

  2. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  3. Regenerable MgO promoted metal oxide oxygen carriers for chemical looping combustion

    DOEpatents

    Siriwardane, Ranjani V.; Miller, Duane D.

    2014-08-19

    The disclosure provides an oxygen carrier comprised of a plurality of metal oxide particles in contact with a plurality of MgO promoter particles. The MgO promoter particles increase the reaction rate and oxygen utilization of the metal oxide when contacting with a gaseous hydrocarbon at a temperature greater than about 725.degree. C. The promoted oxide solid is generally comprised of less than about 25 wt. % MgO, and may be prepared by physical mixing, incipient wetness impregnation, or other methods known in the art. The oxygen carrier exhibits a crystalline structure of the metal oxide and a crystalline structure of MgO under XRD crystallography, and retains these crystalline structures over subsequent redox cycles. In an embodiment, the metal oxide is Fe.sub.2O.sub.3, and the gaseous hydrocarbon is comprised of methane.

  4. Metal oxide nanoparticles with low toxicity.

    PubMed

    Ng, Alan Man Ching; Guo, Mu Yao; Leung, Yu Hang; Chan, Charis M N; Wong, Stella W Y; Yung, Mana M N; Ma, Angel P Y; Djurišić, Aleksandra B; Leung, Frederick C C; Leung, Kenneth M Y; Chan, Wai Kin; Lee, Hung Kay

    2015-10-01

    A number of different nanomaterials produced and incorporated into various products are rising. However, their environmental hazards are frequently unknown. Here we consider three different metal oxide compounds (SnO2, In2O3, and Al2O3), which have not been extensively studied and are expected to have low toxicity. This study aimed to comprehensively characterize the physicochemical properties of these nanomaterials and investigate their toxicity on bacteria (Escherichia coli) under UV illumination and in the dark, as well as on a marine diatom (Skeletonema costatum) under ambient illumination/dark (16-8h) cycles. The material properties responsible for their low toxicity have been identified based on comprehensive experimental characterizations and comparison to a metal oxide exhibiting significant toxicity under illumination (anatase TiO2). The metal oxide materials investigated exhibited significant difference in surface properties and interaction with the living organisms. In order for a material to exhibit significant toxicity, it needs to be able to both form a stable suspension in the culture medium and to interact with the cell walls of the test organism. Our results indicated that the observed low toxicities of the three nanomaterials could be attributed to the limited interaction between the nanoparticles and cell walls of the test organisms. This could occur either due to the lack of significant attachment between nanoparticles and cell walls, or due to their tendency to aggregate in solution. Copyright © 2015 Elsevier B.V. All rights reserved.

  5. Electronically conducting metal oxide nanoparticles and films for optical sensing applications

    DOEpatents

    Ohodnicki, Jr., Paul R.; Wang, Congjun; Andio, Mark A

    2014-09-16

    The disclosure relates to a method of detecting a change in a chemical composition by contacting a conducting oxide material with a monitored stream, illuminating the conducting oxide material with incident light, collecting exiting light, monitoring an optical signal based on a comparison of the incident light and the exiting light, and detecting a shift in the optical signal. The conducting metal oxide has a carrier concentration of at least 10.sup.17/cm.sup.3, a bandgap of at least 2 eV, and an electronic conductivity of at least 10.sup.-1 S/cm, where parameters are specified at the gas stream temperature. The optical response of the conducting oxide materials is proposed to result from the high carrier concentration and electronic conductivity of the conducting metal oxide, and the resulting impact of changing gas atmospheres on that relatively high carrier concentration and electronic conductivity. These changes in effective carrier densities and electronic conductivity of conducting metal oxide films and nanoparticles are postulated to be responsible for the change in measured optical absorption associated with free carriers. Exemplary conducting metal oxides include but are not limited to Al-doped ZnO, Sn-doped In.sub.2O.sub.3, Nb-doped TiO.sub.2, and F-doped SnO.sub.2.

  6. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  7. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  8. One-Dimensional Metal-Oxide Nanostructures for Solar Photocatalytic Water-Splitting

    NASA Astrophysics Data System (ADS)

    Wang, Fengyun; Song, Longfei; Zhang, Hongchao; Luo, Linqu; Wang, Dong; Tang, Jie

    2017-08-01

    Because of their unique physical and chemical properties, one-dimensional (1-D) metal-oxide nanostructures have been extensively applied in the areas of gas sensors, electrochromic devices, nanogenerators, and so on. Solar water-splitting has attracted extensive research interest because hydrogen generated from solar-driven water splitting is a clean, sustainable, and abundant energy source that not only solves the energy crisis, but also protects the environment. In this comprehensive review, the main synthesis methods, properties, and especially prominent applications in solar water splitting of 1-D metal-oxides, including titanium dioxide (TiO2), zinc oxide (ZnO), tungsten trioxide (WO3), iron oxide (Fe2O3), and copper oxide (CuO) are fully discussed.

  9. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  10. Experimental study of compatibility of reduced metal oxides with thermal energy storage lining materials

    NASA Astrophysics Data System (ADS)

    El-Leathy, Abdelrahman; Danish, Syed Noman; Al-Ansary, Hany; Jeter, Sheldon; Al-Suhaibani, Zeyad

    2016-05-01

    Solid particles have been shown to be able to operate at temperatures higher than 1000 °C in concentrated solar power (CSP) systems with thermal energy storage (TES). Thermochemical energy storage (TCES) using metal oxides have also found to be advantageous over sensible and latent heat storage concepts. This paper investigates the compatibility of the inner lining material of a TES tank with the reduced metal oxide. Two candidate metal oxides are investigated against six candidate lining materials. XRD results for both the materials are investigated and compared before and after the reduction of metal oxide at 1000°C in the presence of lining material. It is found that the lining material rich in zirconia is suitable for such application. Silicon Carbide is also found non-reacting with one of the metal oxides so it needs to be further investigated with other candidate metal oxides.

  11. Design of optimum solid oxide membrane electrolysis cells for metals production

    DOE PAGES

    Guan, Xiaofei; Pal, Uday B.

    2015-12-24

    Oxide to metal conversion is one of the most energy-intensive steps in the value chain for metals production. Solid oxide membrane (SOM) electrolysis process provides a general route for directly reducing various metal oxides to their respective metals, alloys, or intermetallics. Because of its lower energy use and ability to use inert anode resulting in zero carbon emission, SOM electrolysis process emerges as a promising technology that can replace the state-of-the-art metals production processes. In this paper, a careful study of the SOM electrolysis process using equivalent DC circuit modeling is performed and correlated to the experimental results. Finally, amore » discussion on relative importance of each resistive element in the circuit and on possible ways of lowering the rate-limiting resistive elements provides a generic guideline for designing optimum SOM electrolysis cells.« less

  12. Photoinduced electron transfer from semiconductor quantum dots to metal oxide nanoparticles.

    PubMed

    Tvrdy, Kevin; Frantsuzov, Pavel A; Kamat, Prashant V

    2011-01-04

    Quantum dot-metal oxide junctions are an integral part of next-generation solar cells, light emitting diodes, and nanostructured electronic arrays. Here we present a comprehensive examination of electron transfer at these junctions, using a series of CdSe quantum dot donors (sizes 2.8, 3.3, 4.0, and 4.2 nm in diameter) and metal oxide nanoparticle acceptors (SnO(2), TiO(2), and ZnO). Apparent electron transfer rate constants showed strong dependence on change in system free energy, exhibiting a sharp rise at small driving forces followed by a modest rise further away from the characteristic reorganization energy. The observed trend mimics the predicted behavior of electron transfer from a single quantum state to a continuum of electron accepting states, such as those present in the conduction band of a metal oxide nanoparticle. In contrast with dye-sensitized metal oxide electron transfer studies, our systems did not exhibit unthermalized hot-electron injection due to relatively large ratios of electron cooling rate to electron transfer rate. To investigate the implications of these findings in photovoltaic cells, quantum dot-metal oxide working electrodes were constructed in an identical fashion to the films used for the electron transfer portion of the study. Interestingly, the films which exhibited the fastest electron transfer rates (SnO(2)) were not the same as those which showed the highest photocurrent (TiO(2)). These findings suggest that, in addition to electron transfer at the quantum dot-metal oxide interface, other electron transfer reactions play key roles in the determination of overall device efficiency.

  13. Size effects in the thermal conductivity of gallium oxide (β-Ga{sub 2}O{sub 3}) films grown via open-atmosphere annealing of gallium nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szwejkowski, Chester J.; Giri, Ashutosh; Donovan, Brian F.

    2015-02-28

    Gallium nitride (GaN) is a widely used semiconductor for high frequency and high power devices due to of its unique electrical properties: a wide band gap, high breakdown field, and high electron mobility. However, thermal management has become a limiting factor regarding efficiency, lifetime, and advancement of GaN devices and GaN-based applications. In this work, we study the thermal conductivity of beta-phase gallium oxide (β-Ga{sub 2}O{sub 3}) thin films, a component of typical gate oxides used in such devices. We use time domain thermoreflectance to measure the thermal conductivity of a variety of polycrystalline β-Ga{sub 2}O{sub 3} films of differentmore » thicknesses grown via open atmosphere annealing of the surfaces of GaN films on sapphire substrates. We show that the measured effective thermal conductivity of these β-Ga{sub 2}O{sub 3} films can span 1.5 orders of magnitude, increasing with an increased film thickness, which is indicative of the relatively large intrinsic thermal conductivity of the β-Ga{sub 2}O{sub 3} grown via this technique (8.8 ± 3.4 W m{sup −1} K{sup −1}) and large mean free paths compared to typical gate dielectrics commonly used in GaN device contacts. By conducting time domain thermoreflectance (TDTR) measurements with different metal transducers (Al, Au, and Au with a Ti wetting layer), we attribute this variation in effective thermal conductivity to a combination of size effects in the β-Ga{sub 2}O{sub 3} film resulting from phonon scattering at the β-Ga{sub 2}O{sub 3}/GaN interface and thermal transport across the β-Ga{sub 2}O{sub 3}/GaN interface. The measured thermal properties of open atmosphere-grown β-Ga{sub 2}O{sub 3} and its interface with GaN set the stage for thermal engineering of gate contacts in high frequency GaN-based devices.« less

  14. Controlled Synthesis and Utilization of Metal and Oxide Hybrid Nanoparticles

    NASA Astrophysics Data System (ADS)

    Crane, Cameron

    This dissertation reports the development of synthetic methods concerning rationally-designed, hybrid, and multifunctional nanomaterials. These methods are based on a wet chemical, solution phase approach that utilizes the knowledge of synthetic organic and inorganic chemistry to generate building blocks in solution for the growth of nanocrystals and hybrid nanostructures. This work builds on the prior knowledge of shape-controlled synthesis of noble metal nanocrystals and expands into the challenging realm of the more reactive first row transition metals. Specifically, a microemulsion sol-gel method was developed to synthesize Au-SiO2 dimers as precursors for the synthesis of segmented heterostructures of noble metals that can be used for catalysis. This microemulsion sol-gel method was modified to synthesize an aqueous suspension of oxidation-resistant Cu-SiO2 core-shell nanoparticles that can be used for sensing and catalysis. A thermal decomposition approach was developed, wherein zero-valence metal precursor complexes in the presence of seed nanoparticles produced metal-metal oxide core-shell structures with well-controlled shell thickness. This method was demonstrated on AuCu 3-Fe3O4, AuCu3-NiO, and AuCu3 -MnO core-shell systems. Switching the core from AuCu3 alloy to pure Cu, this method could extend to Cu-Fe3O4 and Cu-MnO systems. Further etching the Cu core in these core-shell structures led to the formation of the hollow metal oxides which provides a versatile route to hollow nanostructures of metal oxides. This work develops the synthetic library of tools for the production of hybrid nanostructures with multiple functionalities.

  15. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2 \\xAF 01 )

    NASA Astrophysics Data System (ADS)

    Kollmannsberger, Sebastian L.; Walenta, Constantin A.; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N.; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-01

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α -H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga2O3(2 ¯ 01 ) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  16. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  17. A molecular catalyst for water oxidation that binds to metal oxide surfaces

    PubMed Central

    Sheehan, Stafford W.; Thomsen, Julianne M.; Hintermair, Ulrich; Crabtree, Robert H.; Brudvig, Gary W.; Schmuttenmaer, Charles A.

    2015-01-01

    Molecular catalysts are known for their high activity and tunability, but their solubility and limited stability often restrict their use in practical applications. Here we describe how a molecular iridium catalyst for water oxidation directly and robustly binds to oxide surfaces without the need for any external stimulus or additional linking groups. On conductive electrode surfaces, this heterogenized molecular catalyst oxidizes water with low overpotential, high turnover frequency and minimal degradation. Spectroscopic and electrochemical studies show that it does not decompose into iridium oxide, thus preserving its molecular identity, and that it is capable of sustaining high activity towards water oxidation with stability comparable to state-of-the-art bulk metal oxide catalysts. PMID:25757425

  18. Tuning Selectivity of CO 2 Hydrogenation Reactions at the Metal/Oxide Interface

    DOE PAGES

    Kattel, Shyam; Liu, Ping; Chen, Jingguang G.

    2017-06-26

    The chemical transformation of CO 2 not only mitigates the anthropogenic CO 2 emission into the Earth’s atmosphere but also produces carbon compounds that can be used as precursors for the production of chemicals and fuels. The activation and conversion of CO 2 can be achieved on multifunctional catalytic sites available at the metal/oxide interface by taking advantage of the synergy between the metal nanoparticles and oxide support. In this paper, we look at the recent progress in mechanistic studies of CO 2 hydrogenation to C1 (CO, CH 3OH, and CH 4) compounds on metal/oxide catalysts. On this basis, wemore » are able to provide a better understanding of the complex reaction network, grasp the capability of manipulating structure and combination of metal and oxide at the interface in tuning selectivity, and identify the key descriptors to control the activity and, in particular, the selectivity of catalysts. In conclusion, we also discuss challenges and future research opportunities for tuning the selective conversion of CO 2 on metal/oxide catalysts.« less

  19. Gas-generated thermal oxidation of a coordination cluster for an anion-doped mesoporous metal oxide.

    PubMed

    Hirai, Kenji; Isobe, Shigehito; Sada, Kazuki

    2015-12-18

    Central in material design of metal oxides is the increase of surface area and control of intrinsic electronic and optical properties, because of potential applications for energy storage, photocatalysis and photovoltaics. Here, we disclose a facile method, inspired by geochemical process, which gives rise to mesoporous anion-doped metal oxides. As a model system, we demonstrate that simple calcination of a multinuclear coordination cluster results in synchronic chemical reactions: thermal oxidation of Ti8O10(4-aminobenzoate)12 and generation of gases including amino-group fragments. The gas generation during the thermal oxidation of Ti8O10(4-aminobenzoate)12 creates mesoporosity in TiO2. Concurrently, nitrogen atoms contained in the gases are doped into TiO2, thus leading to the formation of mesoporous N-doped TiO2. The mesoporous N-doped TiO2 can be easily synthesized by calcination of the multinuclear coordination cluster, but shows better photocatalytic activity than the one prepared by a conventional sol-gel method. Owing to an intrinsic designability of coordination compounds, this facile synthetic will be applicable to a wide range of metal oxides and anion dopants.

  20. Porous metal oxide microspheres from ion exchange resin

    NASA Astrophysics Data System (ADS)

    Picart, S.; Parant, P.; Caisso, M.; Remy, E.; Mokhtari, H.; Jobelin, I.; Bayle, J. P.; Martin, C. L.; Blanchart, P.; Ayral, A.; Delahaye, T.

    2015-07-01

    This study is devoted to the synthesis and the characterization of porous metal oxide microsphere from metal loaded ion exchange resin. Their application concerns the fabrication of uranium-americium oxide pellets using the powder-free process called Calcined Resin Microsphere Pelletization (CRMP). Those mixed oxide ceramics are one of the materials envisaged for americium transmutation in sodium fast neutron reactors. The advantage of such microsphere precursor compared to classical oxide powder is the diminution of the risk of fine dissemination which can be critical for the handling of highly radioactive powders such as americium based oxides and the improvement of flowability for the filling of compaction chamber. Those millimetric oxide microspheres incorporating uranium and americium were synthesized and characterizations showed a very porous microstructure very brittle in nature which occurred to be adapted to shaping by compaction. Studies allowed to determine an optimal heat treatment with calcination temperature comprised between 700-800 °C and temperature rate lower than 2 °C/min. Oxide Precursors were die-pressed into pellets and then sintered under air to form regular ceramic pellets of 95% of theoretical density (TD) and of homogeneous microstructure. This study validated thus the scientific feasibility of the CRMP process to prepare bearing americium target in a powder free manner.

  1. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  2. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  3. Surface plasmon dispersion analysis in the metal-oxide-metal tunnel diode

    NASA Technical Reports Server (NTRS)

    Donohue, J. F.; Wang, E. Y.

    1987-01-01

    A detailed model of surface plasmon dispersion in the metal-oxide-metal tunnel diode is presented in order to clarify the spectral emission from this diode. The model predicts the location of the spectral peaks and the emission between the peaks by considering the effects of retardation on the surface plasmon. A nonradiative mode is found to play a major role in the transition from the visible to UV peaks in the diode spectra.

  4. Transport mechanisms in Schottky diodes realized on GaN

    NASA Astrophysics Data System (ADS)

    Amor, Sarrah; Ahaitouf, Ali; Ahaitouf, Abdelaziz; Salvestrini, Jean Paul; Ougazzaden, Abdellah

    2017-03-01

    This work is focused on the conducted transport mechanisms involved on devices based in gallium nitride GaN and its alloys. With considering all conduction mechanisms of current, its possible to understanded these transport phenomena. Thanks to this methodology the current-voltage characteristics of structures with unusual behaviour are further understood and explain. Actually, the barrier height (SBH) is a complex problem since it depends on several parameters like the quality of the metal-semiconductor interface. This study is particularly interesting as solar cells are made on this material and their qualification is closely linked to their transport properties.

  5. Influences of growth parameters on the reaction pathway during GaN synthesis

    NASA Astrophysics Data System (ADS)

    Zhang, Zhi; Liu, Zhongyi; Fang, Haisheng

    2018-01-01

    Gallium nitride (GaN) film growth is a complicated physical and chemical process including fluid flow, heat transfer, species transport and chemical reaction. Study of the reaction mechanism, i.e., the reaction pathway, is important for optimizing the growth process in the actual manufacture. In the paper, the growth pathway of GaN in a closed-coupled showerhead metal-organic chemical vapor deposition (CCS-MOCVD) reactor is investigated in detail using computational fluid dynamics (CFD). Influences of the process parameters, such as the chamber pressure, the inlet temperature, the susceptor temperature and the pre-exponential factor, on the reaction pathway are examined. The results show that increases of the chamber pressure or the inlet temperature, as well as reductions of the susceptor temperature or the pre-exponential factor lead to the adduct route dominating the growth. The deposition rate contributed by the decomposition route, however, can be enhanced dramatically by increasing the inlet temperature, the susceptor temperature and the pre-exponential factor.

  6. Role of the ganSPQAB Operon in Degradation of Galactan by Bacillus subtilis.

    PubMed

    Watzlawick, Hildegard; Morabbi Heravi, Kambiz; Altenbuchner, Josef

    2016-10-15

    Bacillus subtilis possesses different enzymes for the utilization of plant cell wall polysaccharides. This includes a gene cluster containing galactan degradation genes (ganA and ganB), two transporter component genes (ganQ and ganP), and the sugar-binding lipoprotein-encoding gene ganS (previously known as cycB). These genes form an operon that is regulated by GanR. The degradation of galactan by B. subtilis begins with the activity of extracellular GanB. GanB is an endo-β-1,4-galactanase and is a member of glycoside hydrolase (GH) family 53. This enzyme was active on high-molecular-weight arabinose-free galactan and mainly produced galactotetraose as well as galactotriose and galactobiose. These galacto-oligosaccharides may enter the cell via the GanQP transmembrane proteins of the galactan ABC transporter. The specificity of the galactan ABC transporter depends on the sugar-binding lipoprotein, GanS. Purified GanS was shown to bind galactotetraose and galactotriose using thermal shift assay. The energy for this transport is provided by MsmX, an ATP-binding protein. The transported galacto-oligosaccharides are further degraded by GanA. GanA is a β-galactosidase that belongs to GH family 42. The GanA enzyme was able to hydrolyze short-chain β-1,4-galacto-oligosaccharides as well as synthetic β-galactopyranosides into galactose. Thermal shift assay as well as electrophoretic mobility shift assay demonstrated that galactobiose is the inducer of the galactan operon regulated by GanR. DNase I footprinting revealed that the GanR protein binds to an operator overlapping the -35 box of the σ(A)-type promoter of Pgan, which is located upstream of ganS IMPORTANCE: Bacillus subtilis is a Gram-positive soil bacterium that utilizes different types of carbohydrates, such as pectin, as carbon sources. So far, most of the pectin degradation systems and enzymes have been thoroughly studied in B. subtilis Nevertheless, the B. subtilis utilization system of galactan, which is

  7. Process and apparatus for generating elemental sulfur and re-usable metal oxide from spent metal sulfide sorbents

    DOEpatents

    Ayala, Raul E.; Gal, Eli

    1995-01-01

    A process and apparatus for generating elemental sulfur and re-usable metal oxide from spent metal-sulfur compound. Spent metal-sulfur compound is regenerated to re-usable metal oxide by moving a bed of spent metal-sulfur compound progressively through a single regeneration vessel having a first and second regeneration stage and a third cooling and purging stage. The regeneration is carried out and elemental sulfur is generated in the first stage by introducing a first gas of sulfur dioxide which contains oxygen at a concentration less than the stoichiometric amount required for complete oxidation of the spent metal-sulfur compound. A second gas containing sulfur dioxide and excess oxygen at a concentration sufficient for complete oxidation of the partially spent metal-sulfur compound, is introduced into the second regeneration stage. Gaseous sulfur formed in the first regeneration stage is removed prior to introducing the second gas into the second regeneration stage. An oxygen-containing gas is introduced into the third cooling and purging stage. Except for the gaseous sulfur removed from the first stage, the combined gases derived from the regeneration stages which are generally rich in sulfur dioxide and lean in oxygen, are removed from the regenerator as an off-gas and recycled as the first and second gas into the regenerator. Oxygen concentration is controlled by adding air, oxygen-enriched air or pure oxygen to the recycled off-gas.

  8. Preparation of bioactive titania films on titanium metal via anodic oxidation.

    PubMed

    Cui, X; Kim, H-M; Kawashita, M; Wang, L; Xiong, T; Kokubo, T; Nakamura, T

    2009-01-01

    To research the crystal structure and surface morphology of anodic films on titanium metal in different electrolytes under various electrochemical conditions and investigate the effect of the crystal structure of the oxide films on apatite-forming ability in simulated body fluid (SBF). Titanium oxide films were prepared using an anodic oxidation method on the surface of titanium metal in four different electrolytes: sulfuric acid, acetic acid, phosphoric acid and sodium sulfate solutions with different voltages for 1 min at room temperature. Anodic films that consisted of rutile and/or anatase phases with porous structures were formed on titanium metal after anodizing in H(2)SO(4) and Na(2)SO(4) electrolytes, while amorphous titania films were produced after anodizing in CH(3)COOH and H(3)PO(4) electrolytes. Titanium metal with the anatase and/or rutile crystal structure films showed excellent apatite-forming ability and produced a compact apatite layer covering all the surface of titanium after soaking in SBF for 7d, but titanium metal with amorphous titania layers was not able to induce apatite formation. The resultant apatite layer formed on titanium metal in SBF could enhance the bonding strength between living tissue and the implant. Anodic oxidation is believed to be an effective method for preparing bioactive titanium metal as an artificial bone substitute even under load-bearing conditions.

  9. 40 CFR 721.10044 - Metal oxide, modified with alkyl and vinyl terminated polysiloxanes (generic).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 31 2011-07-01 2011-07-01 false Metal oxide, modified with alkyl and... SUBSTANCES Significant New Uses for Specific Chemical Substances § 721.10044 Metal oxide, modified with alkyl... to reporting. (1) The chemical substance identified generically as metal oxide, modified with alkyl...

  10. 40 CFR 721.10147 - Acrylate derivative of alkoxysilylalkane ester and mixed metal oxides (generic).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... alkoxysilylalkane ester and mixed metal oxides (generic). 721.10147 Section 721.10147 Protection of Environment... alkoxysilylalkane ester and mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to... ester and mixed metal oxides (PMN P-07-198) is subject to reporting under this section for the...

  11. 40 CFR 721.10147 - Acrylate derivative of alkoxysilylalkane ester and mixed metal oxides (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... alkoxysilylalkane ester and mixed metal oxides (generic). 721.10147 Section 721.10147 Protection of Environment... alkoxysilylalkane ester and mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to... ester and mixed metal oxides (PMN P-07-198) is subject to reporting under this section for the...

  12. 40 CFR 721.10147 - Acrylate derivative of alkoxysilylalkane ester and mixed metal oxides (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... alkoxysilylalkane ester and mixed metal oxides (generic). 721.10147 Section 721.10147 Protection of Environment... alkoxysilylalkane ester and mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to... ester and mixed metal oxides (PMN P-07-198) is subject to reporting under this section for the...

  13. 40 CFR 721.10574 - Alkylcarboxy polyester acrylate reaction products with mixed metal oxides (generic).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... reaction products with mixed metal oxides (generic). 721.10574 Section 721.10574 Protection of Environment... reaction products with mixed metal oxides (generic). (a) Chemical substance and significant new uses... reaction products with mixed metal oxides (PMN P-09-48) is subject to reporting under this section for the...

  14. 40 CFR 721.10147 - Acrylate derivative of alkoxysilylalkane ester and mixed metal oxides (generic).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... alkoxysilylalkane ester and mixed metal oxides (generic). 721.10147 Section 721.10147 Protection of Environment... alkoxysilylalkane ester and mixed metal oxides (generic). (a) Chemical substance and significant new uses subject to... ester and mixed metal oxides (PMN P-07-198) is subject to reporting under this section for the...

  15. 40 CFR 721.10574 - Alkylcarboxy polyester acrylate reaction products with mixed metal oxides (generic).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... reaction products with mixed metal oxides (generic). 721.10574 Section 721.10574 Protection of Environment... reaction products with mixed metal oxides (generic). (a) Chemical substance and significant new uses... reaction products with mixed metal oxides (PMN P-09-48) is subject to reporting under this section for the...

  16. Facile self-assembly and stabilization of metal oxide nanoparticles.

    PubMed

    Charbonneau, Cecile; Holliman, Peter J; Davies, Matthew L; Watson, Trystan M; Worsley, David A

    2015-03-15

    This paper describes a facile method of self-assembling different metal oxide nanoparticles into nanostructured materials via di-carboxylate linkers (oxalic acid) using TiO2 as an example. In this method, the di-carboxylate linkers react with surface hydroxyls on metal oxide nanoparticles forming covalent, ester-like bonds, which enable the binding of two metal oxide particles, one at either end of the linker and facilitates efficient self-assembly of one group of metal oxide nanoparticles homogeneously distributed onto the surface of another group. The oxalate linkers can then be removed by thermal decomposition. This approach is shown to be effective using differently-sized TiO2 nanoparticles, namely in-house synthesized 3-5nm anatase nanocrystals and Degussa P25 titania particles (mean 21nm particle size). Our data show that the application of a high temperature heat treatment (450°C for 30min), conventionally applied to achieve a stable porous structure by thermal decomposition of the linker molecules and by inducing inter-particle necking, damages the surface area of the nanostructured material. However, here we show that sintering at 300°C for 30min or by flash near infrared radiation sintering for 12s efficiently decomposes the oxalate linkers and stabilizes the nanostructure of the material whilst maintaining its high surface area. Copyright © 2013 Elsevier Inc. All rights reserved.

  17. Optical signature of Mg-doped GaN: Transfer processes

    NASA Astrophysics Data System (ADS)

    Callsen, G.; Wagner, M. R.; Kure, T.; Reparaz, J. S.; Bügler, M.; Brunnmeier, J.; Nenstiel, C.; Hoffmann, A.; Hoffmann, M.; Tweedie, J.; Bryan, Z.; Aygun, S.; Kirste, R.; Collazo, R.; Sitar, Z.

    2012-08-01

    Mg doping of high quality, metal organic chemical vapor deposition grown GaN films results in distinct traces in their photoluminescence and photoluminescence excitation spectra. We analyze GaN:Mg grown on sapphire substrates and identify two Mg related acceptor states, one additional acceptor state and three donor states that are involved in the donor-acceptor pair band transitions situated at 3.26-3.29 eV in GaN:Mg. The presented determination of the donor-acceptor pair band excitation channels by photoluminescence excitation spectroscopy in conjunction with temperature-dependent photoluminescence measurements results in a direct determination of the donor and acceptor binding, localization, and activation energies, which is put into a broader context based on Haynes's rule. Furthermore, we analyze the biexponential decay dynamics of the photoluminescence signal of the acceptor and donor bound excitons. As all observed lifetimes scale with the localization energy of the donor and acceptor related bound excitons, defect and complex bound excitons can be excluded as their origin. Detailed analysis of the exciton transfer processes in the close energetic vicinity of the GaN band edge reveals excitation via free and bound excitonic channels but also via an excited state as resolved for the deepest localized Mg related acceptor bound exciton. For the two Mg acceptor states, we determine binding energies of 164 ± 5 and 195 ± 5 meV, which is in good agreement with recent density functional theory results. This observation confirms and quantifies the general dual nature of acceptor states in GaN based on the presented analysis of the photoluminescence and photoluminescence excitation spectra.

  18. Rational design of binder-free noble metal/metal oxide arrays with nanocauliflower structure for wide linear range nonenzymatic glucose detection

    PubMed Central

    Li, Zhenzhen; Xin, Yanmei; Zhang, Zhonghai; Wu, Hongjun; Wang, Peng

    2015-01-01

    One-dimensional nanocomposites of metal-oxide and noble metal were expected to present superior performance for nonenzymatic glucose detection due to its good conductivity and high catalytic activity inherited from noble metal and metal oxide respectively. As a proof of concept, we synthesized gold and copper oxide (Au/CuO) composite with unique one-dimensional nanocauliflowers structure. Due to the nature of the synthesis method, no any foreign binder was needed in keeping either Au or CuO in place. To the best of our knowledge, this is the first attempt in combining metal oxide and noble metal in a binder-free style for fabricating nonenzymatic glucose sensor. The Au/CuO nanocauliflowers with large electrochemical active surface and high electrolyte contact area would promise a wide linear range and high sensitive detection of glucose with good stability and reproducibility due to its good electrical conductivity of Au and high electrocatalytic activity of CuO. PMID:26068705

  19. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals

    NASA Astrophysics Data System (ADS)

    Carey, Benjamin J.; Ou, Jian Zhen; Clark, Rhiannon M.; Berean, Kyle J.; Zavabeti, Ali; Chesman, Anthony S. R.; Russo, Salvy P.; Lau, Desmond W. M.; Xu, Zai-Quan; Bao, Qiaoliang; Kevehei, Omid; Gibson, Brant C.; Dickey, Michael D.; Kaner, Richard B.; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-02-01

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (~1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes.

  20. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals.

    PubMed

    Carey, Benjamin J; Ou, Jian Zhen; Clark, Rhiannon M; Berean, Kyle J; Zavabeti, Ali; Chesman, Anthony S R; Russo, Salvy P; Lau, Desmond W M; Xu, Zai-Quan; Bao, Qiaoliang; Kevehei, Omid; Gibson, Brant C; Dickey, Michael D; Kaner, Richard B; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-02-17

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (∼1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes.