Sample records for gan nanowire growth

  1. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  2. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  3. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  4. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  5. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  6. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  7. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  8. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    NASA Astrophysics Data System (ADS)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  9. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  10. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  11. Differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires with DFT method

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-05-01

    To investigate the influences of dangling bonds on GaN nanowires surface, the differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires are researched through first-principles study. The GaN nanowires along the [0001] growth direction with diameters of 3.7, 7.5 and 9.5 Å are considered. According to the results, H-saturated GaN nanowires are more stable than the unsaturated ones. With increasing nanowire diameter, unsaturated GaN nanowires become more stable, while the stability of H-saturated GaN nanowires has little change. After geometry optimization, the atomic displacements of unsaturated and H-saturated models are almost reversed. In (0001) crystal plane, Ga atoms tend to move inwards and N atoms tend to move outwards slightly for the unsaturated nanowires, while Ga atoms tend to move outwards and N atoms tend to move inwards slightly for the H-saturated nanowires. Besides, with increasing nanowire diameter, the conduction band minimum of H-saturated nanowire moves to the lower energy side, while that of the unsaturated nanowire changes slightly. The bandgaps of H-saturated nanowires are approaching to bulk GaN as the diameter increases. Absorption curves and reflectivity curves of the unsaturated and H-saturated nanowires exhibit the same trend with the change of energy except the H-saturated models which show larger variations. Through all the calculated results above, we can better understand the effects of dangling bonds on the optoelectronic properties of GaN nanowires and select more proper calculation models and methods for other calculations.

  12. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  13. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  14. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  15. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  16. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  17. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  18. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  19. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  20. General control of transition-metal-doped GaN nanowire growth: toward understanding the mechanism of dopant incorporation.

    PubMed

    Stamplecoskie, Kevin G; Ju, Ling; Farvid, Shokouh S; Radovanovic, Pavle V

    2008-09-01

    We report the first synthesis and characterization of cobalt- and chromium-doped GaN nanowires (NWs), and compare them to manganese-doped GaN NWs. Samples were synthesized by chemical vapor deposition method, using cobalt(II) chloride and chromium(III) chloride as dopant precursors. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant ions have the identical effect on GaN NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (DeltaCFSE) in their gas-phase intermediates and in substitutionally doped GaN NWs. Using iron(III) chloride and cobalt(II) acetate as dopant precursors we show that the doping concentration dependence on DeltaCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. This work further demonstrates a general and rational control of GaN NW growth using transition-metal impurities.

  1. MOCVD growth and characterization of gallium nitride and gallium antimonide nanowires

    NASA Astrophysics Data System (ADS)

    Burke, Robert Alan

    Group-III nitride and group-III antimonide thin films have been used for years in optoelectronic, high-speed applications, and high power/high temperature applications such as light emitting diodes (LEDs), microwave power devices, and thermovoltaics. In recent years, nanowires have gained interest due to the ability to take advantage of their geometry for increased light absorption and the synthesis of radial heterostructures. Several growth techniques have been explored for the growth of GaN and GaSb nanowires. Metal-organic chemical vapor deposition (MOCVD) is of particular interest due to its use in the commercial growth and fabrication of GaN-based and GaSb-based devices. The first part of this thesis focused on addressing several key issues related to the growth of GaN nanowires by MOCVD. Preliminary studies investigated the effect of growth conditions on GaN nanowire formation in a hot wall MOCVD reactor. A computational fluid dynamics-based model was developed to predict the gas phase velocity, temperature and concentration profiles in the reactor. The results demonstrate a strong dependence of GaN nanowire growth on substrate position within the reactor which is due to the rapid reaction and depletion of precursors near the gas inlet of the reactor. Ni-catalyzed GaN nanowire growth was observed to occur over the temperature range of 800-900°C, which is significantly lower than typical GaN thin film temperatures. The nanowires, however, exhibited a tapered diameter due to thin film deposition which occurred simultaneously with nanowire growth. Based on the low growth temperatures, TEM characterization was carried out to investigate the nature of the catalyst. Through these studies, the catalyst was found to consist of Ni3Ga, indicating the presence of a vapor-solid-solid growth mechanism. In an attempt to improve the nanowire growth selectivity, GeCl4 was added during growth resulting in a drastic increase in nanowire density and a reduction in the tapering

  2. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  3. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  4. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  5. Growth of gallium nitride and indium nitride nanowires on conductive and flexible carbon cloth substrates.

    PubMed

    Yang, Yi; Ling, Yichuan; Wang, Gongming; Lu, Xihong; Tong, Yexiang; Li, Yat

    2013-03-07

    We report a general strategy for synthesis of gallium nitride (GaN) and indium nitride (InN) nanowires on conductive and flexible carbon cloth substrates. GaN and InN nanowires were prepared via a nanocluster-mediated growth method using a home built chemical vapor deposition (CVD) system with Ga and In metals as group III precursors and ammonia as a group V precursor. Electron microscopy studies reveal that the group III-nitride nanowires are single crystalline wurtzite structures. The morphology, density and growth mechanism of these nanowires are determined by the growth temperature. Importantly, a photoelectrode fabricated by contacting the GaN nanowires through a carbon cloth substrate shows pronounced photoactivity for photoelectrochemical water oxidation. The ability to synthesize group III-nitride nanowires on conductive and flexible substrates should open up new opportunities for nanoscale photonic, electronic and electrochemical devices.

  6. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  7. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  8. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  9. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  10. Growth of Gallium Nitride Nanowires: A Study Using In Situ Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Diaz Rivas, Rosa Estela

    Owing to their special characteristics, group III-Nitride semiconductors have attracted special attention for their application in a wide range of optoelectronic devices. Of particular interest are their direct and wide band gaps that span from ultraviolet to the infrared wavelengths. In addition, their stronger bonds relative to the other compound semiconductors makes them thermally more stable, which provides devices with longer life time. However, the lattice mismatch between these semiconductors and their substrates cause the as-grown films to have high dislocation densities, reducing the life time of devices that contain these materials. One possible solution for this problem is to substitute single crystal semiconductor nanowires for epitaxial films. Due to their dimensionality, semiconductor nanowires typically have stress-free surfaces and better physical properties. In order to employ semiconductor nanowires as building blocks for nanoscale devices, a precise control of the nanowires' crystallinity, morphology, and chemistry is necessary. This control can be achieved by first developing a deeper understanding of the processes involved in the synthesis of nanowires, and then by determining the effects of temperature and pressure on their growth. This dissertation focuses on understanding of the growth processes involved in the formation of GaN nanowires. Nucleation and growth events were observed in situ and controlled in real-time using an environmental transmission electron microscope. These observations provide a satisfactory elucidation of the underlying growth mechanism during the formation of GaN nanowires. Nucleation of these nanowires appears to follow the vapor-liquid-solid mechanism. However, nanowire growth is found to follow both the vapor-liquid-solid and vapor-solid-solid mechanisms. Direct evidence of the effects of III/V ratio on nanowire growth is also reported, which provides important information for tailoring the synthesis of GaN

  11. Intersubband absorption in GaN nanowire heterostructures at mid-infrared wavelengths.

    PubMed

    Ajay, Akhil; Blasco, Rodrigo; Polaczynski, Jakub; Spies, Maria; den Hertog, Martien; Monroy, Eva

    2018-06-27

    In this paper, we study intersubband characteristics of GaN/AlN and GaN/Al0.4Ga0.6N heterostructures in GaN nanowires structurally designed to absorb in the mid-infrared wavelength region. Increasing the GaN well width from 1.5 to 5.7 nm leads to a red shift of the intersubband absorption from 1.4 to 3.4 µm. The red shift in larger quantum wells is amplified by the fact that one of the GaN/AlN heterointerfaces (corresponding to the growth of GaN on AlN) is not sharp but rather a graded alloy extending around 1.5-2 nm. Using AlGaN instead of AlN for the same barrier dimensions, we observe the effects of reduced polarization, which blue shifts the band-to-band transitions and red shifts the intersubband transitions. In heavily doped GaN/AlGaN nanowires, a broad absorption band is observed in the 4.5-6.4 µm spectral region. © 2018 IOP Publishing Ltd.

  12. Nanowire growth from the viewpoint of the thin film polylayer growth theory

    NASA Astrophysics Data System (ADS)

    Kashchiev, Dimo

    2018-03-01

    The theory of polylayer growth of thin solid films is employed for description of the growth kinetics of single-crystal nanowires. Expressions are derived for the dependences of the height h and radius r of a given nanowire on time t, as well as for the h(r) dependence. These dependences are applicable immediately after the nanowire nucleation on the substrate and thus include the period during which the nucleated nanowire changes its shape from that of cap to that of column. The analysis shows that the nanowire cap-to-column shape transition is continuous and makes it possible to kinetically define the nanowire shape-transition radius by means of the nanowire radial and axial growth rates. The obtained h(t), r(t) and h(r) dependences are found to provide a good description of available experimental data for growth of self-nucleated GaN nanowires by the vapor-solid mechanism.

  13. Physical origin of the incubation time of self-induced GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consonni, V.; Trampert, A.; Geelhaar, L.

    2011-07-18

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 {+-} 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  14. Atomic Resolution in Situ Imaging of a Double-Bilayer Multistep Growth Mode in Gallium Nitride Nanowires

    DOE PAGES

    Gamalski, A. D.; Tersoff, J.; Stach, E. A.

    2016-04-13

    We study the growth of GaN nanowires from liquid Au–Ga catalysts using environmental transmission electron microscopy. GaN wires grow in either (11¯20) or (11¯00) directions, by the addition of {11¯00} double bilayers via step flow with multiple steps. Step-train growth is not typically seen with liquid catalysts, and we suggest that it results from low step mobility related to the unusual double-height step structure. Finally, the results here illustrate the surprising dynamics of catalytic GaN wire growth at the nanoscale and highlight striking differences between the growth of GaN and other III–V semiconductor nanowires.

  15. Fabrication and characterization of GaN nanowire doubly clamped resonators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maliakkal, Carina B., E-mail: carina@tifr.res.in; Mathew, John P.; Hatui, Nirupam

    2015-09-21

    Gallium nitride (GaN) nanowires (NWs) have been intensely researched as building blocks for nanoscale electronic and photonic device applications; however, the mechanical properties of GaN nanostructures have not been explored in detail. The rigidity, thermal stability, and piezoelectric properties of GaN make it an interesting candidate for nano-electromechanical systems. We have fabricated doubly clamped GaN NW electromechanical resonators on sapphire using electron beam lithography and estimated the Young's modulus of GaN from resonance frequency measurements. For wires of triangular cross section with side ∼90 nm, we obtained values for the Young's modulus to be about 218 and 691 GPa, which are ofmore » the same order of magnitude as the values reported for bulk GaN. We also discuss the role of residual strain in the nanowire on the resonant frequency and the orientation dependence of the Young's modulus in wurtzite crystals.« less

  16. Synthesis and excellent field emission properties of three-dimensional branched GaN nanowire homostructures

    NASA Astrophysics Data System (ADS)

    Li, Enling; Sun, Lihe; Cui, Zhen; Ma, Deming; Shi, Wei; Wang, Xiaolin

    2016-10-01

    Three-dimensional branched GaN nanowire homostructures have been synthesized on the Si substrate via a two-step approach by chemical vapor deposition. Structural characterization reveals that the single crystal GaN nanowire trunks have hexagonal wurtzite characteristics and grow along the [0001] direction, while the homoepitaxial single crystal branches grow in a radial direction from the six-sided surfaces of the trunks. The field emission measurements demonstrate that the branched GaN nanowire homostructures have excellent field emission properties, with low turn-on field at 2.35 V/μm, a high field enhancement factor of 2938, and long emission current stability. This indicates that the present branched GaN nanowire homostructures will become valuable for practical field emission applications.

  17. Doping process of p-type GaN nanowires: A first principle study

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Diao, Yu; Feng, Shu

    2017-10-01

    The process of p-type doping for GaN nanowires is investigated using calculations starting from first principles. The influence of different doping elements, sites, types, and concentrations is discussed. Results suggest that Mg is an optimal dopant when compared to Be and Zn due to its stronger stability, whereas Be atoms are more inclined to exist in the interspace of a nanowire. Interstitially-doped GaN nanowires show notable n-type conductivity, and thus, Be is not a suitable dopant, which is to be expected since systems with inner substitutional dopants are more favorable than those with surface substitutions. Both interstitial and substitutional doping affect the atomic structure near dopants and induce charge transfer between the dopants and adjacent atoms. By altering doping sites and concentrations, nanowire atomic structures remain nearly constant. Substitutional doping models show p-type conductivity, and Mg-doped nanowires with doping concentrations of 4% showing the strongest p-type conductivity. All doping configurations are direct bandgap semiconductors. This study is expected to direct the preparation of high-quality GaN nanowires.

  18. GaN Nanowire MOSFET with Near-Ideal Subthreshold Slope.

    PubMed

    Li, Wenjun; Brubaker, Matt D; Spann, Bryan T; Bertness, Kris A; Fay, Patrick

    2018-02-01

    Wrap-around gate GaN nanowire MOSFETs using Al 2 O 3 as gate oxide have been experimentally demonstrated. The fabricated devices exhibit a minimum subthreshold slope of 60 mV/dec, an average subthreshold slope of 68 mV/dec over three decades of drain current, drain-induced barrier lowering of 27 mV/V, an on-current of 42 μA/μm (normalized by nanowire circumference), on/off ratio over 10 8 , an intrinsic transconductance of 27.8 μS/μm, for a switching efficiency figure of merit, Q=g m /SS of 0.41 μS/μm-dec/mV. These performance metrics make GaN nanowire MOSFETs a promising candidate for emerging low-power applications such as sensors and RF for the internet of things.

  19. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  20. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  1. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    PubMed

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  2. Directed growth of horizontally aligned gallium nitride nanowires for nanoelectromechanical resonator arrays.

    PubMed

    Henry, Tania; Kim, Kyungkon; Ren, Zaiyuan; Yerino, Christopher; Han, Jung; Tang, Hong X

    2007-11-01

    We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.

  3. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  4. Three-dimensional GaN/AlN nanowire heterostructures by separating nucleation and growth processes.

    PubMed

    Carnevale, Santino D; Yang, Jing; Phillips, Patrick J; Mills, Michael J; Myers, Roberto C

    2011-02-09

    Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.

  5. Dopant radial inhomogeneity in Mg-doped GaN nanowires.

    PubMed

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-22

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  6. Dopant radial inhomogeneity in Mg-doped GaN nanowires

    NASA Astrophysics Data System (ADS)

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-01

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  7. Vertical architecture for enhancement mode power transistors based on GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yu, F.; Rümmler, D.; Hartmann, J.; Caccamo, L.; Schimpke, T.; Strassburg, M.; Gad, A. E.; Bakin, A.; Wehmann, H.-H.; Witzigmann, B.; Wasisto, H. S.; Waag, A.

    2016-05-01

    The demonstration of vertical GaN wrap-around gated field-effect transistors using GaN nanowires is reported. The nanowires with smooth a-plane sidewalls have hexagonal geometry made by top-down etching. A 7-nanowire transistor exhibits enhancement mode operation with threshold voltage of 1.2 V, on/off current ratio as high as 108, and subthreshold slope as small as 68 mV/dec. Although there is space charge limited current behavior at small source-drain voltages (Vds), the drain current (Id) and transconductance (gm) reach up to 314 mA/mm and 125 mS/mm, respectively, when normalized with hexagonal nanowire circumference. The measured breakdown voltage is around 140 V. This vertical approach provides a way to next-generation GaN-based power devices.

  8. Optical regulation of protein adsorption and cell adhesion by photoresponsive GaN nanowires.

    PubMed

    Li, Jingying; Han, Qiusen; Zhang, Ying; Zhang, Wei; Dong, Mingdong; Besenbacher, Flemming; Yang, Rong; Wang, Chen

    2013-10-09

    Interfacing nanowires with living cells is attracting more and more interest due to the potential applications, such as cell culture engineering and drug delivery. We report on the feasibility of using photoresponsive semiconductor gallium nitride (GaN) nanowires (NWs) for regulating the behaviors of biomolecules and cells at the nano/biointerface. The GaN NWs have been fabricated by a facile chemical vapor deposition method. The superhydrophobicity to superhydrophilicity transition of the NWs is achieved by UV illumination. Bovine serum albumin adsorption could be modulated by photoresponsive GaN NWs. Tunable cell detachment and adhesion are also observed. The mechanism of the NW surface responsible for modulating both of protein adsorption and cell adhesion is discussed. These observations of the modulation effects on protein adsorption and cell adhesion by GaN NWs could provide a novel approach toward the regulation of the behaviors of biomolecules and cells at the nano/biointerface, which may be of considerable importance in the development of high-performance semiconductor nanowire-based biomedical devices for cell culture engineering, bioseparation, and diagnostics.

  9. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  10. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  11. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    NASA Astrophysics Data System (ADS)

    Li, Z. J.; Chen, X. L.; Li, H. J.; Tu, Q. Y.; Yang, Z.; Xu, Y. P.; Hu, B. Q.

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials.

  12. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  13. Intrinsic polarization control in rectangular GaN nanowire lasers

    DOE PAGES

    Li, Changyi; Liu, Sheng; Luk, Ting S.; ...

    2016-02-01

    In this study, we demonstrate intrinsic, linearly polarized lasing from single GaN nanowires using cross-sectional shape control. A two-step top-down fabrication approach was employed to create straight nanowires with controllable rectangular cross-sections. A clear lasing threshold of 444kW/cm 2 and a narrow spectral line width of 0.16 nm were observed under optical pumping at room temperature, indicating the onset of lasing. The polarization was along the short dimension (y-direction) of the nanowire due to the higher transverse confinement factors for y-polarized transverse modes resulting from the rectangular nanowire cross-section. The results show that cross-sectioned shape control can enable inherent controlmore » over the polarization of nanowire lasers without additional environment requirements, such as placement onto lossy substrates.« less

  14. Synthesis, Properties and Applications of Gallium Nitride Nanowires

    NASA Astrophysics Data System (ADS)

    Ma, Zheng

    This main focus of the work is on controlling the growth morphology in GaN and related nanowires. Two key results are presented: (1) demonstration of GaN nanowire growth in a newly discovered `serrated' morphology and (2) demonstration of Mn-doped, GaMnN nanowires by a new method. In (1) it is shown that simply by controlling the type of catalyst, size of the catalyst and the initial ratio of the precursor materials, GaN nanowire growth in a highly periodic serrated morphology can be obtained. Unlike regular non-serrated wires which grow in the non-polar [1010] direction, growth of the serrated wires is in the polar [0001] direction. The serrated faces are oriented in the semi-polar directions. Wires with serrated faces in both [1011] and [1122] semi-polar directions have been obtained. In (2) it has been shown that by using Au-Mn alloy catalyst method, GaMnN wire growth can be obtained. This is a significant result since this may be the first demonstration wherein Mn doping is achieved by introducing Mn as a catalyst rather than as a source material. The growth direction of these GaMnN wires is in the non-polar direction as in the case of non-serrated wires. Interestingly, unlike the non-serrated GaN wires, in this case the growth direction is [1120]. A second focus of the work is on the investigation of transport properties of serrated GaN nanowires and comparison with the non-serrated GaN nanowires. For the serrated nanowires our results indicate significant influence of surface effects on the electronic transport resulting in much higher electrical resistivity. A third focus of the work is on the investigation of magnetic properties of the GaMnN nanowires which indicates potential weak ferromagnetic behavior. This is consistent with low hole concentration and low Mn doping concentration (~0.5%) in these nanowires.

  15. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such asmore » ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be

  16. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  17. Photoelectrochemical response of GaN, InGaN, and GaNP nanowire ensembles

    NASA Astrophysics Data System (ADS)

    Philipps, Jan M.; Hölzel, Sara; Hille, Pascal; Schörmann, Jörg; Chatterjee, Sangam; Buyanova, Irina A.; Eickhoff, Martin; Hofmann, Detlev M.

    2018-05-01

    The photoelectrochemical responses of GaN, GaNP, and InGaN nanowire ensembles are investigated by the electrical bias dependent photoluminescence, photocurrent, and spin trapping experiments. The results are explained in the frame of the surface band bending model. The model is sufficient for InGaN nanowires, but for GaN nanowires the electrochemical etching processes in the anodic regime have to be considered additionally. These processes lead to oxygen rich surface (GaxOy) conditions as evident from energy dispersive X-ray fluorescence. For the GaNP nanowires, a bias dependence of the carrier transfer to the electrolyte is not reflected in the photoluminescence response, which is tentatively ascribed to a different origin of radiative recombination in this material as compared to (In)GaN. The corresponding consequences for the applications of the materials for water splitting or pH-sensing will be discussed.

  18. Imaging TiO2 nanoparticles on GaN nanowires with electrostatic force microscopy

    NASA Astrophysics Data System (ADS)

    Xie, Ting; Wen, Baomei; Liu, Guannan; Guo, Shiqi; Motayed, Abhishek; Murphy, Thomas; Gomez, R. D.

    Gallium nitride (GaN) nanowires that are functionalized with metal-oxides nanoparticles have been explored extensively for gas sensing applications in the past few years. These sensors have several advantages over conventional schemes, including miniature size, low-power consumption and fast response and recovery times. The morphology of the oxide functionalization layer is critical to achieve faster response and recovery times, with the optimal size distribution of nanoparticles being in the range of 10 to 30 nm. However, it is challenging to characterize these nanoparticles on GaN nanowires using common techniques such as scanning electron microscopy, transmission electron microscopy, and x-ray diffraction. Here, we demonstrate electrostatic force microscopy in combination with atomic force microscopy as a non-destructive technique for morphological characterization of the dispersed TiO2 nanoparticles on GaN nanowires. We also discuss the applicability of this method to other material systems with a proposed tip-surface capacitor model. This project was sponsored through N5 Sensors and the Maryland Industrial Partnerships (MIPS, #5418).

  19. Nucleation mechanisms of epitaxial GaN nanowires: Origin of their self-induced formation and initial radius

    NASA Astrophysics Data System (ADS)

    Consonni, V.; Knelangen, M.; Geelhaar, L.; Trampert, A.; Riechert, H.

    2010-02-01

    The formation mechanisms of epitaxial GaN nanowires grown within a self-induced approach by molecular-beam epitaxy have been investigated at the onset of the nucleation process by combining in situ reflection high-energy electron-diffraction measurements and ex situ high-resolution transmission electron microscopy imaging. It is shown that the self-induced growth of GaN nanowires on the AlN buffer layer is initially governed by the nucleation of dislocation-free coherent islands. These coherent islands develop through a series of shape transitions from spherical caps through truncated to full pyramids in order to elastically relieve the lattice-mismatch-induced strain. A strong correlation between the subsequent process of plastic relaxation and the final shape transition from full pyramids toward the very first nanowires is found. The experimental critical radius at which the misfit dislocation nucleates is in very good agreement with the theoretical critical radius for the formation of the misfit dislocation in full pyramids, showing that the plastic relaxation process does take place within full pyramids: this critical size corresponds to the initial radius of the very first nanowires. We associate the plastic relaxation of the lattice-mismatch-induced strain occurring within full pyramids with a drastic change in their total free energy: this gives rise to a driving force for the shape transition toward the very first nanowires, which is mainly due to the anisotropy of surface energy.

  20. Nanoscale size dependence parameters on lattice thermal conductivity of Wurtzite GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamand, S.M., E-mail: soran.mamand@univsul.net; Omar, M.S.; Muhammad, A.J.

    2012-05-15

    Graphical abstract: Temperature dependence of calculated lattice thermal conductivity of Wurtzite GaN nanowires. Highlights: Black-Right-Pointing-Pointer A modified Callaway model is used to calculate lattice thermal conductivity of Wurtzite GaN nanowires. Black-Right-Pointing-Pointer A direct method is used to calculate phonon group velocity for these nanowires. Black-Right-Pointing-Pointer 3-Gruneisen parameter, surface roughness, and dislocations are successfully investigated. Black-Right-Pointing-Pointer Dislocation densities are decreases with the decrease of wires diameter. -- Abstract: A detailed calculation of lattice thermal conductivity of freestanding Wurtzite GaN nanowires with diameter ranging from 97 to 160 nm in the temperature range 2-300 K, was performed using a modified Callaway model.more » Both longitudinal and transverse modes are taken into account explicitly in the model. A method is used to calculate the Debye and phonon group velocities for different nanowire diameters from their related melting points. Effect of Gruneisen parameter, surface roughness, and dislocations as structure dependent parameters are successfully used to correlate the calculated values of lattice thermal conductivity to that of the experimentally measured curves. It was observed that Gruneisen parameter will decrease with decreasing nanowire diameters. Scattering of phonons is assumed to be by nanowire boundaries, imperfections, dislocations, electrons, and other phonons via both normal and Umklapp processes. Phonon confinement and size effects as well as the role of dislocation in limiting thermal conductivity are investigated. At high temperatures and for dislocation densities greater than 10{sup 14} m{sup -2} the lattice thermal conductivity would be limited by dislocation density, but for dislocation densities less than 10{sup 14} m{sup -2}, lattice thermal conductivity would be independent of that.« less

  1. Mechanical responses of a-axis GaN nanowires under axial loads

    NASA Astrophysics Data System (ADS)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.

  2. Optical design of GaN nanowire arrays for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Winnerl, Julia; Hudeczek, Richard; Stutzmann, Martin

    2018-05-01

    GaN nanowire (NW) arrays are interesting candidates for photocatalytic applications due to their high surface-to-volume ratio and their waveguide character. The integration of GaN NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs. Here, we present a numerical study of the influence of the NW geometries, i.e., the NW diameter, length, and period, and the illumination wavelength on the transmission of GaN NW arrays on transparent substrates. A detailed numerical analysis reveals that the transmission characteristics for large periods are determined by the waveguide character of the single NW, whereas for dense GaN NW arrays inter-wire coupling and diffraction effects originating from the periodic arrangement of the GaN NWs dominate the transmission. The numerically simulated results are confirmed by experimental transmission measurements. We also investigate the influence of a dielectric NW shell and of the surrounding medium on the transmission characteristics of a GaN NW array.

  3. Growth and characterization of manganese doped gallium nitride nanowires.

    PubMed

    Kumar, V Suresh; Kesavamoorthy, R; Kumar, J

    2008-08-01

    Manganese doped GaN nanowires have been grown by chemical vapour transport method on sapphire (0001) substrates in the temperature range of 800-1050 degrees C. The surface features of nanowires have been investigated using Scanning Electron Microscopy (SEM), Energy Dispersive X-ray analysis (EDAX), Raman scattering studies and Electron Paramagnetic Resonance (EPR). SEM images showed that the morphology of the one dimensional materials included straight nanorods and nanowires around 70-80 nm. Raman spectrum showed the GaMnN vibrational modes at 380, 432 and 445 cm(-1). EPR measurements were performed on Mn doped GaN nanowires in order to evaluate the magnetic behaviour.

  4. Stable and High Piezoelectric Output of GaN Nanowire-Based Lead-Free Piezoelectric Nanogenerator by Suppression of Internal Screening.

    PubMed

    Johar, Muhammad Ali; Hassan, Mostafa Afifi; Waseem, Aadil; Ha, Jun-Seok; Lee, June Key; Ryu, Sang-Wan

    2018-06-14

    A piezoelectric nanogenerator (PNG) that is based on c-axis GaN nanowires is fabricated on flexible substrate. In this regard, c-axis GaN nanowires were grown on GaN substrate using the vapor-liquid-solid (VLS) technique by metal organic chemical vapor deposition. Further, Polydimethylsiloxane (PDMS) was coated on nanowire-arrays then PDMS matrix embedded with GaN nanowire-arrays was transferred on Si-rubber substrate. The piezoelectric performance of nanowire-based flexible PNG was measured, while the device was actuated using a cyclic stretching-releasing agitation mechanism that was driven by a linear motor. The piezoelectric output was measured as a function of actuation frequency ranging from 1 Hz to 10 Hz and a linear tendency was observed for piezoelectric output current, while the output voltages remained constant. A maximum of piezoelectric open circuit voltages and short circuit current were measured 15.4 V and 85.6 nA, respectively. In order to evaluate the feasibility of our flexible PNG for real application, a long term stability test was performed for 20,000 cycles and the device performance was degraded by less than 18%. The underlying reason for the high piezoelectric output was attributed to the reduced free carriers inside nanowires due to surface Fermi-level pinning and insulating metal-dielectric-semiconductor interface, respectively; the former reduced the free carrier screening radially while latter reduced longitudinally. The flexibility and the high aspect ratio of GaN nanowire were the responsible factors for higher stability. Such higher piezoelectric output and the novel design make our device more promising for the diverse range of real applications.

  5. GaN Nanowire Devices: Fabrication and Characterization

    NASA Astrophysics Data System (ADS)

    Scott, Reum

    The development of microelectronics in the last 25 years has been characterized by an exponential increase of the bit density in integrated circuits (ICs) with time. Scaling solid-state devices improves cost, performance, and power; as such, it is of particular interest for companies, who gain a market advantage with the latest technology. As a result, the microelectronics industry has driven transistor feature size scaling from 10 μm to ~30 nm during the past 40 years. This trend has persisted for 40 years due to optimization, new processing techniques, device structures, and materials. But when noting processor speeds from the 1970's to 2009 and then again in 2010, the implication would be that the trend has ceased. To address the challenge of shrinking the integrated circuit (IC), current research is centered on identifying new materials and devices that can supplement and/or potentially supplant it. Bottom-up methods tailor nanoscale building blocks---atoms, molecules, quantum dots, and nanowires (NWs)---to be used to overcome these limitations. The Group IIIA nitrides (InN, AlN, and GaN) possess appealing properties such as a direct band gap spanning the whole solar spectrum, high saturation velocity, and high breakdown electric field. As a result nanostructures and nanodevices made from GaN and related nitrides are suitable candidates for efficient nanoscale UV/ visible light emitters, detectors, and gas sensors. To produce devices with such small structures new fabrication methods must be implemented. Devices composed of GaN nanowires were fabricated using photolithography and electron beam lithography. The IV characteristics of these devices were noted under different illuminations and the current tripled from 4.8*10-7 A to 1.59*10 -6 A under UV light which persisted for at least 5hrs.

  6. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  7. Low voltage operation of GaN vertical nanowire MOSFET

    NASA Astrophysics Data System (ADS)

    Son, Dong-Hyeok; Jo, Young-Woo; Seo, Jae Hwa; Won, Chul-Ho; Im, Ki-Sik; Lee, Yong Soo; Jang, Hwan Soo; Kim, Dae-Hyun; Kang, In Man; Lee, Jung-Hee

    2018-07-01

    GaN gate-all-around (GAA) vertical nanowire MOSFET (VNWMOSFET) with channel length of 300 nm and diameter of 120 nm, the narrowest GaN-based vertical nanowire transistor ever achieved from the top-down approach, was fabricated by utilizing anisotropic side-wall wet etching in TMAH solution and photoresist etch-back process. The VNWMOSFET exhibited output characteristics with very low saturation drain voltage of less than 0.5 V, which is hardly observed from the wide bandgap-based devices. Simulation results indicated that the narrow diameter of the VNWMOSFET with relatively short channel length is responsible for the low voltage operation. The VNWMOSFET also demonstrated normally-off mode with threshold voltage (VTH) of 0.7 V, extremely low leakage current of ∼10-14 A, low drain-induced barrier lowering (DIBL) of 125 mV/V, and subthreshold swing (SS) of 66-122 mV/decade. The GaN GAA VNWMOSFET with narrow channel diameter investigated in this work would be promising for new low voltage logic application. He has been a Professor with the School of Electrical Engineering and Computer Science, Kyungpook National University, Daegu, Korea, since 1993

  8. Hexagonal Nanopyramidal Prisms of Nearly Intrinsic InN on Patterned GaN Nanowire Arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Golam Sarwar, A. T. M.; Leung, Benjamin; Wang, George T.

    By using multiple growth steps that separate the nucleation and growth processes, we show that nearly intrinsic InN single nanocrystals of high optical quality can be formed on patterned GaN nanowire arrays by molecular beam epitaxy. The InN nanostructures form into well-defined hexagonal prisms with pyramidal tops. Micro-photoluminescence (μ-PL) is carried out at low temperature (LT: 28.2 K) and room temperature (RT: 285 K) to gauge the relative material quality of the InN nanostructures. Nanopyramidal prisms grown using a three-step growth method are found to show superior quantum efficiency. In conclusion, excitation and temperature dependent μ-PL demonstrates the very highmore » quality and nearly intrinsic nature of the ordered InN nanostructure arrays.« less

  9. Hexagonal Nanopyramidal Prisms of Nearly Intrinsic InN on Patterned GaN Nanowire Arrays

    DOE PAGES

    Golam Sarwar, A. T. M.; Leung, Benjamin; Wang, George T.; ...

    2018-01-04

    By using multiple growth steps that separate the nucleation and growth processes, we show that nearly intrinsic InN single nanocrystals of high optical quality can be formed on patterned GaN nanowire arrays by molecular beam epitaxy. The InN nanostructures form into well-defined hexagonal prisms with pyramidal tops. Micro-photoluminescence (μ-PL) is carried out at low temperature (LT: 28.2 K) and room temperature (RT: 285 K) to gauge the relative material quality of the InN nanostructures. Nanopyramidal prisms grown using a three-step growth method are found to show superior quantum efficiency. In conclusion, excitation and temperature dependent μ-PL demonstrates the very highmore » quality and nearly intrinsic nature of the ordered InN nanostructure arrays.« less

  10. Piezo-generator integrating a vertical array of GaN nanowires.

    PubMed

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  11. Electrical current flow at conductive nanowires formed in GaN thin films by a dislocation template technique

    NASA Astrophysics Data System (ADS)

    Amma, Shin-ichi; Tokumoto, Yuki; Edagawa, Keiichi; Shibata, Naoya; Mizoguchi, Teruyasu; Yamamoto, Takahisa; Ikuhara, Yuichi

    2010-05-01

    Conductive nanowires were fabricated in GaN thin film by selectively doping of Al along threading dislocations. Electrical current flow localized at the nanowires was directly measured by a contact mode atomic force microscope. The current flow at the nanowires was considered to be Frenkel-Poole emission mode, suggesting the existence of the deep acceptor level along the nanowires as a possible cause of the current flow. The results obtained in this study show the possibility for fabricating nanowires using pipe-diffusion at dislocations in solid thin films.

  12. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  13. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  14. Polarity in GaN and ZnO: Theory, measurement, growth, and devices

    NASA Astrophysics Data System (ADS)

    Zúñiga-Pérez, Jesús; Consonni, Vincent; Lymperakis, Liverios; Kong, Xiang; Trampert, Achim; Fernández-Garrido, Sergio; Brandt, Oliver; Renevier, Hubert; Keller, Stacia; Hestroffer, Karine; Wagner, Markus R.; Reparaz, Juan Sebastián; Akyol, Fatih; Rajan, Siddharth; Rennesson, Stéphanie; Palacios, Tomás; Feuillet, Guy

    2016-12-01

    The polar nature of the wurtzite crystalline structure of GaN and ZnO results in the existence of a spontaneous electric polarization within these materials and their associated alloys (Ga,Al,In)N and (Zn,Mg,Cd)O. The polarity has also important consequences on the stability of the different crystallographic surfaces, and this becomes especially important when considering epitaxial growth. Furthermore, the internal polarization fields may adversely affect the properties of optoelectronic devices but is also used as a potential advantage for advanced electronic devices. In this article, polarity-related issues in GaN and ZnO are reviewed, going from theoretical considerations to electronic and optoelectronic devices, through thin film, and nanostructure growth. The necessary theoretical background is first introduced and the stability of the cation and anion polarity surfaces is discussed. For assessing the polarity, one has to make use of specific characterization methods, which are described in detail. Subsequently, the nucleation and growth mechanisms of thin films and nanostructures, including nanowires, are presented, reviewing the specific growth conditions that allow controlling the polarity of such objects. Eventually, the demonstrated and/or expected effects of polarity on the properties and performances of optoelectronic and electronic devices are reported. The present review is intended to yield an in-depth view of some of the hot topics related to polarity in GaN and ZnO, a fast growing subject over the last decade.

  15. Cs/NF3 adsorption on [001]-oriented GaN nanowire surface: A first principle calculation

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-11-01

    In this study, the adsorption mechanism of Cs/NF3 on the [001]-oriented GaN nanowire surface is investigated by using the density function theory based on first-principles. In the Cs/NF3 co-activation process, the system is inclined to form NF3-in structure. Through the calculation results of adsorption energy, NF3 molecule adsorption tends to take an orientation with F atoms on top and the most favorable adsorption site is BGa-N. The NF3 activation process can further cut down the work function of the Cs-covered nanowire surface only when Cs coverage is 0.75 ML and 1 ML, which can be explained by the double dipole moment theory. With increasing Cs coverage, the valence band and conduction band both shift to lower energy side, contributing to the appearance of a downward band bending region and promoting the escape of surface photoelectrons. After NF3 molecule adsorption, the peak of total density of states near Fermi level increase due to the orbital hybridization between NF3-2s, Cs-5s states and N-2p states, which strengthen the conductivity of the nanowire surface and leads to the metallic properties. All these calculations may direct the Cs/NF3 activation process of GaN nanowire optoelectronic devices.

  16. Insufficiency of the Young's modulus for illustrating the mechanical behavior of GaN nanowires.

    PubMed

    Kouhpanji, Mohammad Reza Zamani; Behzadirad, Mahmoud; Feezell, Daniel; Busani, Tito

    2018-05-18

    We use a non-classical modified couple stress theory including the acceleration gradients (MCST-AG), to precisely demonstrate the size dependency of the mechanical properties of gallium nitride (GaN) nanowires (NWs). The fundamental elastic constants, Young's modulus and length scales of the GaN NWs were estimated both experimentally, using a novel experimental technique applied to atomic force microscopy, and theoretically, using atomic simulations. The Young's modulus, static and the dynamic length scales, calculated with the MCST-AG, were found to be 323 GPa, 13 and 14.5 nm, respectively, for GaN NWs from a few nanometers radii to bulk radii. Analyzing the experimental data using the classical continuum theory shows an improvement in the experimental results by introducing smaller error. Using the length scales determined in MCST-AG, we explain the inconsistency of the Young's moduli reported in recent literature, and we prove the insufficiency of the Young's modulus for predicting the mechanical behavior of GaN NWs.

  17. Composition and Band Gap Tailoring of Crystalline (GaN)1- x(ZnO) x Solid Solution Nanowires for Enhanced Photoelectrochemical Performance.

    PubMed

    Li, Jing; Liu, Baodan; Wu, Aimin; Yang, Bing; Yang, Wenjin; Liu, Fei; Zhang, Xinglai; An, Vladimir; Jiang, Xin

    2018-05-07

    Photoelectrochemical water splitting has emerged as an effective artificial photosynthesis technology to generate clean energy of H 2 from sunlight. The core issue in this reaction system is to develop a highly efficient photoanode with a large fraction of solar light absorption and greater active surface area. In this work, we take advantage of energy band engineering to synthesize (GaN) 1- x (ZnO) x solid solution nanowires with ZnO contents ranging from 10.3% to 47.6% and corresponding band gap tailoring from 3.08 to 2.77 eV on the basis of the Au-assisted VLS mechanism. The morphology of nanowires directly grown on the conductive substrate facilitates the charge transfer and simultaneously improves the surface reaction sites. As a result, a photocurrent approximately 10 times larger than that for a conventional powder-based photoanode is obtained, which indicates the potential of (GaN) 1- x (ZnO) x nanowires in the preparation of superior photoanodes for enhanced water splitting. It is anticipated that the water-splitting capability of (GaN) 1- x (ZnO) x nanowire can be further increased through alignment control for enhanced visible light absorption and reduction of charge transfer resistance.

  18. Insufficiency of the Young’s modulus for illustrating the mechanical behavior of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Zamani Kouhpanji, Mohammad Reza; Behzadirad, Mahmoud; Feezell, Daniel; Busani, Tito

    2018-05-01

    We use a non-classical modified couple stress theory including the acceleration gradients (MCST-AG), to precisely demonstrate the size dependency of the mechanical properties of gallium nitride (GaN) nanowires (NWs). The fundamental elastic constants, Young’s modulus and length scales of the GaN NWs were estimated both experimentally, using a novel experimental technique applied to atomic force microscopy, and theoretically, using atomic simulations. The Young’s modulus, static and the dynamic length scales, calculated with the MCST-AG, were found to be 323 GPa, 13 and 14.5 nm, respectively, for GaN NWs from a few nanometers radii to bulk radii. Analyzing the experimental data using the classical continuum theory shows an improvement in the experimental results by introducing smaller error. Using the length scales determined in MCST-AG, we explain the inconsistency of the Young’s moduli reported in recent literature, and we prove the insufficiency of the Young’s modulus for predicting the mechanical behavior of GaN NWs.

  19. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  20. Surface Passivation of GaN Nanowires for Enhanced Photoelectrochemical Water-Splitting.

    PubMed

    Varadhan, Purushothaman; Fu, Hui-Chun; Priante, Davide; Retamal, Jose Ramon Duran; Zhao, Chao; Ebaid, Mohamed; Ng, Tien Khee; Ajia, Idirs; Mitra, Somak; Roqan, Iman S; Ooi, Boon S; He, Jr-Hau

    2017-03-08

    Hydrogen production via photoelectrochemical water-splitting is a key source of clean and sustainable energy. The use of one-dimensional nanostructures as photoelectrodes is desirable for photoelectrochemical water-splitting applications due to the ultralarge surface areas, lateral carrier extraction schemes, and superior light-harvesting capabilities. However, the unavoidable surface states of nanostructured materials create additional charge carrier trapping centers and energy barriers at the semiconductor-electrolyte interface, which severely reduce the solar-to-hydrogen conversion efficiency. In this work, we address the issue of surface states in GaN nanowire photoelectrodes by employing a simple and low-cost surface treatment method, which utilizes an organic thiol compound (i.e., 1,2-ethanedithiol). The surface-treated photocathode showed an enhanced photocurrent density of -31 mA/cm 2 at -0.2 V versus RHE with an incident photon-to-current conversion efficiency of 18.3%, whereas untreated nanowires yielded only 8.1% efficiency. Furthermore, the surface passivation provides enhanced photoelectrochemical stability as surface-treated nanowires retained ∼80% of their initial photocurrent value and produced 8000 μmol of gas molecules over 55 h at acidic conditions (pH ∼ 0), whereas the untreated nanowires demonstrated only <4 h of photoelectrochemical stability. These findings shed new light on the importance of surface passivation of nanostructured photoelectrodes for photoelectrochemical applications.

  1. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  2. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  3. Axial p-n junction and space charge limited current in single GaN nanowire.

    PubMed

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-05

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 10 17 at cm -3 assuming a donor level N d of 2-3 × 10 18 at cm -3 . The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  4. Axial p-n junction and space charge limited current in single GaN nanowire

    NASA Astrophysics Data System (ADS)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-01

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 1017 at cm-3 assuming a donor level N d of 2-3 × 1018 at cm-3. The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  5. GaN nanowire arrays with nonpolar sidewalls for vertically integrated field-effect transistors

    NASA Astrophysics Data System (ADS)

    Yu, Feng; Yao, Shengbo; Römer, Friedhard; Witzigmann, Bernd; Schimpke, Tilman; Strassburg, Martin; Bakin, Andrey; Schumacher, Hans Werner; Peiner, Erwin; Suryo Wasisto, Hutomo; Waag, Andreas

    2017-03-01

    Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

  6. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  7. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    NASA Astrophysics Data System (ADS)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  8. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  9. Growth and characterization of GaN nanostructures under various ammoniating time with fabricated Schottky gas sensor based on Si substrate

    NASA Astrophysics Data System (ADS)

    Abdullah, Q. N.; Ahmed, A. R.; Ali, A. M.; Yam, F. K.; Hassan, Z.; Bououdina, M.; Almessiere, M. A.

    2018-05-01

    This paper presents the investigation of the influence of the ammoniating time of GaN nanowires (NWs) on the crystalline structure, surface morphology, and optical characteristics. Morphological analysis indicates the growth of good quality and high density of NWs with diameters around 50 nm and lengths up to tens of microns after ammoniating for 30 min. Structural analysis shows that GaN NWs have a typical hexagonal wurtzite crystal structure. Raman spectroscopy confirms the formation of GaN compound with the presence of compressive stress. Photoluminescence (PL) measurements revealed two band emissions, an UV and a broad visible emission. Hydrogen sensor was subsequently fabricated by depositing Pt Schottky contact onto GaN NWs film. The sensor response was measured at various H2 concentrations ranged from 200 up to 1200 ppm at room temperature. It was found that the response increases significantly for low H2 concentration (200-300 ppm) to reach about 50% then increases smoothly to reach 60% at 1200 ppm. The as-fabricated sensor possesses higher performances as compared to similar devices reported in the literature.

  10. Cathodoluminescence of stacking fault bound excitons for local probing of the exciton diffusion length in single GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nogues, Gilles, E-mail: gilles.nogues@neel.cnrs.fr; Den Hertog, Martien; Inst. NEEL, CNRS, F-38042 Grenoble

    We perform correlated studies of individual GaN nanowires in scanning electron microscopy combined to low temperature cathodoluminescence, microphotoluminescence, and scanning transmission electron microscopy. We show that some nanowires exhibit well localized regions emitting light at the energy of a stacking fault bound exciton (3.42 eV) and are able to observe the presence of a single stacking fault in these regions. Precise measurements of the cathodoluminescence signal in the vicinity of the stacking fault give access to the exciton diffusion length near this location.

  11. Basic ammonothermal GaN growth in molybdenum capsules

    NASA Astrophysics Data System (ADS)

    Pimputkar, S.; Speck, J. S.; Nakamura, S.

    2016-12-01

    Single crystal, bulk gallium nitride (GaN) crystals were grown using the basic ammonothermal method in a high purity growth environment created using a non-hermetically sealed molybdenum (Mo) capsule and compared to growths performed in a similarly designed silver (Ag) capsule and capsule-free René 41 autoclave. Secondary ion mass spectrometry (SIMS) analysis revealed transition metal free (<1×1017 cm-3) GaN crystals. Anomalously low oxygen concentrations ((2-6)×1018 cm-3) were measured in a {0001} seeded crystal boule grown using a Mo capsule, despite higher source material oxygen concentrations ((1-5)×1019 cm-3) suggesting that molybdenum (or molybdenum nitrides) may act to getter oxygen under certain conditions. Total system pressure profiles from growth runs in a Mo capsule system were comparable to those without a capsule, with pressures peaking within 2 days and slowly decaying due to hydrogen diffusional losses. Measured Mo capsule GaN growth rates were comparable to un-optimized growth rates in capsule-free systems and appreciably slower than in Ag-capsule systems. Crystal quality replicated that of the GaN seed crystals for all capsule conditions, with high quality growth occurring on the (0001) Ga-face. Optical absorption and impurity concentration characterization suggests reduced concentrations of hydrogenated gallium vacancies (VGa-Hx).

  12. GaN nanowire arrays with nonpolar sidewalls for vertically integrated field-effect transistors.

    PubMed

    Yu, Feng; Yao, Shengbo; Römer, Friedhard; Witzigmann, Bernd; Schimpke, Tilman; Strassburg, Martin; Bakin, Andrey; Schumacher, Hans Werner; Peiner, Erwin; Wasisto, Hutomo Suryo; Waag, Andreas

    2017-03-03

    Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

  13. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  14. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  15. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  16. Pt-decorated GaN nanowires with significant improvement in H2 gas-sensing performance at room temperature.

    PubMed

    Abdullah, Q N; Yam, F K; Hassan, Z; Bououdina, M

    2015-12-15

    Superior sensitivity towards H2 gas was successfully achieved with Pt-decorated GaN nanowires (NWs) gas sensor. GaN NWs were fabricated via chemical vapor deposition (CVD) route. Morphology (field emission scanning electron microscopy and transmission electron microscopy) and crystal structure (high resolution X-ray diffraction) characterizations of the as-synthesized nanostructures demonstrated the formation of GaN NWs having a wurtzite structure, zigzaged shape and an average diameter of 30-166nm. The Pt-decorated GaN NWs sensor shows a high response of 250-2650% upon exposure to H2 gas concentration from 7 to 1000ppm respectively at room temperature (RT), and then increases to about 650-4100% when increasing the operating temperature up to 75°C. The gas-sensing measurements indicated that the Pt-decorated GaN NWs based sensor exhibited efficient detection of H2 at low concentration with excellent sensitivity, repeatability, and free hysteresis phenomena over a period of time of 100min. The large surface-to-volume ratio of GaN NWs and the catalytic activity of Pt metal are the most influential factors leading to the enhancement of H2 gas-sensing performances through the improvement of the interaction between the target molecules (H2) and the sensing NWs surface. The attractive low-cost, low power consumption and high-performance of the resultant decorated GaN NWs gas sensor assure their uppermost potential for H2 gas sensor working at low operating temperature. Copyright © 2015 Elsevier Inc. All rights reserved.

  17. Crystallographic alignment of high-density gallium nitride nanowire arrays.

    PubMed

    Kuykendall, Tevye; Pauzauskie, Peter J; Zhang, Yanfeng; Goldberger, Joshua; Sirbuly, Donald; Denlinger, Jonathan; Yang, Peidong

    2004-08-01

    Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.

  18. Bending nanowire growth in solution by mechanical disturbance.

    PubMed

    Wang, Chao; Wei, Yujie; Jiang, Hongyuan; Sun, Shouheng

    2010-06-09

    The effect of mechanical disturbance on one-dimensional nanocrystal growth in solution phase is investigated by controlled growth of Au nanowires with and without stirring. While a static growth leads to straight, single-crystal Au nanowires, the mechanic disturbance by stirring tends to bend the nanowire growth, yielding nanowire kinks abundant in various types of crystal defects including dislocations, twin boundaries, and grain boundaries. Mechanical modeling and analysis is introduced to elucidate the nanowire growth mechanisms in these two conditions. The provided fundamental understanding of crystal defect formation at nanoscale could be applied to guide the development of advanced nanomaterials with shape control and unique mechanical properties.

  19. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires.

    PubMed

    Song, Erdong; Li, Qiming; Swartzentruber, Brian; Pan, Wei; Wang, George T; Martinez, Julio A

    2016-01-08

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN core of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. Selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.

  20. Self-Supporting GaN Nanowires/Graphite Paper: Novel High-Performance Flexible Supercapacitor Electrodes.

    PubMed

    Wang, Shouzhi; Sun, Changlong; Shao, Yongliang; Wu, Yongzhong; Zhang, Lei; Hao, Xiaopeng

    2017-02-01

    Flexible supercapacitors have attracted great interest as energy storage devices because of their promise in applications such as wearable and smart electronic devices. Herein, a novel flexible supercapacitor electrode based on gallium nitride nanowire (GaN NW)/graphite paper (GP) nanocomposites is reported. The outstanding electrical conductivities of the GaN NW (6.36 × 10 2 S m -1 ) and GP (7.5 × 10 4 S m -1 ) deliver a synergistically enhanced electrochemical performance that cannot be achieved by either of the components alone. The composite electrode exhibits excellent specific capacitance (237 mF cm -2 at 0.1 mA cm -2 ) and outstanding cycling performance (98% capacitance retention after 10 000 cycles). The flexible symmetric supercapacitor also manifests high energy and power densities (0.30 mW h cm -3 and 1000 mW cm -3 ). These findings demonstrate that the GaN/GP composite electrode has significant potential as a candidate for the flexible energy storage devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Room-temperature photodetection dynamics of single GaN nanowires.

    PubMed

    González-Posada, F; Songmuang, R; Den Hertog, M; Monroy, E

    2012-01-11

    We report on the photocurrent behavior of single GaN n-i-n nanowires (NWs) grown by plasma-assisted molecular-beam epitaxy on Si(111). These structures present a photoconductive gain in the range of 10(5)-10(8) and an ultraviolet (350 nm) to visible (450 nm) responsivity ratio larger than 6 orders of magnitude. Polarized light couples with the NW geometry with a maximum photoresponse for polarization along the NW axis. The photocurrent scales sublinearly with optical power, following a I ~ P(β) law (β < 1) in the measured range with β increasing with the measuring frequency. The photocurrent time response remains in the millisecond range, which is in contrast to the persistent (hours) photoconductivity effects observed in two-dimensional photoconductors. The photocurrent is independent of the measuring atmosphere, either in the air or in vacuum. Results are interpreted taking into account the effect of surface states and the total depletion of the NW intrinsic region. © 2011 American Chemical Society

  2. Au-Assisted Substrate-Faceting for Inclined Nanowire Growth.

    PubMed

    Kang, Jung-Hyun; Krizek, Filip; Zaluska-Kotur, Magdalena; Krogstrup, Peter; Kacman, Perla; Beidenkopf, Haim; Shtrikman, Hadas

    2018-06-12

    We study the role of gold droplets in the initial stage of nanowire growth via the vapor-liquid-solid method. Apart from serving as a collections center for growth species, the gold droplets carry an additional crucial role that necessarily precedes the nanowire emergence, that is, they assist the nucleation of nanocraters with strongly faceted {111}B side walls. Only once these facets become sufficiently large and regular, the gold droplets start nucleating and guiding the growth of nanowires. We show that this dual role of the gold droplets can be detected and monitored by high-energy electron diffraction during growth. Moreover, gold-induced formation of craters and the onset of nanowires growth on the {111}B facets inside the craters are confirmed by the results of Monte Carlo simulations. The detailed insight into the growth mechanism of inclined nanowires will help to engineer new and complex nanowire-based device architectures.

  3. p-Type dopant incorporation and surface charge properties of catalyst-free GaN nanowires revealed by micro-Raman scattering and X-ray photoelectron spectroscopy.

    PubMed

    Wang, Q; Liu, X; Kibria, M G; Zhao, S; Nguyen, H P T; Li, K H; Mi, Z; Gonzalez, T; Andrews, M P

    2014-09-07

    Micro-Raman scattering and X-ray photoelectron spectroscopy were employed to investigate Mg-doped GaN nanowires. With the increase of Mg doping level, pronounced Mg-induced local vibrational modes were observed. The evolution of longitudinal optical phonon-plasmon coupled mode, together with detailed X-ray photoelectron spectroscopy studies, show that the near-surface region of nanowires can be transformed from weakly n-type to p-type with the increase of Mg doping.

  4. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  5. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainey, Mel F.; Redwing, Joan M.

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis onmore » methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.« less

  6. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Erdong; Li, Qiming; Swartzentruber, Brian

    2015-11-25

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN coremore » of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. As a result, selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.« less

  7. Nanowire growth and sublimation: CdTe quantum dots in ZnTe nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, M.; Robin, E.; Den Hertog, M.; Moratis, K.; Genuist, Y.; André, R.; Ferrand, D.; Cibert, J.; Bellet-Amalric, E.

    2018-04-01

    The role of the sublimation of the compound and of the evaporation of the constituents from the gold nanoparticle during the growth of semiconductor nanowires is exemplified with CdTe-ZnTe heterostructures. Operating close to the upper temperature limit strongly reduces the amount of Cd present in the gold nanoparticle and the density of adatoms on the nanowire sidewalls. As a result, the growth rate is small and strongly temperature dependent, but a good control of the growth conditions allows the incorporation of quantum dots in nanowires with sharp interfaces and adjustable shape, and it minimizes the radial growth and the subsequent formation of additional CdTe clusters on the nanowire sidewalls, as confirmed by photoluminescence. Uncapped CdTe segments dissolve into the gold nanoparticle when interrupting the flux, giving rise to a bulblike (pendant-droplet) shape attributed to the Kirkendall effect.

  8. Guided Growth of Horizontal p-Type ZnTe Nanowires

    PubMed Central

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  9. Guided Growth of Horizontal p-Type ZnTe Nanowires.

    PubMed

    Reut, Gilad; Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2016-08-04

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor-liquid-solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means.

  10. Catalyst–substrate interaction and growth delay in vapor–liquid–solid nanowire growth

    NASA Astrophysics Data System (ADS)

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-01

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  11. Catalyst-substrate interaction and growth delay in vapor-liquid-solid nanowire growth.

    PubMed

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-18

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  12. Triple-twin domains in Mg doped GaN wurtzite nanowires: structural and electronic properties of this zinc-blende-like stacking

    NASA Astrophysics Data System (ADS)

    Arbiol, Jordi; Estradé, Sònia; Prades, Joan D.; Cirera, Albert; Furtmayr, Florian; Stark, Christoph; Laufer, Andreas; Stutzmann, Martin; Eickhoff, Martin; Gass, Mhairi H.; Bleloch, Andrew L.; Peiró, Francesca; Morante, Joan R.

    2009-04-01

    We report on the effect of Mg doping on the properties of GaN nanowires grown by plasma assisted molecular beam epitaxy. The most significant feature is the presence of triple-twin domains, the density of which increases with increasing Mg concentration. The resulting high concentration of misplaced atoms gives rise to local changes in the crystal structure equivalent to the insertion of three non-relaxed zinc-blende (ZB) atomic cells, which result in quantum wells along the wurtzite (WZ) nanowire growth axis. High resolution electron energy loss spectra were obtained exactly on the twinned (zinc-blende) and wurtzite planes. These atomically resolved measurements, which allow us to identify modifications in the local density of states, revealed changes in the band to band electronic transition energy from 3.4 eV for wurtzite to 3.2 eV in the twinned lattice regions. These results are in good agreement with specific ab initio atomistic simulations and demonstrate that the redshift observed in previous photoluminescence analyses is directly related to the presence of these zinc-blende domains, opening up new possibilities for band-structure engineering.

  13. Surface sensitization mechanism on negative electron affinity p-GaN nanowires

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu; Lu, Feifei

    2018-03-01

    The surface sensitization is the key to prepare negative electron affinity photocathode. The thesis emphasizes on the study of surface sensitization mechanism of p-type doping GaN nanowires utilizing first principles based on density function theory. The adsorption energy, work function, dipole moment, geometry structure, electronic structure and optical properties of Mg-doped GaN nanowires surfaces with various coverages of Cs atoms are investigated. The GaN nanowire with Mg doped in core position is taken as the sensitization base. At the initial stage of sensitization, the best adsorption site for Cs atom on GaN nanowire surface is BN, the bridge site of two adjacent N atoms. Surface sensitization generates a p-type internal surface with an n-type surface state, introducing a band bending region which can help reduce surface barrier and work function. With increasing Cs coverage, work functions decrease monotonously and the "Cs-kill" phenomenon disappears. For Cs coverage of 0.75 ML and 1 ML, the corresponding sensitization systems reach negative electron affinity state. Through surface sensitization, the absorption curves are red shifted and the absorption coefficient is cut down. All theoretical calculations can guide the design of negative electron affinity Mg doped GaN nanowires photocathode.

  14. Photocurrent modulation under dual excitation in individual GaN nanowires.

    PubMed

    Yadav, Shivesh; Deb, Swarup; Gupta, Kantimay Das; Dhar, Subhabrata

    2018-06-21

    The photo-response properties of vapor-liquid-solid (VLS) grown [101[combining macron]0] oriented individual GaN nanowires of the diameter ranging from 30 to 100 nm are investigated under the joint illumination of above and sub-bandgap lights. When illuminated with above-bandgap light, these wires show persistent photoconductivity (PPC) effects with long build-up and decay times. The study reveals the quenching of photoconductivity (PC) upon illumination with an additional sub-bandgap light. PC recovers when the sub-bandgap illumination is withdrawn. A rate equation model attributing the PPC effect to the entrapment of photo-generated holes in the surface states and the PC quenching effect on the sub-bandgap light driven release of the holes from the trapped states has been proposed. The average height of the capture barrier has been found to be about 400 meV. The study also suggests that the capture barrier has a broad distribution with an upper cut-off energy of ∼2 eV.

  15. Ultrafast, superhigh gain visible-blind UV detector and optical logic gates based on nonpolar a-axial GaN nanowire

    NASA Astrophysics Data System (ADS)

    Wang, Xingfu; Zhang, Yong; Chen, Xinman; He, Miao; Liu, Chao; Yin, Yian; Zou, Xianshao; Li, Shuti

    2014-09-01

    Nonpolar a-axial GaN nanowire (NW) was first used to construct the MSM (metal-semiconductor-metal) symmetrical Schottky contact device for application as visible-blind ultraviolet (UV) detector. Without any surface or composition modifications, the fabricated device demonstrated a superior performance through a combination of its high sensitivity (up to 104 A W-1) and EQE value (up to 105), as well as ultrafast (<26 ms) response speed, which indicates that a balance between the photocurrent gain and the response speed has been achieved. Based on its excellent photoresponse performance, an optical logic AND gate and OR gate have been demonstrated for performing photo-electronic coupled logic devices by further integrating the fabricated GaN NW detectors, which logically convert optical signals to electrical signals in real time. These results indicate the possibility of using a nonpolar a-axial GaN NW not only as a high performance UV detector, but also as a stable optical logic device, both in light-wave communications and for future memory storage.Nonpolar a-axial GaN nanowire (NW) was first used to construct the MSM (metal-semiconductor-metal) symmetrical Schottky contact device for application as visible-blind ultraviolet (UV) detector. Without any surface or composition modifications, the fabricated device demonstrated a superior performance through a combination of its high sensitivity (up to 104 A W-1) and EQE value (up to 105), as well as ultrafast (<26 ms) response speed, which indicates that a balance between the photocurrent gain and the response speed has been achieved. Based on its excellent photoresponse performance, an optical logic AND gate and OR gate have been demonstrated for performing photo-electronic coupled logic devices by further integrating the fabricated GaN NW detectors, which logically convert optical signals to electrical signals in real time. These results indicate the possibility of using a nonpolar a-axial GaN NW not only as a high

  16. Growth of metal oxide nanowires from supercooled liquid nanodroplets.

    PubMed

    Kim, Myung Hwa; Lee, Byeongdu; Lee, Sungsik; Larson, Christopher; Baik, Jeong Min; Yavuz, Cafer T; Seifert, Sönke; Vajda, Stefan; Winans, Randall E; Moskovits, Martin; Stucky, Galen D; Wodtke, Alec M

    2009-12-01

    Nanometer-sized liquid droplets formed at temperatures below the bulk melting point become supercooled as they grow through Ostwald ripening or coalescence and can be exploited to grow nanowires without any catalyst. We used this simple approach to synthesize a number of highly crystalline metal oxide nanowires in a chemical or physical vapor deposition apparatus. Examples of nanowires made in this way include VO(2), V(2)O(5), RuO(2), MoO(2), MoO(3), and Fe(3)O(4), some of which have not been previously reported. Direct evidence of this new mechanism of nanowire growth is found from in situ 2-dimensional GISAXS (grazing incidence small angle X-ray scattering) measurements of VO(2) nanowire growth, which provides quantitative information on the shapes and sizes of growing nanowires as well as direct evidence of the presence of supercooled liquid droplets. We observe dramatic changes in nanowire growth by varying the choice of substrate, reflecting the influence of wetting forces on the supercooled nanodroplet shape and mobility as well as substrate-nanowire lattice matching on the definition of nanowire orientation. Surfaces with defects can also be used to pattern the growth of the nanowires. The simplicity of this synthesis concept suggests it may be rather general in its application.

  17. Fabrication of gallium nitride nanowires by metal-assisted photochemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Miao-Rong; Jiang, Qing-Mei; Zhang, Shao-Hui; Wang, Zu-Gang; Hou, Fei; Pan, Ge-Bo

    2017-11-01

    Gallium nitride (GaN) nanowires (NWs) were fabricated by metal-assisted photochemical etching (MaPEtch). Gold nanoparticles (AuNPs) as metal catalyst were electrodeposited on the GaN substrate. SEM and HRTEM images show the surface of GaN NWs is smooth and clean without any impurity. SAED and FFT patterns demonstrate GaN NWs have single crystal structure, and the crystallographic orientation of GaN NWs is (0002) face. On the basis of the assumption of localized galvanic cells, combined with the energy levels and electrochemical potentials of reactants in this etching system, the generation, transfer and consumption of electron-hole pairs reveal the whole MaPEtch reaction process. Such easily fabricated GaN NWs have great potential for the assembly of GaN-based single-nanowire nanodevices.

  18. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  19. Gibbs-Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth.

    PubMed

    Shen, Youde; Chen, Renjie; Yu, Xuechao; Wang, Qijie; Jungjohann, Katherine L; Dayeh, Shadi A; Wu, Tom

    2016-07-13

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices.

  20. The growth of ultralong and highly blue luminescent gallium oxide nanowires and nanobelts, and direct horizontal nanowire growth on substrates.

    PubMed

    Kuo, Chi-Liang; Huang, Michael H

    2008-04-16

    We report the growth of ultralong β-Ga(2)O(3) nanowires and nanobelts on silicon substrates using a vapor phase transport method. The growth was carried out in a tube furnace, with gallium metal serving as the gallium source. The nanowires and nanobelts can grow to lengths of hundreds of nanometers and even millimeters. Their full lengths have been captured by both scanning electron microscope (SEM) and optical images. X-ray diffraction (XRD) patterns and transmission electron microscope (TEM) images have been used to study the crystal structures of these nanowires and nanobelts. Strong blue emission from these ultralong nanostructures can be readily observed by irradiation with an ultraviolet (UV) lamp. Diffuse reflectance spectroscopy measurements gave a band gap of 4.56 eV for these nanostructures. The blue emission shows a band maximum at 470 nm. Interestingly, by annealing the silicon substrates in an oxygen atmosphere to form a thick SiO(2) film, and growing Ga(2)O(3) nanowires over the sputtered gold patterned regions, horizontal Ga(2)O(3) nanowire growth in the non-gold-coated regions can be observed. These horizontal nanowires can grow to as long as over 10 µm in length. Their composition has been confirmed by TEM characterization. This represents one of the first examples of direct horizontal growth of oxide nanowires on substrates.

  1. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  2. Understanding Self-Catalyzed Epitaxial Growth of III-V Nanowires toward Controlled Synthesis.

    PubMed

    Zi, Yunlong; Suslov, Sergey; Yang, Chen

    2017-02-08

    The self-catalyzed growth of III-V nanowires has drawn plenty of attention due to the potential of integration in current Si-based technologies. The homoparticle-assisted vapor-liquid-solid growth mechanism has been demonstrated for self-catalyzed III-V nanowire growth. However, the understandings of the preferred growth sites of these nanowires are still limited, which obstructs the controlled synthesis and the applications of self-catalyzed nanowire arrays. Here, we experimentally demonstrated that thermally created pits could serve as the preferred sites for self-catalyzed InAs nanowire growth. On that basis, we performed a pregrowth annealing strategy to promote the nanowire density by enhancing the pits formation on the substrate surface and enable the nanowire growth on the substrate that was not capable to facilitate the growth. The discovery of the preferred self-catalyzed nanowire growth sites and the pregrowth annealing strategy have shown great potentials for controlled self-catalyzed III-V nanowire array growth with preferred locations and density.

  3. Growth Mechanism of Nanowires: Ternary Chalcogenides

    NASA Technical Reports Server (NTRS)

    Singh, N. B.; Coriell, S. R.; Hopkins, R. H.; Su, Ching Hua; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    In the past two decades there has been a large rise in the investment and expectations for nanotechnology use. Almost every area of research has projected improvements in sensors, or even a promise for the emergence of some novel device technologies. For these applications major focuses of research are in the areas of nanoparticles and graphene. Although there are some near term applications with nanowires in photodetectors and other low light detectors, there are few papers on the growth mechanism and fabrication of nanowire-based devices. Semiconductor nanowires exhibit very favorable and promising optical properties, including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here an overview of the mechanism of nanowire growth from the melt, and some preliminary results for the thallium arsenic selenide material system. Thallium arsenic selenide (TAS) is a multifunctional material combining excellent acousto-optical, nonlinear and radiation detection properties. We observed that small units of (TAS) nanocubes arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. In some cases very long wires (less than mm) are formed. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places.

  4. Controlled synthesis of AlN/GaN multiple quantum well nanowire structures and their optical properties.

    PubMed

    Qian, Fang; Brewster, Megan; Lim, Sung K; Ling, Yichuan; Greene, Christopher; Laboutin, Oleg; Johnson, Jerry W; Gradečak, Silvija; Cao, Yu; Li, Yat

    2012-06-13

    We report the controlled synthesis of AlN/GaN multi-quantum well (MQW) radial nanowire heterostructures by metal-organic chemical vapor deposition. The structure consists of a single-crystal GaN nanowire core and an epitaxially grown (AlN/GaN)(m) (m = 3, 13) MQW shell. Optical excitation of individual MQW nanowires yielded strong, blue-shifted photoluminescence in the range 340-360 nm, with respect to the GaN near band-edge emission at 368.8 nm. Cathodoluminescence analysis on the cross-sectional MQW nanowire samples showed that the blue-shifted ultraviolet luminescence originated from the GaN quantum wells, while the defect-associated yellow luminescence was emitted from the GaN core. Computational simulation provided a quantitative analysis of the mini-band energies in the AlN/GaN superlattices and suggested the observed blue-shifted emission corresponds to the interband transitions between the second subbands of GaN, as a result of quantum confinement and strain effect in these AlN/GaN MQW nanowire structures.

  5. High active nitrogen flux growth of GaN by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McSkimming, Brian M., E-mail: mcskimming@engineering.ucsb.edu; Speck, James S.; Chaix, Catherine

    2015-09-15

    In the present study, the authors report on a modified Riber radio frequency (RF) nitrogen plasma source that provides active nitrogen fluxes more than 30 times higher than those commonly used for plasma assisted molecular beam epitaxy (PAMBE) growth of gallium nitride (GaN) and thus a significantly higher growth rate than has been previously reported. GaN films were grown using N{sub 2} gas flow rates between 5 and 25 sccm while varying the plasma source's RF forward power from 200 to 600 W. The highest growth rate, and therefore the highest active nitrogen flux, achieved was ∼7.6 μm/h. For optimized growth conditions,more » the surfaces displayed a clear step-terrace structure with an average RMS roughness (3 × 3 μm) on the order of 1 nm. Secondary ion mass spectroscopy impurity analysis demonstrates oxygen and hydrogen incorporation of 1 × 10{sup 16} and ∼5 × 10{sup 17}, respectively. In addition, the authors have achieved PAMBE growth of GaN at a substrate temperature more than 150 °C greater than our standard Ga rich GaN growth regime and ∼100 °C greater than any previously reported PAMBE growth of GaN. This growth temperature corresponds to GaN decomposition in vacuum of more than 20 nm/min; a regime previously unattainable with conventional nitrogen plasma sources. Arrhenius analysis of the decomposition rate shows that samples with a flux ratio below stoichiometry have an activation energy greater than decomposition of GaN in vacuum while samples grown at or above stoichiometry have decreased activation energy. The activation energy of decomposition for GaN in vacuum was previously determined to be ∼3.1 eV. For a Ga/N flux ratio of ∼1.5, this activation energy was found to be ∼2.8 eV, while for a Ga/N flux ratio of ∼0.5, it was found to be ∼7.9 eV.« less

  6. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    DOE PAGES

    Shen, Youde; Chen, Renjie; Yu, Xuechao; ...

    2016-06-02

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor–liquid–solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. In this paper, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs–Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed tomore » impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs–Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. Finally, these results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices.« less

  7. Surface diffusion effects on growth of nanowires by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Persson, A. I.; Fröberg, L. E.; Jeppesen, S.; Björk, M. T.; Samuelson, L.

    2007-02-01

    Surface processes play a large role in the growth of semiconductor nanowires by chemical beam epitaxy. In particular, for III-V nanowires the surface diffusion of group-III species is important to understand in order to control the nanowire growth. In this paper, we have grown InAs-based nanowires positioned by electron beam lithography and have investigated the dependence of the diffusion of In species on temperature, group-III and -V source pressure and group-V source combinations by measuring nanowire growth rate for different nanowire spacings. We present a model which relates the nanowire growth rate to the migration length of In species. The model is fitted to the experimental data for different growth conditions, using the migration length as fitting parameter. The results show that the migration length increases with decreasing temperature and increasing group-V/group-III source pressure ratio. This will most often lead to an increase in growth rate, but deviations will occur due to incomplete decomposition and changes in sticking coefficient for group-III species. The results also show that the introduction of phosphorous precursor for growth of InAs1-xPx nanowires decreases the migration length of the In species followed by a decrease in nanowire growth rate.

  8. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  9. Growth Mechanism of Nanowires: Binary and Ternary Chalcogenides

    NASA Technical Reports Server (NTRS)

    Singh, N. B.; Coriell, S. R.; Su, Ching-Hua; Hopkins, R. H.; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    Semiconductor nanowires exhibit very exciting optical and electrical properties including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here the mechanism of nanowire growth from the melt-liquid-vapor medium. We describe preliminary results of binary and ternary selenide materials in light of recent theories. Experiments were performed with lead selenide and thallium arsenic selenide systems which are multifunctional material and have been used for detectors, acousto-optical, nonlinear and radiation detection applications. We observed that small units of nanocubes and elongated nanoparticles arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places. Growth of lead selenide nanowires was performed by physical vapor transport method and thallium arsenic selenide nanowire by vapor-liquid-solid (VLS) method. In some cases very long wires (>mm) are formed. To achieve this goal experiments were performed to create situation where nanowires grew on the surface of solid thallium arsenic selenide itself.

  10. Three dimensional reconstruction of InGaN nanodisks in GaN nanowires: Improvement of the nanowire sample preparation to avoid missing wedge effects

    NASA Astrophysics Data System (ADS)

    Gries, Katharina Ines; Schlechtweg, Julian; Hille, Pascal; Schörmann, Jörg; Eickhoff, Martin; Volz, Kerstin

    2017-10-01

    Scanning transmission electron microscopy is an extremely useful method to image small features with a size in the range of a few nanometers and below. But it must be taken into account that such images are projections of the sample and do not necessarily represent the real three dimensional structure of the specimen. By applying electron tomography this problem can be overcome. In our work GaN nanowires including InGaN nanodisks were investigated. To reduce the effect of the missing wedge a single nanowire was removed from the underlying silicon substrate using a manipulator needle and attached to a tomography holder. Since this sample exhibits the same thickness of few tens of nanometers in all directions normal to the tilt axis, this procedure allows a sample tilt of ±90°. Reconstruction of the received data reveals a split of the InGaN nanodisks into a horizontal continuation of the (0 0 0 1 bar) central facet and a declined {1 0 1 bar l} facet (with l = -2 or -3).

  11. Different growth regimes in InP nanowire growth mediated by Ag nanoparticles.

    PubMed

    Oliveira, D S; Zavarize, M; Tizei, L H G; Walls, M; Ospina, C A; Iikawa, F; Ugarte, D; Cotta, M A

    2017-12-15

    We report on the existence of two different regimes in one-step Ag-seeded InP nanowire growth. The vapor-liquid-solid-mechanism is present at larger In precursor flows and temperatures, ∼500 °C, yielding high aspect ratio and pure wurtzite InP nanowires with a semi-spherical metal particle at the thin apex. Periodic diameter oscillations can be achieved under extreme In supersaturations at this temperature range, showing the presence of a liquid catalyst. However, under lower temperatures and In precursor flows, large diameter InP nanowires with mixed wurtzite/zincblende segments are obtained, similarly to In-assisted growth. Chemical composition analysis suggest that In-rich droplet formation is catalyzed at the substrate surface via Ag nanoparticles; this process might be facilitated by the sulfur contamination detected in these nanoparticles. Furthermore, part of the original Ag nanoparticle remains solid and is embedded inside the actual catalyst, providing an in situ method to switch growth mechanisms upon changing In precursor flow. Nevertheless, our Ag-seeded InP nanowires exhibit overall optical emission spectra consistent with the observed structural properties and similar to Au-catalyzed InP nanowires. We thus show that Ag nanoparticles may be a suitable replacement for Au in InP nanowire growth.

  12. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  13. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  14. From GaN to ZnGa(2)O(4) through a low-temperature process: nanotube and heterostructure arrays.

    PubMed

    Lu, Ming-Yen; Zhou, Xiang; Chiu, Cheng-Yao; Crawford, Samuel; Gradečak, Silvija

    2014-01-22

    We demonstrate a method to synthesize GaN-ZnGa2O4 core-shell nanowire and ZnGa2O4 nanotube arrays by a low-temperature hydrothermal process using GaN nanowires as templates. Transmission electron microscopy and X-ray photoelectron spectroscopy results show that a ZnGa2O4 shell forms on the surface of GaN nanowires and that the shell thickness is controlled by the time of the hydrothermal process and thus the concentration of Zn ions in the solution. Furthermore, ZnGa2O4 nanotube arrays were obtained by depleting the GaN core from GaN-ZnGa2O4 core-shell nanowire arrays during the reaction and subsequent etching with HCl. The GaN-ZnGa2O4 core-shell nanowires exhibit photoluminescence peaks centered at 2.60 and 2.90 eV attributed to the ZnGa2O4 shell, as well as peaks centered at 3.35 and 3.50 eV corresponding to the GaN core. We also demonstrate the synthesis of GaN-ZnGa2O4 heterojunction nanowires by a selective formation process as a simple route toward development of heterojunction nanodevices for optoelectronic applications.

  15. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  16. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  17. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  18. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  19. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  20. Electron affinity and surface states of GaN m -plane facets: Implication for electronic self-passivation

    NASA Astrophysics Data System (ADS)

    Portz, V.; Schnedler, M.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.

    2018-03-01

    The electron affinity and surface states are of utmost importance for designing the potential landscape within (heterojunction) nanowires and hence for tuning conductivity and carrier lifetimes. Therefore, we determined for stoichiometric nonpolar GaN (10 1 ¯0 ) m -plane facets, i.e., the dominating sidewalls of GaN nanowires, the electron affinity to 4.06 ±0.07 eV and the energy of the empty Ga-derived surface state in the band gap to 0.99 ±0.08 eV below the conduction band minimum using scanning tunneling spectroscopy. These values imply that the potential landscape within GaN nanowires is defined by a surface state-induced Fermi-level pinning, creating an upward band bending at the sidewall facets, which provides an electronic passivation.

  1. Enhancing Photoresponsivity of Self-Aligned MoS2 Field-Effect Transistors by Piezo-Phototronic Effect from GaN Nanowires.

    PubMed

    Liu, Xingqiang; Yang, Xiaonian; Gao, Guoyun; Yang, Zhenyu; Liu, Haitao; Li, Qiang; Lou, Zheng; Shen, Guozhen; Liao, Lei; Pan, Caofeng; Lin Wang, Zhong

    2016-08-23

    We report high-performance self-aligned MoS2 field-effect transistors (FETs) with enhanced photoresponsivity by the piezo-phototronic effect. The FETs are fabricated based on monolayer MoS2 with a piezoelectric GaN nanowire (NW) as the local gate, and a self-aligned process is employed to define the source/drain electrodes. The fabrication method allows the preservation of the intrinsic property of MoS2 and suppresses the scattering center density in the MoS2/GaN interface, which results in high electrical and photoelectric performances. MoS2 FETs with channel lengths of ∼200 nm have been fabricated with a small subthreshold slope of 64 mV/dec. The photoresponsivity is 443.3 A·W(-1), with a fast response and recovery time of ∼5 ms under 550 nm light illumination. When strain is introduced into the GaN NW, the photoresponsivity is further enhanced to 734.5 A·W(-1) and maintains consistent response and recovery time, which is comparable with that of the mechanical exfoliation of MoS2 transistors. The approach presented here opens an avenue to high-performance top-gated piezo-enhanced MoS2 photodetectors.

  2. Visible electroluminescence from a ZnO nanowires/p-GaN heterojunction light emitting diode.

    PubMed

    Baratto, C; Kumar, R; Comini, E; Faglia, G; Sberveglieri, G

    2015-07-27

    In the current paper we apply catalyst assisted vapour phase growth technique to grow ZnO nanowires (ZnO nws) on p-GaN thin film obtaining EL emission in reverse bias regime. ZnO based LED represents a promising alternative to III-nitride LEDs, as in free devices: the potential is in near-UV emission and visible emission. For ZnO, the use of nanowires ensures good crystallinity of the ZnO, and improved light extraction from the interface when the nanowires are vertically aligned. We prepared ZnO nanowires in a tubular furnace on GaN templates and characterized the p-n ZnO nws/GaN heterojunction for LED applications. SEM microscopy was used to study the growth of nanowires and device preparation. Photoluminescence (PL) and Electroluminescence (EL) spectroscopies were used to characterize the heterojunction, showing that good quality of PL emission is observed from nanowires and visible emission from the junction can be obtained from the region near ZnO contact, starting from onset bias of 6V.

  3. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  4. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors.

    PubMed

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I; Monroy, Eva

    2018-06-22

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current-voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  5. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors

    NASA Astrophysics Data System (ADS)

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I.; Monroy, Eva

    2018-06-01

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current–voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  6. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    NASA Astrophysics Data System (ADS)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  7. Dry-growth of silver single-crystal nanowires from porous Ag structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Chuantong, E-mail: chenchuantong@sanken.osaka-u.ac.jp; Nagao, Shijo; Jiu, Jinting

    A fabrication method of single crystal Ag nanowires in large scale is introduced without any chemical synthesis in wet processes, which usually generates fivefold twinned nanowires of fcc metals. Dense single-crystal nanowires grow on a mechanically polished surface of micro-porous Ag structure, which is created from Ag micro-particles. The diameter and the length of the nanowires can be controlled simply by changing the temperature and the time of the heating during the nanowire growth in air. Unique growth mechanism is described in detail, based on stress-induced migration accelerated by the micro-porous structure where the origin of Ag nanowires growth ismore » incubated. Transmission electron microscopy analysis on the single crystal nanowires is also presented. This simple method offered an alternative preparation for metallic nanowires, especially with the single crystal structure in numerous applications.« less

  8. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  9. Initial Growth of Single-Crystalline Nanowires: From 3D Nucleation to 2D Growth.

    PubMed

    Huang, Xh; Li, Gh; Sun, Gz; Dou, Xc; Li, L; Zheng, Lx

    2010-04-17

    The initial growth stage of the single-crystalline Sb and Co nanowires with preferential orientation was studied, which were synthesized in porous anodic alumina membranes by the pulsed electrodeposition technique. It was revealed that the initial growth of the nanowires is a three-dimensional nucleation process, and then gradually transforms to two-dimensional growth via progressive nucleation mechanism, which resulting in a structure transition from polycrystalline to single crystalline. The competition among the nuclei inside the nanoscaled-confined channel and the growth kinetics is responsible for the structure transition of the initial grown nanowires.

  10. Kinetic Model of the Initial Stage of the Nanowire Growth

    NASA Astrophysics Data System (ADS)

    Filimonov, S. N.; Hervieu, Yu. Yu.

    2018-03-01

    A kinetic model of the formation of pyramid-like bulges (pedestals) at the bases of vertical nanowires is proposed. The formation of the pedestals at the early stage of the nanowire growth is assumed to be induced by a higher nucleation rate of two-dimensional islands under the catalyst droplet, as compared to the nucleation rate at the non-activated surface areas. Kinetics of the nucleation and propagation of the steps in the pyramid is described with a model of the multilayer growth, taking into account that the catalyst droplet at the nanowire top is a strong sink for adatoms. It is shown that the transition from the growth of the pyramid to the axial growth of the nanowire is possible if the appearance of a nucleus of the new layer under the catalyst droplet results in a partial dissolution of the underlying layer. In this case a segment of the nanowire sidewall is formed, preventing the lateral growth of the layers generated by the droplet.

  11. Directed branch growth in aligned nanowire arrays.

    PubMed

    Beaudry, Allan L; LaForge, Joshua M; Tucker, Ryan T; Sorge, Jason B; Adamski, Nicholas L; Li, Peng; Taschuk, Michael T; Brett, Michael J

    2014-01-01

    Branch growth is directed along two, three, or four in-plane directions in vertically aligned nanowire arrays using vapor-liquid-solid glancing angle deposition (VLS-GLAD) flux engineering. In this work, a dynamically controlled collimated vapor flux guides branch placement during the self-catalyzed epitaxial growth of branched indium tin oxide nanowire arrays. The flux is positioned to grow branches on select nanowire facets, enabling fabrication of aligned nanotree arrays with L-, T-, or X-branching. In addition, a flux motion algorithm is designed to selectively elongate branches along one in-plane axis. Nanotrees are found to be aligned across large areas by X-ray diffraction pole figure analysis and through branch length and orientation measurements collected over 140 μm(2) from scanning electron microscopy images for each array. The pathway to guided assembly of nanowire architectures with controlled interconnectivity in three-dimensions using VLS-GLAD is discussed.

  12. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  13. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  14. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  15. Cathodoluminescence study of one-dimensional free-standing widegap-semiconductor nanostructures: GaN nanotubes, Si3N4 nanobelts and ZnS/Si nanowires.

    PubMed

    Sekiguchi, Takashi; Hu, Junqing; Bando, Yoshio

    2004-01-01

    Luminescence properties of one-dimensional free-standing widegap-semiconductor nanostructures were characterized by means of cathodoluminescence (CL). GaN nanopipes, alpha-Si3N4 nanobelts and ZnS/Si nanowires were fabricated by a catalyst-free method, namely grown in an induction furnace from powders. After the observation of morphology by scanning electron microscopy as well as the confirmation of their crystal structures by transmission electron microscopy, their CL spectra and images were observed. The CL spectra mapping as well as the monochromatic CL imaging revealed the variation of the luminescence spectra of different nanowires as well as that along a single wire. These results revealed the optical features of nanostructures.

  16. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  17. Controlling bottom-up rapid growth of single crystalline gallium nitride nanowires on silicon.

    PubMed

    Wu, Ko-Li; Chou, Yi; Su, Chang-Chou; Yang, Chih-Chaing; Lee, Wei-I; Chou, Yi-Chia

    2017-12-20

    We report single crystalline gallium nitride nanowire growth from Ni and Ni-Au catalysts on silicon using hydride vapor phase epitaxy. The growth takes place rapidly; efficiency in time is higher than the conventional nanowire growth in metal-organic chemical vapor deposition and thin film growth in molecular beam epitaxy. The effects of V/III ratio and carrier gas flow on growth are discussed regarding surface polarity and sticking coefficient of molecules. The nanowires of gallium nitride exhibit excellent crystallinity with smooth and straight morphology and uniform orientation. The growth mechanism follows self-assembly from both catalysts, where Au acts as a protection from etching during growth enabling the growth of ultra-long nanowires. The photoluminescence of such nanowires are adjustable by tuning the growth parameters to achieve blue emission. The practical range of parameters for mass production of such high crystal quality and uniformity of nanowires is suggested.

  18. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  19. Structural modulation of nanowire interfaces grown over selectively disrupted single crystal surfaces

    NASA Astrophysics Data System (ADS)

    Garratt, E.; Nikoobakht, B.

    2015-08-01

    Recent breakthroughs in deterministic approaches to the fabrication of nanowire arrays have demonstrated the possibility of fabricating such networks using low-cost scalable methods. In this regard, we have developed a scalable growth platform for lateral fabrication of nanocrystals with high precision utilizing lattice match and symmetry. Using this planar architecture, a number of homo- and heterostructures have been demonstrated including ZnO nanowires grown over GaN. The latter combination produces horizontal, epitaxially formed crystals aligned in the plane of the substrate containing a very low number of intrinsic defects. We use such ordered structures as model systems in the interests of gauging the interfacial structural dynamics in relation to external stimuli. Nanosecond pulses of focused ion beams are used to slightly modify the substrate surface and selectively form lattice disorders in the path of nanowire growth to examine the nanocrystal, namely: its directionality and lattice defects. High resolution electron microscopies are used to reveal some interesting structural effects; for instance, a minimum threshold of surface defects that can divert nanowires. We also discuss data indicating formation of surface strains and show their mitigation during the growth process.

  20. Electronic and structural characteristics of zinc-blende wurtzite biphasic homostructure GaN nanowires

    DOE PAGES

    Jacobs, Benjamin W.; Ayres, Virginia M.; Petkov, Mihail P.; ...

    2007-04-07

    Here, we report a new biphasic crystalline wurtzite/zinc-blende homostructure in gallium nitride nanowires. Cathodoluminescence was used to quantitatively measure the wurtzite and zinc-blende band gaps. High-resolution transmission electron microscopy was used to identify distinct wurtzite and zinc-blende crystalline phases within single nanowires through the use of selected area electron diffraction, electron dispersive spectroscopy, electron energy loss spectroscopy, and fast Fourier transform techniques. A mechanism for growth is identified.

  1. Electronic and structural characteristics of zinc-blende wurtzite biphasic homostructure GaN nanowires.

    PubMed

    Jacobs, Benjamin W; Ayres, Virginia M; Petkov, Mihail P; Halpern, Joshua B; He, Maoqi; Baczewski, Andrew D; McElroy, Kaylee; Crimp, Martin A; Zhang, Jiaming; Shaw, Harry C

    2007-05-01

    We report a new biphasic crystalline wurtzite/zinc-blende homostructure in gallium nitride nanowires. Cathodoluminescence was used to quantitatively measure the wurtzite and zinc-blende band gaps. High-resolution transmission electron microscopy was used to identify distinct wurtzite and zinc-blende crystalline phases within single nanowires through the use of selected area electron diffraction, electron dispersive spectroscopy, electron energy loss spectroscopy, and fast Fourier transform techniques. A mechanism for growth is identified.

  2. Direct observation of nanowire growth and decomposition.

    PubMed

    Rackauskas, Simas; Shandakov, Sergey D; Jiang, Hua; Wagner, Jakob B; Nasibulin, Albert G

    2017-09-26

    Fundamental concepts of the crystal formation suggest that the growth and decomposition are determined by simultaneous embedding and removal of the atoms. Apparently, by changing the crystal formation conditions one can switch the regimes from the growth to decomposition. To the best of our knowledge, so far this has been only postulated, but never observed at the atomic level. By means of in situ environmental transmission electron microscopy we monitored and examined the atomic layer transformation at the conditions of the crystal growth and its decomposition using CuO nanowires selected as a model object. The atomic layer growth/decomposition was studied by varying an O 2 partial pressure. Three distinct regimes of the atomic layer evolution were experimentally observed: growth, transition and decomposition. The transition regime, at which atomic layer growth/decomposition switch takes place, is characterised by random nucleation of the atomic layers on the growing {111} surface. The decomposition starts on the side of the nanowire by removing the atomic layers without altering the overall crystal structure, which besides the fundamental importance offers new possibilities for the nanowire manipulation. Understanding of the crystal growth kinetics and nucleation at the atomic level is essential for the precise control of 1D crystal formation.

  3. MBE growth of nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A. D.; Ilkiv, I. V.; Reznik, R. R.; Shtrom, I. V.; Khrebtov, A. I.; Samsonenko, Yu B.; Soshnikov, I. P.; Cirlin, G. E.; Lipsanen, H.

    2017-06-01

    Ag colloidal nanoparticles are used as a catalyst for growth of GaAs nanowires by the molecular beam epitaxy on the Si(111) and GaAs(111)B substrate surfaces. The scanning electron microscopy measurements revealed that the nanowire formation occurs in different ways on different substrates, but the parameters of the synthesized nanowires open great prospects for their further use.

  4. Solid-phase diffusion mechanism for GaAs nanowire growth.

    PubMed

    Persson, Ann I; Larsson, Magnus W; Stenström, Stig; Ohlsson, B Jonas; Samuelson, Lars; Wallenberg, L Reine

    2004-10-01

    Controllable production of nanometre-sized structures is an important field of research, and synthesis of one-dimensional objects, such as nanowires, is a rapidly expanding area with numerous applications, for example, in electronics, photonics, biology and medicine. Nanoscale electronic devices created inside nanowires, such as p-n junctions, were reported ten years ago. More recently, hetero-structure devices with clear quantum-mechanical behaviour have been reported, for example the double-barrier resonant tunnelling diode and the single-electron transistor. The generally accepted theory of semiconductor nanowire growth is the vapour-liquid-solid (VLS) growth mechanism, based on growth from a liquid metal seed particle. In this letter we suggest the existence of a growth regime quite different from VLS. We show that this new growth regime is based on a solid-phase diffusion mechanism of a single component through a gold seed particle, as shown by in situ heating experiments of GaAs nanowires in a transmission electron microscope, and supported by highly resolved chemical analysis and finite element calculations of the mass transport and composition profiles.

  5. Atom probe tomography evaporation behavior of C-axis GaN nanowires: Crystallographic, stoichiometric, and detection efficiency aspects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diercks, David R., E-mail: ddiercks@mines.edu; Gorman, Brian P.; Kirchhofer, Rita

    2013-11-14

    The field evaporation behavior of c-axis GaN nanowires was explored in two different laser-pulsed atom probe tomography (APT) instruments. Transmission electron microscopy imaging before and after atom probe tomography analysis was used to assist in reconstructing the data and assess the observed evaporation behavior. It was found that the ionic species exhibited preferential locations for evaporation related to the underlying crystal structure of the GaN and that the species which evaporated from these locations was dependent on the pulsed laser energy. Additionally, the overall stoichiometry measured by APT was significantly correlated with the energy of the laser pulses. At themore » lowest laser energies, the apparent composition was nitrogen-rich, while higher laser energies resulted in measurements of predominantly gallium compositions. The percent of ions detected (detection efficiency) for these specimens was found to be considerably below that shown for other materials, even for laser energies which produced the expected Ga:N ratio. The apparent stoichiometry variation and low detection efficiency appear to be a result of evaporation of Ga ions between laser pulses at the lowest laser energies and evaporation of neutral N{sub 2} species at higher laser energies. All of these behaviors are tied to the formation of nitrogen-nitrogen bonds on the tip surface, which occurred under all analysis conditions. Similar field evaporation behaviors are therefore expected for other materials where the anionic species readily form a strong diatomic bond.« less

  6. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  7. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    PubMed

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  8. Catalyst shape engineering for anisotropic cross-sectioned nanowire growth

    NASA Astrophysics Data System (ADS)

    Calahorra, Yonatan; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-01-01

    The ability to engineer material properties at the nanoscale is a crucial prerequisite for nanotechnology. Hereunder, we suggest and demonstrate a novel approach to realize non-hemispherically shaped nanowire catalysts, subsequently used to grow InP nanowires with a cross section anisotropy ratio of up to 1:1.8. Gold was deposited inside high aspect ratio nanotrenches in a 5 nm thick SiNx selective area mask; inside the growth chamber, upon heating to 455 °C, the thin gold stripes agglomerated, resulting in an ellipsoidal dome (hemiellipsoid). The initial shape of the catalyst was preserved during growth to realize asymmetrically cross-sectioned nanowires. Moreover, the crystalline nature of the nanowire side facets was found to depend on the nano-trench orientation atop the substrate, resulting in hexagonal or octagonal cross-sections when the nano-trenches are aligned or misaligned with the [1¯10] orientation atop a [111]B substrate. These results establish the role of catalyst shape as a unique tool to engineer nanowire growth, potentially allowing further control over its physical properties.

  9. Evaluating focused ion beam patterning for position-controlled nanowire growth using computer vision

    NASA Astrophysics Data System (ADS)

    Mosberg, A. B.; Myklebost, S.; Ren, D.; Weman, H.; Fimland, B. O.; van Helvoort, A. T. J.

    2017-09-01

    To efficiently evaluate the novel approach of focused ion beam (FIB) direct patterning of substrates for nanowire growth, a reference matrix of hole arrays has been used to study the effect of ion fluence and hole diameter on nanowire growth. Self-catalyzed GaAsSb nanowires were grown using molecular beam epitaxy and studied by scanning electron microscopy (SEM). To ensure an objective analysis, SEM images were analyzed with computer vision to automatically identify nanowires and characterize each array. It is shown that FIB milling parameters can be used to control the nanowire growth. Lower ion fluence and smaller diameter holes result in a higher yield (up to 83%) of single vertical nanowires, while higher fluence and hole diameter exhibit a regime of multiple nanowires. The catalyst size distribution and placement uniformity of vertical nanowires is best for low-value parameter combinations, indicating how to improve the FIB parameters for positioned-controlled nanowire growth.

  10. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  11. Growth and applicability of radiation-responsive silica nanowires

    NASA Astrophysics Data System (ADS)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  12. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  13. Flexible White Light Emitting Diodes Based on Nitride Nanowires and Nanophosphors

    PubMed Central

    2016-01-01

    We report the first demonstration of flexible white phosphor-converted light emitting diodes (LEDs) based on p–n junction core/shell nitride nanowires. GaN nanowires containing seven radial In0.2Ga0.8N/GaN quantum wells were grown by metal–organic chemical vapor deposition on a sapphire substrate by a catalyst-free approach. To fabricate the flexible LED, the nanowires are embedded into a phosphor-doped polymer matrix, peeled off from the growth substrate, and contacted using a flexible and transparent silver nanowire mesh. The electroluminescence of a flexible device presents a cool-white color with a spectral distribution covering a broad spectral range from 400 to 700 nm. Mechanical bending stress down to a curvature radius of 5 mm does not yield any degradation of the LED performance. The maximal measured external quantum efficiency of the white LED is 9.3%, and the wall plug efficiency is 2.4%. PMID:27331079

  14. Corner wetting during the vapor-liquid-solid growth of faceted nanowires

    NASA Astrophysics Data System (ADS)

    Spencer, Brian; Davis, Stephen

    2016-11-01

    We consider the corner wetting of liquid drops in the context of vapor-liquid-solid growth of nanowires. Specifically, we construct numerical solutions for the equilibrium shape of a liquid drop on top of a faceted nanowire by solving the Laplace-Young equation with a free boundary determined by mixed boundary conditions. A key result for nanowire growth is that for a range of contact angles there is no equilibrium drop shape that completely wets the corner of the faceted nanowire. Based on our numerical solutions we determine the scaling behavior for the singular surface behavior near corners of the nanowire in terms of the Young contact angle and drop volume.

  15. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  16. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  17. Graphene templated Directional Growth of an Inorganic Nanowire

    DTIC Science & Technology

    2015-03-23

    ammonium persulphate, (NH4)2S2O8, at room tempera- ture for 17 h (Fig. 1a). Various types of gold precursor, such as gold nanoparticles or gold...directions and grain boundaries in polycrystalline graphene using TEM or even scanning electron microscopy (SEM), as shown in Fig. 1g, h . Because the...directionGraphene Nanowire Grain boundary Nanowire axis directions g h e f i Figure 1 | Directional growth of inorganic nanowires on graphene. a

  18. Coupling of semiconductor nanowires with neurons and their interfacial structure.

    PubMed

    Lee, Ki-Young; Shim, Sojung; Kim, Il-Soo; Oh, Hwangyou; Kim, Sunoh; Ahn, Jae-Pyeong; Park, Seung-Han; Rhim, Hyewhon; Choi, Heon-Jin

    2009-12-04

    We report on the compatibility of various nanowires with hippocampal neurons and the structural study of the neuron-nanowire interface. Si, Ge, SiGe, and GaN nanowires are compatible with hippocampal neurons due to their native oxide, but ZnO nanowires are toxic to neuron due to a release of Zn ion. The interfaces of fixed Si nanowire and hippocampal neuron, cross-sectional samples, were prepared by focused ion beam and observed by transmission electron microscopy. The results showed that the processes of neuron were adhered well on the nanowire without cleft.

  19. Study on GaN nanostructures: Growth and the suppression of the yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, Ting; Chen, Fei; Ji, Xiaohong; Zhang, Qinyuan

    2018-07-01

    GaN nanostructures were synthesized via a simple chemical vapor deposition using Ga2O3 and NH3 as precursors. Structural and morphological properties were systematically characterized by field emission scanning electron microscopy, X-ray diffractometer, transmission electron microscopy, and Raman spectroscopy. The configuration of GaN nanostructures was found to be strongly dependent on the growth temperature and the NH3 flow rate. Photoluminescence analysis revealed that all the fabricated GaN NSs exhibited a strong ultra-violet emission (∼364 nm), and the yellow emission of GaN nanorods can be suppressed at appropriate III/V ratio. The suppression of the yellow emission was attributed to the low density of surface or the VGa defect. The work demonstrates that the GaN nanostructures have potential applications in the optoelectronic and nanoelectronic devices.

  20. Hydrothermal Growth of ZnO Nanowires on UV-Nanoimprinted Polymer Structures.

    PubMed

    Park, Sooyeon; Moore, Sean A; Lee, Jaejong; Song, In-Hyouk; Farshchian, Bahador; Kim, Namwon

    2018-05-01

    Integration of zinc oxide (ZnO) nanowires on miniaturized polymer structures can broaden its application in multi-functional polymer devices by taking advantages of unique physical properties of ZnO nanowires and recent development of polymer microstructures in analytical systems. In this paper, we demonstrate the hydrothermal growth of ZnO nanowires on polymer microstructures fabricated by UV nanoimprinting lithography (NIL) using a polyurethane acrylate (PUA). Since PUA is a siloxane-urethane-acrylate compound containing the alpha-hydroxyl ketone, UV-cured PUA include carboxyl groups, which inhibit and suppress the nucleation and growth of ZnO nanowires on polymer structures. The presence of carboxyl groups in UV-cured PUA was substantiated by Fourier transform infrared spectroscopy (FTIR), and a Ag thin film was deposited on the nanoimprinted polymer structures to limit their inhibitive influence on the growth of ZnO nanowires. Furthermore, the naturally oxidized Ag layer (Ag2O) reduced crystalline lattice mismatches at the interface between ZnO-Ag during the seed annealing process. The ZnO nanowires grown on the Ag-deposited PUA microstructures were found to have comparable morphological characteristics with ZnO nanowires grown on a Si wafer.

  1. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  2. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  3. Low-Temperature Selective Growth of Tungsten Oxide Nanowires by Controlled Nanoscale Stress Induction

    PubMed Central

    Na, Hyungjoo; Eun, Youngkee; Kim, Min-Ook; Choi, Jungwook; Kim, Jongbaeg

    2015-01-01

    We report a unique approach for the patterned growth of single-crystalline tungsten oxide (WOx) nanowires based on localized stress-induction. Ions implanted into the desired growth area of WOx thin films lead to a local increase in the compressive stress, leading to the growth of nanowire at lower temperatures (600 °C vs. 750–900 °C) than for equivalent non-implanted samples. Nanowires were successfully grown on the microscale patterns using wafer-level ion implantation and on the nanometer scale patterns using a focused ion beam (FIB). Experimental results show that nanowire growth is influenced by a number of factors including the dose of the implanted ions and their atomic radius. The implanted-ion-assisted, stress-induced method proposed here for the patterned growth of WOx nanowires is simpler than alternative approaches and enhances the compatibility of the process by reducing the growth temperature. PMID:26666843

  4. Improvement of efficiency in graphene/gallium nitride nanowire on Silicon photoelectrode for overall water splitting

    NASA Astrophysics Data System (ADS)

    Bae, Hyojung; Rho, Hokyun; Min, Jung-Wook; Lee, Yong-Tak; Lee, Sang Hyun; Fujii, Katsushi; Lee, Hyo-Jong; Ha, Jun-Seok

    2017-11-01

    Gallium nitride (GaN) nanowires are one of the most promising photoelectrode materials due to their high stability in acidic and basic electrolytes, and tunable band edge potentials. In this study, GaN nanowire arrays (GaN NWs) were prepared by molecular beam epitaxy (MBE); their large surface area enhanced the solar to hydrogen conversion efficiency. More significantly, graphene was grown by chemical vapor deposition (CVD), which enhanced the electron transfer between NWs for water splitting and protected the GaN NW surface. Structural characterizations of the prepared composite were performed using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The photocurrent density of Gr/GaN NWs exhibited a two-fold increase over pristine GaN NWs and sustained water splitting up to 70 min. These improvements may accelerate possible applications for hydrogen generation with high solar to hydrogen conversion efficiency.

  5. Analysis of channel confined selective area growth in evolutionary growth of GaN on SiO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leung, Benjamin; Tsai, Miao-Chan; Song, Jie

    2015-09-01

    Here, we analyze the chemical vapor deposition of semiconductor crystals by selective area growth in a non-planar geometry. Specifically, the growth process in laterally and vertically confined masks forming single-crystal GaN on SiO2 by metal-organic chemical vapor deposition is considered in detail. A textured AlN seed is used to initiate growth of oriented GaN selectively through the mask, allowing the reduction of degrees of freedom by the evolutionary grain selection process. As shown by measurements of growth rates within the mask, the sub micron length scale of the channel opening is comparable to the mean free path of precursors inmore » the gas phase, resulting in transport characteristics that can be described by an intermediate flow regime between continuum and free-molecular. Mass transport is modeled through kinetic theory to explain the growth rate enhancements of more than a factor of two by changes in reactor pressure. The growth conditions that enable the modification of nucleation density within the channel are then discussed, and are measured by electron-back scatter diffraction of the nucleated grains on the AlN seed. Finally, the selectivity behavior using the low fill factor masks needed in these configurations has been optimized by control of precursor flow rates and the H2 enhanced etching of the polycrystalline GaN nuclei.« less

  6. Near-field control and imaging of free charge carrier variations in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Berweger, Samuel; Blanchard, Paul T.; Brubaker, Matt D.; Coakley, Kevin J.; Sanford, Norman A.; Wallis, Thomas M.; Bertness, Kris A.; Kabos, Pavel

    2016-02-01

    Despite their uniform crystallinity, the shape and faceting of semiconducting nanowires (NWs) can give rise to variations in structure and associated electronic properties. Here, we develop a hybrid scanning probe-based methodology to investigate local variations in electronic structure across individual n-doped GaN NWs integrated into a transistor device. We perform scanning microwave microscopy (SMM), which we combine with scanning gate microscopy to determine the free-carrier SMM signal contribution and image local charge carrier density variations. In particular, we find significant variations in free carriers across NWs, with a higher carrier density at the wire facets. By increasing the local carrier density through tip-gating, we find that the tip injects current into the NW with strongly localized current when positioned over the wire vertices. These results suggest that the strong variations in electronic properties observed within NWs have significant implications for device design and may lead to new paths to optimization.

  7. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  8. Near Field Scanning Optical Microscopy (NSOM) of Nano Devices

    DTIC Science & Technology

    2008-12-01

    FEATURES OF GaN NANOWIRES Gallium Nitride (GaN) nanowires are semiconductor wires of great interest lately for its some of its unique properties. These...via chemical vapour deposition (CVD) [19] or even with gas source molecular beam epitaxy (MBE) [20] The GaN nanowires growth techniques will not be...Denlinger, and Peidong Yang, Crystallographic alignment of high-density gallium nitride nanowire arrays, Nature Materials, Issue 3 Vol 8, pg 524

  9. Seeded Nanowire and Microwire Growth from Lithium Alloys.

    PubMed

    Han, Sang Yun; Boebinger, Matthew G; Kondekar, Neha P; Worthy, Trevor J; McDowell, Matthew T

    2018-06-06

    Although vapor-liquid-solid (VLS) growth of nanowires from alloy seed particles is common in various semiconductor systems, related wire growth in all-metal systems is rare. Here, we report the spontaneous growth of nano- and microwires from metal seed particles during the cooling of Li-rich bulk alloys containing Au, Ag, or In. The as-grown wires feature Au-, Ag-, or In-rich metal tips and LiOH shafts; the results indicate that the wires grow as Li metal and are converted to polycrystalline LiOH during and/or after growth due to exposure to H 2 O and O 2 . This new process is a simple way to create nanostructures, and the findings suggest that metal nanowire growth from alloy seeds is possible in a variety of systems.

  10. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  11. Structural and electronic properties of GaN nanowires with embedded In{sub x}Ga{sub 1−x}N nanodisks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kioseoglou, J., E-mail: sifisl@auth.gr; Pavloudis, Th.; Kehagias, Th.

    2015-07-21

    In the present study, the effects of various types of strain and indium concentration on the total energy and optoelectronic properties of GaN nanowires (NWs) with embedded In{sub x}Ga{sub 1−x}N nanodisks (NDs) are examined. In particular, the bi-axial, hydrostatic, and uniaxial strain states of the embedded In{sub x}Ga{sub 1−x}N NDs are investigated for multiple In concentrations. Density functional theory is employed to calculate the band structure of the NWs. The theoretical analysis finds that the supercell-size-dependent characteristics calculated for our 972-atom NW models are very close to the infinite supercell-size limit. It is established that the embedded In{sub x}Ga{sub 1−x}Nmore » NDs do not induce deep states in the band gap of the NWs. A bowing parameter of 1.82 eV is derived from our analysis in the quadratic Vegard's formula for the band gaps at the various In concentrations of the investigated In{sub x}Ga{sub 1−x}N NDs in GaN NW structures. It is concluded that up to ∼10% of In, the hydrostatic strain state is competitive with the bi-axial due to the radial absorption of the strain on the surfaces. Above this value, the dominant strain state is the bi-axial one. Thus, hydrostatic and bi-axial strain components coexist in the embedded NDs, and they are of different physical origin. The bi-axial strain comes from growth on lattice mismatched substrates, while the hydrostatic strain originates from the lateral relaxation of the surfaces.« less

  12. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  13. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  14. Seedless Growth of Bismuth Nanowire Array via Vacuum Thermal Evaporation

    PubMed Central

    Liu, Mingzhao; Nam, Chang-Yong; Zhang, Lihua

    2015-01-01

    Here a seedless and template-free technique is demonstrated to scalably grow bismuth nanowires, through thermal evaporation in high vacuum at RT. Conventionally reserved for the fabrication of metal thin films, thermal evaporation deposits bismuth into an array of vertical single crystalline nanowires over a flat thin film of vanadium held at RT, which is freshly deposited by magnetron sputtering or thermal evaporation. By controlling the temperature of the growth substrate the length and width of the nanowires can be tuned over a wide range. Responsible for this novel technique is a previously unknown nanowire growth mechanism that roots in the mild porosity of the vanadium thin film. Infiltrated into the vanadium pores, the bismuth domains (~ 1 nm) carry excessive surface energy that suppresses their melting point and continuously expels them out of the vanadium matrix to form nanowires. This discovery demonstrates the feasibility of scalable vapor phase synthesis of high purity nanomaterials without using any catalysts. PMID:26709727

  15. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  16. Methanol, ethanol and hydrogen sensing using metal oxide and metal (TiO(2)-Pt) composite nanoclusters on GaN nanowires: a new route towards tailoring the selectivity of nanowire/nanocluster chemical sensors.

    PubMed

    Aluri, Geetha S; Motayed, Abhishek; Davydov, Albert V; Oleshko, Vladimir P; Bertness, Kris A; Sanford, Norman A; Mulpuri, Rao V

    2012-05-04

    We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for

  17. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  18. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  19. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  20. Incubation behavior of silicon nanowire growth investigated by laser-assisted rapid heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryu, Sang-gil; Kim, Eunpa; Grigoropoulos, Costas P., E-mail: cgrigoro@berkeley.edu

    2016-08-15

    We investigate the early stage of silicon nanowire growth by the vapor-liquid-solid mechanism using laser-localized heating combined with ex-situ chemical mapping analysis by energy-filtered transmission electron microscopy. By achieving fast heating and cooling times, we can precisely determine the nucleation times for nanowire growth. We find that the silicon nanowire nucleation process occurs on a time scale of ∼10 ms, i.e., orders of magnitude faster than the times reported in investigations using furnace processes. The rate-limiting step for silicon nanowire growth at temperatures in the vicinity of the eutectic temperature is found to be the gas reaction and/or the silicon crystalmore » growth process, whereas at higher temperatures it is the rate of silicon diffusion through the molten catalyst that dictates the nucleation kinetics.« less

  1. Hydrothermal growth of ZnO nanowire arrays: fine tuning by precursor supersaturation

    DOE PAGES

    Yan, Danhua; Cen, Jiajie; Zhang, Wenrui; ...

    2016-12-20

    In this paper, we develop a technique that fine tunes the hydrothermal growth of ZnO nanowires to address the difficulties in controlling their growth in a conventional one-pot hydrothermal method. In our technique, precursors are separately and slowly supplied with the assistance of a syringe pump, through the entire course of the growth. Compared to the one-pot method, the significantly lowered supersaturation of precursors helps eliminating competitive homogeneous nucleation and improves the reproducibility. The supersaturation degree can be readily tuned by the precursor quantity and injection rate, thus forming ZnO nanowire arrays of various geometries and packing densities in amore » highly controllable fashion. The precise control of ZnO nanowire growth enables systematic studies on the correlation between the material's properties and its morphology. Finally, in this work, ZnO nanowire arrays of various morphologies are studied as photoelectrochemical (PEC) water splitting photoanodes, in which we establish clear correlations between the water splitting performance and the nanowires' size, shape, and packing density.« less

  2. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  3. Growth of GaN@InGaN Core-Shell and Au-GaN Hybrid Nanostructures for Energy Applications

    DOE PAGES

    Kuykendall, Tevye; Aloni, Shaul; Jen-La Plante, Ilan; ...

    2009-01-01

    We demonstrated a method to control the bandgap energy of GaN nanowires by forming GaN@InGaN core-shell hybrid structures using metal organic chemical vapor deposition (MOCVD). Furthermore, we show the growth of Au nanoparticles on the surface of GaN nanowires in solution at room temperature. The work shown here is a first step toward engineering properties that are crucial for the rational design and synthesis of a new class of photocatalytic materials. The hybrid structures were characterized by various techniques, including photoluminescence (PL), energy dispersive x-ray spectroscopy (EDS), transmission and scanning electron microscopy (TEM and SEM), and x-ray diffraction (XRD).

  4. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  5. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  6. Metal Catalyst for Low-Temperature Growth of Controlled Zinc Oxide Nanowires on Arbitrary Substrates

    PubMed Central

    Kim, Baek Hyun; Kwon, Jae W.

    2014-01-01

    Zinc oxide nanowires generated by hydrothermal method present superior physical and chemical characteristics. Quality control of the growth has been very challenging and controlled growth is only achievable under very limited conditions using homogeneous seed layers with high temperature processes. Here we show the controlled ZnO nanowire growth on various organic and inorganic materials without the requirement of a homogeneous seed layer and a high temperature process. We also report the discovery of an important role of the electronegativity in the nanowire growth on arbitrary substrates. Using heterogeneous metal oxide interlayers with low-temperature hydrothermal methods, we demonstrate well-controlled ZnO nanowire arrays and single nanowires on flat or curved surfaces. A metal catalyst and heterogeneous metal oxide interlayers are found to determine lattice-match with ZnO and to largely influence the controlled alignment. These findings will contribute to the development of novel nanodevices using controlled nanowires. PMID:24625584

  7. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  8. A new system for sodium flux growth of bulk GaN. Part I: System development

    NASA Astrophysics Data System (ADS)

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Albrithen, Hamad; Suihkonen, Sami; Nakamura, Shuji; Speck, James S.

    2016-12-01

    Though several methods exist to produce bulk crystals of gallium nitride (GaN), none have been commercialized on a large scale. The sodium flux method, which involves precipitation of GaN from a sodium-gallium melt supersaturated with nitrogen, offers potentially lower cost production due to relatively mild process conditions while maintaining high crystal quality. We successfully developed a novel apparatus for conducting crystal growth of bulk GaN using the sodium flux method which has advantages with respect to prior reports. A key task was to prevent sodium loss or migration from the growth environment while permitting N2 to access the growing crystal. We accomplished this by implementing a reflux condensing stem along with a reusable capsule containing a hermetic seal. The reflux condensing stem also enabled direct monitoring of the melt temperature, which has not been previously reported for the sodium flux method. Furthermore, we identified and utilized molybdenum and the molybdenum alloy TZM as a material capable of directly containing the corrosive sodium-gallium melt. This allowed implementation of a crucible-free system, which may improve process control and potentially lower crystal impurity levels. Nucleation and growth of parasitic GaN ("PolyGaN") on non-seed surfaces occurred in early designs. However, the addition of carbon in later designs suppressed PolyGaN formation and allowed growth of single crystal GaN. Growth rates for the (0001) Ga face (+c-plane) were up to 14 μm/h while X-ray omega rocking (ω-XRC) curve full width half-max values were 731″ for crystals grown using a later system design. Oxygen levels were high, >1019 atoms/cm3, possibly due to reactor cleaning and handling procedures.

  9. Uninterrupted and reusable source for the controlled growth of nanowires

    PubMed Central

    Sugavaneshwar, R. P.; Nanda, Karuna Kar

    2013-01-01

    Generally, the length of the oxide nanowires grown by vapor phase transport is limited by the degradation of the source materials. Furthermore, the source material is used once for the nanowires growth. By exploiting the Si-Zn phase diagram, we have developed a simple methodology for the non-catalytic growth of ultralong ZnO nanowires in large area with controllable aspect ratio and branched structures. The insolubility of Zn in Si and the use of a Si cap on the Zn source to prevent local source oxidation of Zn (i. e. prevents the degradation of the source) are the keys to grow longer nanowires without limitations. It has been shown that the aspect ratio can be controlled by thermodynamically (temperature) and more importantly by kinetically (vapor flux). One of the interesting findings is that the same source material can be used for several depositions of oxide nanostructured materials. PMID:23412010

  10. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  11. Direct evidence of recombination between electrons in InGaN quantum discs and holes in p-type GaN.

    PubMed

    Sun, Xiaoxiao; Wang, Xinqiang; Wang, Ping; Wang, Tao; Sheng, Bowen; Zheng, Xiantong; Li, Mo; Zhang, Jian; Yang, Xuelin; Xu, Fujun; Ge, Weikun; Shen, Bo

    2017-11-27

    Intense emission from an InGaN quantum disc (QDisc) embedded in a GaN nanowire p-n junction is directly resolved by performing cathodoluminescence spectroscopy. The luminescence observed from the p-type GaN region is exclusively dominated by the emission at 380 nm, which has been usually reported as the emission from Mg induced impurity bands. Here, we confirm that the robust emission from 380 nm is actually not due to the Mg induced impurity bands, but rather due to being the recombination between electrons in the QDisc and holes in the p-type GaN. This identification helps to get a better understanding of the confused luminescence from nanowires with thin QDiscs embedded for fabricating electrically driven single photon emitters.

  12. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  13. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  14. Influences of growth parameters on the reaction pathway during GaN synthesis

    NASA Astrophysics Data System (ADS)

    Zhang, Zhi; Liu, Zhongyi; Fang, Haisheng

    2018-01-01

    Gallium nitride (GaN) film growth is a complicated physical and chemical process including fluid flow, heat transfer, species transport and chemical reaction. Study of the reaction mechanism, i.e., the reaction pathway, is important for optimizing the growth process in the actual manufacture. In the paper, the growth pathway of GaN in a closed-coupled showerhead metal-organic chemical vapor deposition (CCS-MOCVD) reactor is investigated in detail using computational fluid dynamics (CFD). Influences of the process parameters, such as the chamber pressure, the inlet temperature, the susceptor temperature and the pre-exponential factor, on the reaction pathway are examined. The results show that increases of the chamber pressure or the inlet temperature, as well as reductions of the susceptor temperature or the pre-exponential factor lead to the adduct route dominating the growth. The deposition rate contributed by the decomposition route, however, can be enhanced dramatically by increasing the inlet temperature, the susceptor temperature and the pre-exponential factor.

  15. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  16. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  17. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  18. Site-controlled crystalline InN growth from the V-pits of a GaN substrate

    NASA Astrophysics Data System (ADS)

    Kuo, Chien-Ting; Hsu, Lung-Hsing; Lai, Yung-Yu; Cheng, Shan-Yun; Kuo, Hao-Chung; Lin, Chien-Chung; Cheng, Yuh-Jen

    2017-05-01

    A site-controlled crystalline InN growth from the V-pits of a GaN substrate was investigated. The V- pits were fabricated by epitaxial lateral growth of GaN over SiO2 disks patterned on a sapphire substrate. InN crystals were found to preferably grow on the inclined {10-11} crystal planes of the V-pits. A V-pit size of 1 μm or less can provide precise site-controlled InN nucleation at the V-pit bottom, while no InN was grown on the rest of the exposed GaN surfaces. The site-controlled nucleation is attributed to the low surface energy point created by the converging six {10-11} crystal facets at the V-pit bottom. When In source supply is below a certain value, this V-pit bottom is the only location able to aggregate enough active sources to start nucleation, thereby providing site-controlled crystal growth.

  19. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    NASA Astrophysics Data System (ADS)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  20. An atomistic mechanism study of GaN step-flow growth in vicinal m-plane orientations

    DOE PAGES

    Liu, Zhun; Wang, Ru-Zhi; Zapol, Peter

    2016-10-12

    We present elucidation of homoepitaxial growth mechanisms on vicinal non-polar surfaces of GaN that is highly important for gaining an understanding of and control thin film surface morphology and properties. Using first-principles calculations, we study the step-flow growth in m-plane GaN based on atomic row nucleation and kink propagation kinetics. Ga–N dimer adsorption onto the m-plane is energetically more favorable than that of Ga and N isolated adatoms. Therefore, we have treated the dimers as the dominant growth species attached to the step edges. By calculating the free energies of sequentially attached Ga–N dimers, we have elucidated that the a-stepmore » edge kink growth proceeds by parallel attachment rather than by across the step edge approach. We found a series of favorable configurations of kink propagation and calculated the free energy and nucleation barriers for kink evolution on five types of step edges (a, +c, -c, +a + c, and -a - c). By changing the chemical potential μGa and the excess chemical potential Δμ, the growth velocities at the five types of edges are controlled by the corresponding kink pair nucleation barrier E* in their free energy profiles. To explore the kink-flow growth instability observed at different Ga/N flux ratios, calculations of kink pairs on the incompact -c and +c-step edges are further performed to study their formation energies. Variations of these step edge morphologies with a tuned chemical environment are consistent with previous experimental observations, including stable diagonal ±a ± c-direction steps. In conclusion, our work provides a first-principles approach to explore step growth and surface morphology of the vicinal m-plane GaN, which is applicable to analyze and control the step-flow growth of other binary thin films.« less

  1. Phase-field model of vapor-liquid-solid nanowire growth

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  2. Growth of single-crystalline cobalt silicide nanowires and their field emission property.

    PubMed

    Lu, Chi-Ming; Hsu, Han-Fu; Lu, Kuo-Chang

    2013-07-03

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters.

  3. Growth of single-crystalline cobalt silicide nanowires and their field emission property

    PubMed Central

    2013-01-01

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters. PMID:23819795

  4. Magneto-ballistic transport in GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Santoruvo, Giovanni, E-mail: giovanni.santoruvo@epfl.ch; Allain, Adrien; Ovchinnikov, Dmitry

    2016-09-05

    The ballistic filtering property of nanoscale crosses was used to investigate the effect of perpendicular magnetic fields on the ballistic transport of electrons on wide band-gap GaN heterostructures. The straight scattering-less trajectory of electrons was modified by a perpendicular magnetic field which produced a strong non-linear behavior in the measured output voltage of the ballistic filters and allowed the observation of semi-classical and quantum effects, such as quenching of the Hall resistance and manifestation of the last plateau, in excellent agreement with the theoretical predictions. A large measured phase coherence length of 190 nm allowed the observation of universal quantum fluctuationsmore » and weak localization of electrons due to quantum interference up to ∼25 K. This work also reveals the prospect of wide band-gap GaN semiconductors as a platform for basic transport and quantum studies, whose properties allow the investigation of ballistic transport and quantum phenomena at much larger voltages and temperatures than in other semiconductors.« less

  5. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    NASA Astrophysics Data System (ADS)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  6. Growth of GaN single crystals by a Ca- and Ba-added Na flux method

    NASA Astrophysics Data System (ADS)

    Ukegawa, H.; Konishi, Y.; Fujimori, T.; Miyoshi, N.; Imade, M.; Yoshimura, M.; Kitaoka, Y.; Sasaki, T.; Mori, Y.

    2011-02-01

    GaN substrates are desirable for fabricating ultra-violet LEDs and LDs, and high-power and high-frequency transistors. High-quality GaN single crystals can be obtained by using Na flux method, but the growth habit of bulk crystals must be controlled. In this study, we investigated the effects of additives (Ca, Ba) on the growth habit and impurity concentration in the crystals. The aspect ratio (c/a) of the crystals was increased by increasing the amount of additives, showing that the growth habit could be changed from the pyramidal shape to the prism shape. Ba concentration was below the detection limit (1x1015 atoms/cm3).

  7. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  8. Batchwise growth of silica cone patterns via self-assembly of aligned nanowires.

    PubMed

    Luo, Shudong; Zhou, Weiya; Chu, Weiguo; Shen, Jun; Zhang, Zengxing; Liu, Lifeng; Liu, Dongfang; Xiang, Yanjuan; Ma, Wenjun; Xie, Sishen

    2007-03-01

    Silica-cone patterns self-assembled from well-aligned nanowires are synthesized using gallium droplets as the catalyst and silicon wafers as the silicon source. The cones form a triangular pattern array radially on almost the whole surface of the molten Ga ball. Detailed field-emission scanning electron microscopy (SEM) analysis shows that the cone-pattern pieces frequently slide off and are detached from the molten Ga ball surface, which leads to the exposure of the catalyst surface and the growth of a new batch of silicon oxide nanowires as well as the cone patterns. The processes of growth and detachment alternate, giving rise to the formation of a volcano-like or a flower-like structure with bulk-quantity pieces of cone patterns piled up around the Ga ball. Consequently, the cone-patterned layer grows batch by batch until the reaction is terminated. Different to the conventional metal-catalyzed growth model, the batch-by-batch growth of the triangular cone patterns proceeds on the molten Ga balls via alternate growth on and detachment from the catalyst surface of the patterns; the Ga droplet can be used continuously and circularly as an effective catalyst for the growth of amorphous SiO(x) nanowires during the whole growth period. The intriguing batchwise growth phenomena may enrich our understanding of the vapour-liquid-solid (VLS) growth mechanism for the catalyst growth of nanowires or other nanostructures and may offer a different way of self-assembling novel silica nanostructures.

  9. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    PubMed

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  10. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  11. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  12. Phase diagrams for understanding gold-seeded growth of GaAs and InAs nanowires

    NASA Astrophysics Data System (ADS)

    Ghasemi, Masoomeh; Johansson, Jonas

    2017-04-01

    Phase diagrams are useful tools to study the phase equilibria of nanowire materials systems because the growth of nanowires is accompanied by phase formation and phase transition. We have modeled the phase equilibria of the As-Au-Ga ternary system by means of the CALPHAD method. This method is a well-established semi-empirical technique for thermodynamic modeling in which Gibbs energy functions with free parameters are defined for all phases in a system followed by adjusting these parameters to the experimental data. Using the resulting As-Au-Ga thermodynamic database, four vertical cuts of this ternary system are calculated and all show good agreement with experiments. This ternary system is particularly useful for predicting the state of the Au seed alloys when growing GaAs nanowires and we discuss such predictions. Similar calculations are performed for Au-seeded InAs nanowires. We show that the vapor-liquid-solid (VLS) growth fails for InAs nanowires, while GaAs nanowires can grow from a liquid particle. Our calculations are in agreement with experimental data on the growth of Au-seeded GaAs and InAs nanowires.

  13. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  14. Growth Temperature Dependence of Morphology of GaN Single Crystals in the Na-Li-Ca Flux Method

    NASA Astrophysics Data System (ADS)

    Wu, Xi; Hao, Hangfei; Li, Zhenrong; Fan, Shiji; Xu, Zhuo

    2018-02-01

    In this paper, the effect of growth temperature on the morphology and transparency of the GaN crystals obtained by the Li-Ca-added Na Flux method was studied. Addition of Li-Ca was attempted to control the growth habit and further improve transparency of GaN crystals. The samples with wurtzite structure of GaN were confirmed by the x-ray powder diffraction analysis. GaN single crystal with maximum size of about 6 mm was grown at 750°C. As the growth temperature was increased from 700°C to 850°C, the morphology of the crystals changed from pyramid to prism, and their surfaces became smooth. It was found that high growth temperature was beneficial to obtain a transparent crystal, but the evaporation of sodium would suppress its further growth. The E 2 (high) mode in the Raman spectra was at 568 cm-1, and the full-width at half-maximum values of this peak for the crystals obtained at 700°C, 750°C, 800°C, and 850°C were 7.5 cm-1, 10.3 cm-1, 4.4 cm-1, and 4.0 cm-1, respectively. It indicates that all the crystals are stress free and the transparent crystal grown at high temperature has high structural quality or low impurity concentrations.

  15. Positioning growth of NPB crystalline nanowires on the PTCDA nanocrystal template.

    PubMed

    Wang, Hong; Lin, Haiping; Fan, Xing; Ostendorp, Stefan; Wang, Yandong; Huang, Lizhen; Jiang, Lin; Li, Youyong; Wilde, Gerhard; Fuchs, Harald; Wang, Wenchong; Chi, Lifeng

    2018-05-31

    Non-planar organic molecules often form amorphous films via vapor phase deposition on surfaces. In this study, we demonstrate for the first time that direct crystalline growth of non-planar NPB is possible when the orientation of initially deposited molecules on a PTCDA nanocrystal template is controlled to make it analogous to the structure of the molecular crystal. The crystalline NPB nanowires can be further positioned by controlling the site-selective growth of PTCDA nanocrystal templates at pre-determined locations. Short channel bottom contact OFET array with the NPB nanowires directly grown on electrodes were subsequently fabricated. The hole mobility of NPB nanowires is improved by 40-fold in comparison to that of the amorphous films.

  16. Growth Mechanism Studies of ZnO Nanowires: Experimental Observations and Short-Circuit Diffusion Analysis.

    PubMed

    Shih, Po-Hsun; Wu, Sheng Yun

    2017-07-21

    Plenty of studies have been performed to probe the diverse properties of ZnO nanowires, but only a few have focused on the physical properties of a single nanowire since analyzing the growth mechanism along a single nanowire is difficult. In this study, a single ZnO nanowire was synthesized using a Ti-assisted chemical vapor deposition (CVD) method to avoid the appearance of catalytic contamination. Two-dimensional energy dispersive spectroscopy (EDS) mapping with a diffusion model was used to obtain the diffusion length and the activation energy ratio. The ratio value is close to 0.3, revealing that the growth of ZnO nanowires was attributed to the short-circuit diffusion.

  17. Growth Mechanism Studies of ZnO Nanowires: Experimental Observations and Short-Circuit Diffusion Analysis

    PubMed Central

    Shih, Po-Hsun

    2017-01-01

    Plenty of studies have been performed to probe the diverse properties of ZnO nanowires, but only a few have focused on the physical properties of a single nanowire since analyzing the growth mechanism along a single nanowire is difficult. In this study, a single ZnO nanowire was synthesized using a Ti-assisted chemical vapor deposition (CVD) method to avoid the appearance of catalytic contamination. Two-dimensional energy dispersive spectroscopy (EDS) mapping with a diffusion model was used to obtain the diffusion length and the activation energy ratio. The ratio value is close to 0.3, revealing that the growth of ZnO nanowires was attributed to the short-circuit diffusion. PMID:28754030

  18. Analysis of the vapor-liquid-solid mechanism for nanowire growth and a model for this mechanism.

    PubMed

    Mohammad, S Noor

    2008-05-01

    The vapor-liquid-solid (VLS) mechanism is most widely employed to grow nanowires (NWs). The mechanism uses foreign element catalytic agent (FECA) to mediate the growth. Because of this, it is believed to be very stable with the FECA-mediated droplets not consumed even when reaction conditions change. Recent experiments however differ, which suggest that even under cleanest growth conditions, VLS mechanism may not produce long, thin, uniform, single-crystal nanowires of high purity. The present investigation has addressed various issues involving fundamentals of VLS growth. While addressing these issues, it has taken into consideration the influence of the electrical, hydrodynamic, thermodynamic, and surface tension effects on NW growth. It has found that parameters such as mesoscopic effects on nanoparticle seeds, charge distribution in FECA-induced droplets, electronegativity of the droplet with respect to those of reactive nanowire vapor species, growth temperature, and chamber pressure play important role in the VLS growth. On the basis of an in-depth analysis of various issues, a simple, novel, malleable (SNM) model has been presented for the VLS mechanism. The model appears to explain the formation and observed characteristics of a wide variety of nanowires, including elemental and compound semiconductor nanowires. Also it provides an understanding of the influence of the dynamic behavior of the droplets on the NW growth. This study finds that increase in diameter with time of the droplet of tapered nanowires results primarily from gradual incorporation of oversupplied nanowire species into the FECA-mediated droplet, which is supported by experiments. It finds also that optimum compositions of the droplet constituents are crucial for VLS nanowire growth. An approximate model presented to exemplify the parametric dependency of VLS growth provides good description of NW growth rate as a function of temperature.

  19. Self-catalytic branch growth of SnO 2 nanowire junctions

    NASA Astrophysics Data System (ADS)

    Chen, Y. X.; Campbell, L. J.; Zhou, W. L.

    2004-10-01

    Multiple branched SnO2 nanowire junctions have been synthesized by thermal evaporation of SnO powder. Their nanostructures were studied by transmission electron microscopy and field emission scanning electron microcopy. It was observed that Sn nanoparticles generated from decomposition of the SnO powder acted as self-catalysts to control the SnO2 nanojunction growth. Orthorhombic SnO2 was found as a dominate phase in nanojunction growth instead of rutile structure. The branches and stems of nanojunctions were found to be an epitaxial growth by electron diffraction analysis and high-resolution electron microscopy observation. The growth directions of the branched SnO2 nanojunctions were along the orthorhombic [1 1 0] and [ 1 1 bar 0 ] . A self-catalytic vapor-liquid-solid growth mechanism is proposed to describe the growth process of the branched SnO2 nanowire junctions.

  20. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  1. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  2. Influence of indium supply on Au-catalyzed InGaAs nanowire growth studied by in situ X-ray diffraction

    NASA Astrophysics Data System (ADS)

    Sasaki, Takuo; Takahasi, Masamitu

    2017-06-01

    In this study, we analyzed the influence of indium supply on the growth dynamics of gold-catalyzed InGaAs nanowires by in situ synchrotron X-ray diffraction. A high In/Ga supply ratio results in strong size inhomogeneity of Au particles and interrupts the nanowire growth at a certain point of time. Based on the experimental results, we discussed the state of Au catalysts with high indium content during the nanowire growth. We found that a growth temperature below the eutectic temperature is essential to avoid the growth interruption and maintain the nanowire growth. The high In/Ga ratio necessitates accurate size control of Au particles before growth for further improvement of the nanowire growth.

  3. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  4. Giant piezoelectric size effects in zinc oxide and gallium nitride nanowires. A first principles investigation.

    PubMed

    Agrawal, Ravi; Espinosa, Horacio D

    2011-02-09

    Nanowires made of materials with noncentrosymmetric crystal structure are under investigation for their piezoelectric properties and suitability as building blocks for next-generation self-powered nanodevices. In this work, we investigate the size dependence of piezoelectric coefficients in nanowires of two such materials - zinc oxide and gallium nitride. Nanowires, oriented along their polar axis, ranging from 0.6 to 2.4 nm in diameter were modeled quantum mechanically. A giant piezoelectric size effect is identified for both GaN and ZnO nanowires. However, GaN exhibits a larger and more extended size dependence than ZnO. The observed size effect is discussed in the context of charge redistribution near the free surfaces leading to changes in local polarization. The study reveals that local changes in polarization and reduction of unit cell volume with respect to bulk values lead to the observed size effect. These results have strong implication in the field of energy harvesting, as piezoelectric voltage output scales with the piezoelectric coefficient.

  5. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE PAGES

    Parameshwaran, Vijay; Clemens, Bruce

    2016-08-17

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  6. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parameshwaran, Vijay; Clemens, Bruce

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  7. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  8. Analysis of magic lengths in growth of supported metallic nanowires

    NASA Astrophysics Data System (ADS)

    Han, Yong

    2014-12-01

    Metallic nanowires can exhibit fascinating physical properties. These unique properties often originate primarily from the quantum confinement of free electrons in a potential well, while electron-electron interactions do not play a decisive role. A recent experimental study shows that self-assembled Ir nanowires grown on Ge(001) surface have a strong length preference: the nanowire lengths are an integer multiple of 4.8 nm. In this paper, a free-electron-gas model for geometries corresponding to the nanowires is used to analyze the selection of these preferred or magic lengths. The model shows that the inclusion of even numbers of free electrons in an Ir nanowire produces these magic lengths once an electron spillage effect is taken into account. The model also shows that the stability of the nanowire diminishes with its increasing length, and consequently suggests why no long nanowires are observed in experiments. It is also shown that applying generic results for quantum size effects in a nanofilm geometry is not adequate to accurately describe the length selection in the rather different nanowire geometry, where the transverse dimensions are smaller than the electron Fermi wavelength. Finally, monatomic Au chain growth on Ge(001) surface is also analyzed. In contrast to Ir nanowires, the model shows that the stability of an Au chain depends strongly on the extent of electron spillage.

  9. Growth of GaN nanostructures with polar and semipolar orientations for the fabrication of UV LEDs

    NASA Astrophysics Data System (ADS)

    Brault, Julien; Damilano, Benjamin; Courville, Aimeric; Leroux, Mathieu; Kahouli, Abdelkarim; Korytov, Maxim; Vennéguès, Philippe; Randazzo, Gaetano; Chenot, Sébastien; Vinter, Borge; De Mierry, Philippe; Massies, Jean; Rosales, Daniel; Bretagnon, Thierry; Gil, Bernard

    2014-03-01

    (Al,Ga)N light emitting diodes (LEDs), emitting over a large spectral range from 360 nm (GaN) down to 210 nm (AlN), have been successfully fabricated over the last decade. Clear advantages compared to the traditional mercury lamp technology (e.g. compactness, low-power operation, lifetime) have been demonstrated. However, LED efficiencies still need to be improved. The main problems are related to the structural quality and the p-type doping efficiency of (Al,Ga)N. Among the current approaches, GaN nanostructures, which confine carriers along both the growth direction and the growth plane, are seen as a solution for improving the radiative recombination efficiency by strongly reducing the impact of surrounding defects. Our approach, based on a 2D - 3D growth mode transition in molecular beam epitaxy, can lead to the spontaneous formation of GaN nanostructures on (Al,Ga)N over a broad range of Al compositions. Furthermore, the versatility of the process makes it possible to fabricate nanostructures on both (0001) oriented "polar" and (11 2 2) oriented "semipolar" materials. We show that the change in the crystal orientation has a strong impact on the morphological and optical properties of the nanostructures. The influence of growth conditions are also investigated by combining microscopy (SEM, TEM) and photoluminescence techniques. Finally, their potential as UV emitters will be discussed and the performances of GaN / (Al,Ga)N nanostructure-based LED demonstrators are presented.

  10. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  11. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  12. Selective area growth and characterization of GaN nanocolumns, with and without an InGaN insertion, on semi-polar (11–22) GaN templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bengoechea-Encabo, A.; Albert, S.; Barbagini, F.

    The aim of this work is the selective area growth (SAG) of GaN nanocolumns, with and without an InGaN insertion, by molecular beam epitaxyon semi-polar (11–22) GaN templates. The high density of stacking faults present in the template is strongly reduced after SAG. A dominant sharp photoluminescence emission at 3.473 eV points to high quality strain-free material. When embedding an InGaN insertion into the ordered GaN nanostructures, very homogeneous optical properties are observed, with two emissions originating from different regions of each nanostructure, most likely related to different In contents on different crystallographic planes.

  13. Comprehensive analyses of core-shell InGaN/GaN single nanowire photodiodes

    NASA Astrophysics Data System (ADS)

    Zhang, H.; Guan, N.; Piazza, V.; Kapoor, A.; Bougerol, C.; Julien, F. H.; Babichev, A. V.; Cavassilas, N.; Bescond, M.; Michelini, F.; Foldyna, M.; Gautier, E.; Durand, C.; Eymery, J.; Tchernycheva, M.

    2017-12-01

    Single nitride nanowire core/shell n-p photodetectors are fabricated and analyzed. Nanowires consisting of an n-doped GaN stem, a radial InGaN/GaN multiple quantum well system and a p-doped GaN external shell were grown by catalyst-free metal-organic vapour phase epitaxy on sapphire substrates. Single nanowires were dispersed and the core and the shell regions were contacted with a metal and an ITO deposition, respectively, defined using electron beam lithography. The single wire photodiodes present a response in the visible to UV spectral range under zero external bias. The detector operation speed has been analyzed under different bias conditions. Under zero bias, the  -3 dB cut-off frequency is ~200 Hz for small light modulations. The current generation was modeled using non-equilibrium Green function formalism, which evidenced the importance of phonon scattering for carrier extraction from the quantum wells.

  14. Structural and optical properties of InGaN-GaN nanowire heterostructures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Limbach, F.; Gotschke, T.; Stoica, T.; Calarco, R.; Sutter, E.; Ciston, J.; Cusco, R.; Artus, L.; Kremling, S.; Höfling, S.; Worschech, L.; Grützmacher, D.

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaN to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.

  15. Nanophase diagram of binary eutectic Au-Ge nanoalloys for vapor-liquid-solid semiconductor nanowires growth

    NASA Astrophysics Data System (ADS)

    Lu, Haiming; Meng, Xiangkang

    2015-06-01

    Although the vapor-liquid-solid growth of semiconductor nanowire is a non-equilibrium process, the equilibrium phase diagram of binary alloy provides important guidance on the growth conditions, such as the temperature and the equilibrium composition of the alloy. Given the small dimensions of the alloy seeds and the nanowires, the known phase diagram of bulk binary alloy cannot be expected to accurately predict the behavior of the nanowire growth. Here, we developed a unified model to describe the size- and dimensionality-dependent equilibrium phase diagram of Au-Ge binary eutectic nanoalloys based on the size-dependent cohesive energy model. It is found that the liquidus curves reduce and shift leftward with decreasing size and dimensionality. Moreover, the effects of size and dimensionality on the eutectic composition are small and negligible when both components in binary eutectic alloys have the same dimensionality. However, when two components have different dimensionality (e.g. Au nanoparticle-Ge nanowire usually used in the semiconductor nanowires growth), the eutectic composition reduces with decreasing size.

  16. Direct assessment of p-n junctions in single GaN nanowires by Kelvin probe force microscopy.

    PubMed

    Minj, Albert; Cros, Ana; Auzelle, Thomas; Pernot, Julien; Daudin, Bruno

    2016-09-23

    Making use of Kelvin probe force microscopy, in dark and under ultraviolet illumination, we study the characteristics of p-n junctions formed along the axis of self-organized GaN nanowires (NWs). We map the contact potential difference of the single NW p-n junctions to locate the space charge region and directly measure the depletion width and the junction voltage. Simulations indicate a shrinkage of the built-in potential for NWs with small diameter due to surface band bending, in qualitative agreement with the measurements. The photovoltage of the NW/substrate contact is studied by analyzing the response of NW segments with p- and n-type doping under illumination. Our results show that the shifts of the Fermi levels, and not the changes in surface band bending, are the most important effects under above band-gap illumination. The quantitative electrical information obtained here is important for the use of NW p-n junctions as photovoltaic or rectifying devices at the nanoscale, and is especially relevant since the technique does not require the formation of ohmic contacts to the NW junction.

  17. Direct assessment of p-n junctions in single GaN nanowires by Kelvin probe force microscopy

    NASA Astrophysics Data System (ADS)

    Minj, Albert; Cros, Ana; Auzelle, Thomas; Pernot, Julien; Daudin, Bruno

    2016-09-01

    Making use of Kelvin probe force microscopy, in dark and under ultraviolet illumination, we study the characteristics of p-n junctions formed along the axis of self-organized GaN nanowires (NWs). We map the contact potential difference of the single NW p-n junctions to locate the space charge region and directly measure the depletion width and the junction voltage. Simulations indicate a shrinkage of the built-in potential for NWs with small diameter due to surface band bending, in qualitative agreement with the measurements. The photovoltage of the NW/substrate contact is studied by analyzing the response of NW segments with p- and n-type doping under illumination. Our results show that the shifts of the Fermi levels, and not the changes in surface band bending, are the most important effects under above band-gap illumination. The quantitative electrical information obtained here is important for the use of NW p-n junctions as photovoltaic or rectifying devices at the nanoscale, and is especially relevant since the technique does not require the formation of ohmic contacts to the NW junction.

  18. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  19. Optical Control of Internal Electric Fields in Band Gap-Graded InGaN Nanowires

    NASA Astrophysics Data System (ADS)

    Erhard, N.; Sarwar, A. T. M. Golam; Yang, F.; McComb, D. W.; Myers, R. C.; Holleitner, A. W.

    2015-01-01

    InGaN nanowires are suitable building blocks for many future optoelectronic devices. We show that a linear grading of the indium content along the nanowire axis from GaN to InN introduces an internal electric field evoking a photocurrent. Consistent with quantitative band structure simulations we observe a sign change in the measured photocurrent as a function of photon flux. This negative differential photocurrent opens the path to a new type of nanowire-based photodetector. We demonstrate that the photocurrent response of the nanowires is as fast as 1.5 ps.

  20. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  1. Template-assisted growth of transparent plasmonic nanowire electrodes

    NASA Astrophysics Data System (ADS)

    Caterina Giordano, Maria; Repetto, Diego; Mennucci, Carlo; Carrara, Angelica; Buatier de Mongeot, Francesco

    2016-12-01

    Self-organized nanowire arrays are confined by glancing-angle Au deposition on nanopatterned glass templates prepared by ion beam sputtering. The semi-transparent 1D nanowire arrays are extended over large cm2 areas and are endowed with excellent electrical conductivity competitive with the best transparent conductive oxides (sheet resistance in the range of 5-20 Ohm sq-1). In addition, the nanowires support localized surface plasmon (LSP) resonances, which are easily tunable into the visible and near infrared spectrum and are selectively excited with incident light polarized perpendicularly to the wires. Such substrates, thus, behave as multifunctional nanoelectrodes, which combine good optoelectronic performance with dichroic plasmonic excitation. The electrical percolation process of the Au nanoelectrodes was monitored in situ during growth at glancing angle, both on flat and nanopatterned glass templates. In the first case, we observed a universal scaling of the differential percolation rate, independently of the glancing deposition angle, while deviations from the universal scaling were observed when Au was confined on nanopatterned templates. In the latter case, the pronounced shadowing effect promotes the growth of locally connected 1D Au nanosticks on the ‘illuminated’ ripple ridges, thus, introducing strong anisotropies with respect to the case of a 2D percolating network.

  2. Growth of blue GaN LED structures on 150-mm Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Dadgar, A.; Hums, C.; Diez, A.; Bläsing, J.; Krost, A.

    2006-12-01

    Up to 5.4-μm thick GaN on Si light emitting diode (LED) structures were grown by metalorganic chemical vapor phase epitaxy (MOVPE) on 150 mm Si(1 1 1) substrates. In-situ curvature measurements enable monitoring of stress development during growth and the influence of interlayers on strain balancing after cooling. In X-ray diffraction (XRD) ω-scans the GaN (0 0 0 2) reflection is about 380 arcsec and in θ-2 θ measurements the InGaN/GaN MQW interference peaks are well resolved indicating the high quality of the grown structure. In comparison to the growth on 2-in sapphire the wafer curvature after growth is low (>50 m) for the growth on Si and also during MQW growth at low temperatures a homogeneous wafer temperature can be achieved. The standard deviation of the wavelength over the whole 150-mm test wafer (5-mm edge exclusion) is <3.5 nm and reflects the three different heater zones of the MOVPE system used.

  3. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  4. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  5. Highly patterned growth of SnO2 nanowires using a sub-atmospheric vapor-liquid-solid deposition

    NASA Astrophysics Data System (ADS)

    Akbari, M.; Mohajerzadeh, S.

    2017-08-01

    We report the realization of tin-oxide nanowires on patterned structures using a vapor-liquid-solid (VLS) process. While gold acts as the catalyst for the growth of wires, a tin-oxide containing sol-gel solution is spin coated on silicon substrate to act as the source for SnO vapor. The growth of tin-oxide nano-structures occurs mostly at the vicinity of the pre-deposited solution. By patterning the gold as the catalyst material, one is able to observe the growth at desired places. The growth of nanowires is highly dense within 100 µm away from such in situ source and their length is of the order of 5 µm. By further distancing from the source, the growth becomes more limited and nanowires become shorter and more sparsely distributed. The growth of nanowires has been studied using scanning and transmission electron microscopy tools while their composition has been investigated using XRD and EDS analyses. As a novel application, we have employed the grown nanowires as electron detection elements to measure the emitted electrons from electron sources. This configuration can be further used as electron detectors for scanning electron microscopes.

  6. Preparation, optical and non-linear optical power limiting properties of Cu, CuNi nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Udayabhaskar, R.; Karthikeyan, B., E-mail: bkarthik@nitt.edu; Ollakkan, Muhamed Shafi

    2014-01-06

    Metallic nanowires show excellent Plasmon absorption which is tunable based on its aspect ratio and alloying nature. We prepared Cu and CuNi metallic nanowires and studied its optical and nonlinear optical behavior. Optical properties of nanowires are theoretically explained using Gans theory. Nonlinear optical behavior is studied using a single beam open aperture z-scan method with the use of 5 ns Nd: YAG laser. Optical limiting is found to arise from two-photon absorption.

  7. Preparation, optical and non-linear optical power limiting properties of Cu, CuNi nanowires

    NASA Astrophysics Data System (ADS)

    Udayabhaskar, R.; Ollakkan, Muhamed Shafi; Karthikeyan, B.

    2014-01-01

    Metallic nanowires show excellent Plasmon absorption which is tunable based on its aspect ratio and alloying nature. We prepared Cu and CuNi metallic nanowires and studied its optical and nonlinear optical behavior. Optical properties of nanowires are theoretically explained using Gans theory. Nonlinear optical behavior is studied using a single beam open aperture z-scan method with the use of 5 ns Nd: YAG laser. Optical limiting is found to arise from two-photon absorption.

  8. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  9. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  10. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  11. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  12. In situ monitoring of laser-assisted hydrothermal growth of ZnO nanowires: thermally deactivating growth kinetics.

    PubMed

    In, Jung Bin; Kwon, Hyuk-Jun; Lee, Daeho; Ko, Seung Hwan; Grigoropoulos, Costas P

    2014-02-26

    The laser-assisted hydrothermal growth kinetics of a cluster of ZnO nanowires are studied based on optical in situ growth monitoring. The growth yields are orders of magnitude higher than those of conventional hydrothermal methods that use bulk heating. This remarkable improvement is attributed to suppression of precursor depletion occurring by homogeneous growth reactions, as well as to enhanced mass transport. The obtained in situ data show gradually decaying growth kinetics even with negligible precursor consumption. It is revealed that the growth deceleration is caused by thermal deactivation resulting from heat dissipation through the growing nanowires. Finally, it is demonstrated that the tailored temporal modulation of the input power enables sustained growth to extended dimensions. These results provide a key to highly efficient use of growth precursors that has been pursued for industrial use of this functional metal oxide semiconductor. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Twin-mediated epitaxial growth of highly lattice-mismatched Cu/Ag core-shell nanowires.

    PubMed

    Weng, Wei-Lun; Hsu, Chin-Yu; Lee, Jheng-Syun; Fan, Hsin-Hsin; Liao, Chien-Neng

    2018-05-31

    Lattice-mismatch is an important factor for the heteroepitaxial growth of core-shell nanostructures. A large lattice-mismatch usually leads to a non-coherent interface or a polycrystalline shell layer. In this study, a conformal Ag layer is coated on Cu nanowires with dense nanoscale twin boundaries through a galvanic replacement reaction. Despite a large lattice mismatch between Ag and Cu (∼12.6%), the Ag shell replicates the twinning structure in Cu nanowires and grows epitaxially on the nanotwinned Cu nanowire. A twin-mediated growth mechanism is proposed to explain the epitaxy of high lattice-mismatch bimetallic systems in which the misfit dislocations are accommodated by coherent twin boundaries.

  14. Growth of antimony doped P-type zinc oxide nanowires for optoelectronics

    DOEpatents

    Wang, Zhong Lin; Pradel, Ken

    2016-09-27

    In a method of growing p-type nanowires, a nanowire growth solution of zinc nitrate (Zn(NO.sub.3).sub.2), hexamethylenetetramine (HMTA) and polyethylenemine (800 M.sub.w PEI) is prepared. A dopant solution to the growth solution, the dopant solution including an equal molar ration of sodium hydroxide (NaOH), glycolic acid (C.sub.2H.sub.4O.sub.3) and antimony acetate (Sb(CH.sub.3COO).sub.3) in water is prepared. The dopant solution and the growth solution combine to generate a resulting solution that includes antimony to zinc in a ratio of between 0.2% molar to 2.0% molar, the resulting solution having a top surface. An ammonia solution is added to the resulting solution. A ZnO seed layer is applied to a substrate and the substrate is placed into the top surface of the resulting solution with the ZnO seed layer facing downwardly for a predetermined time until Sb-doped ZnO nanowires having a length of at least 5 .mu.m have grown from the ZnO seed layer.

  15. Growth Evolution and Characterization of PLD Zn(Mg)O Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Rahm, Andreas; Nobis, Thomas; Lorenz, Michael; Zimmermann, Gregor; Boukos, Nikos; Travlos, Anastasios; Grundmann, Marius

    ZnO and Zn0.98Mg0.02O nanowires have been grown by high-pressure pulsed laser deposition on sapphire substrates covered with gold colloidal particles as nucleation sites. We present a detailed study of the nanowire size and length distribution and of the growth evolution. We find that the aspect ratio varies linearly with deposition time. The linearity coefficient is independent of the catalytic gold particle size and lateral nanowire density. The superior structural quality of the whiskers is proven by X-ray diffraction and transmission electron microscopy. The defect-free ZnO nanowires exhibit a FWHM(2θ-ω) of the ZnO(0002) reflection of 22 arcsec. We show (0-11) step habit planes on the side faces of the nanowires that are a few atomic steps in height. The microscopic homogeneity of the optical properties is confirmed by temperature-dependent cathodoluminescence.

  16. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Wafer-scale Thermodynamically Stable GaN Nanorods via Two-Step Self-Limiting Epitaxy for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Kum, Hyun; Seong, Han-Kyu; Lim, Wantae; Chun, Daemyung; Kim, Young-Il; Park, Youngsoo; Yoo, Geonwook

    2017-01-01

    We present a method of epitaxially growing thermodynamically stable gallium nitride (GaN) nanorods via metal-organic chemical vapor deposition (MOCVD) by invoking a two-step self-limited growth (TSSLG) mechanism. This allows for growth of nanorods with excellent geometrical uniformity with no visible extended defects over a 100 mm sapphire (Al2O3) wafer. An ex-situ study of the growth morphology as a function of growth time for the two self-limiting steps elucidate the growth dynamics, which show that formation of an Ehrlich-Schwoebel barrier and preferential growth in the c-plane direction governs the growth process. This process allows monolithic formation of dimensionally uniform nanowires on templates with varying filling matrix patterns for a variety of novel electronic and optoelectronic applications. A color tunable phosphor-free white light LED with a coaxial architecture is fabricated as a demonstration of the applicability of these nanorods grown by TSSLG.

  18. Classical continuum theory limits to determine the size-dependency of mechanical properties of GaN NWs

    NASA Astrophysics Data System (ADS)

    Zamani Kouhpanji, Mohammad Reza; Behzadirad, Mahmoud; Busani, Tito

    2017-12-01

    We used the stable strain gradient theory including acceleration gradients to investigate the classical and nonclassical mechanical properties of gallium nitride (GaN) nanowires (NWs). We predicted the static length scales, Young's modulus, and shear modulus of the GaN NWs from the experimental data. Combining these results with atomic simulations, we also found the dynamic length scale of the GaN NWs. Young's modulus, shear modulus, static, and dynamic length scales were found to be 318 GPa, 131 GPa, 8 nm, and 8.9 nm, respectively, usable for demonstrating the static and dynamic behaviors of GaN NWs having diameters from a few nm to bulk dimensions. Furthermore, the experimental data were analyzed with classical continuum theory (CCT) and compared with the available literature to illustrate the size-dependency of the mechanical properties of GaN NWs. This practice resolves the previous published discrepancies that happened due to the limitations of CCT used for determining the mechanical properties of GaN NWs and their size-dependency.

  19. Why self-catalyzed nanowires are most suitable for large-scale hierarchical integrated designs of nanowire nanoelectronics

    NASA Astrophysics Data System (ADS)

    Noor Mohammad, S.

    2011-10-01

    Nanowires are grown by a variety of mechanisms, including vapor-liquid-solid, vapor-quasiliquid-solid or vapor-quasisolid-solid, oxide-assisted growth, and self-catalytic growth (SCG) mechanisms. A critical analysis of the suitability of self-catalyzed nanowires, as compared to other nanowires, for next-generation technology development has been carried out. Basic causes of superiority of self-catalyzed (SCG) nanowires over other nanowires have been described. Polytypism in nanowires has been studied, and a model for polytypism has been proposed. The model predicts polytypism in good agreement with available experiments. This model, together with various evidences, demonstrates lower defects, dislocations, and stacking faults in SCG nanowires, as compared to those in other nanowires. Calculations of carrier mobility due to dislocation scattering, ionized impurity scattering, and acoustic phonon scattering explain the impact of defects, dislocations, and stacking faults on carrier transports in SCG and other nanowires. Analyses of growth mechanisms for nanowire growth directions indicate SCG nanowires to exhibit the most controlled growth directions. In-depth investigation uncovers the fundamental physics underlying the control of growth direction by the SCG mechanism. Self-organization of nanowires in large hierarchical arrays is crucial for ultra large-scale integration (ULSI). Unique features and advantages of self-organized SCG nanowires, unlike other nanowires, for this ULSI have been discussed. Investigations of nanowire dimension indicate self-catalyzed nanowires to have better control of dimension, higher stability, and higher probability, even for thinner structures. Theoretical calculations show that self-catalyzed nanowires, unlike catalyst-mediated nanowires, can have higher growth rate and lower growth temperature. Nanowire and nanotube characteristics have been found also to dictate the performance of nanoelectromechanical systems. Defects, such as

  20. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  1. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calabrese, Gabriele, E-mail: calabrese@pdi-berlin.de; Corfdir, Pierre; Gao, Guanhui

    We demonstrate the self-assembled growth of vertically aligned GaN nanowire ensembles on a flexible Ti foil by plasma-assisted molecular beam epitaxy. The analysis of single nanowires by transmission electron microscopy reveals that they are single crystalline. Low-temperature photoluminescence spectroscopy demonstrates that in comparison to standard GaN nanowires grown on Si, the nanowires prepared on the Ti foil exhibit an equivalent crystalline perfection, a higher density of basal-plane stacking faults, but a reduced density of inversion domain boundaries. The room-temperature photoluminescence spectrum of the nanowire ensemble is not influenced or degraded by the bending of the substrate. The present results pavemore » the way for the fabrication of flexible optoelectronic devices based on GaN nanowires on metal foils.« less

  2. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  3. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  4. Theoretical analysis of the axial growth of nanowires starting with a binary eutectic droplet via vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Liu, Qing; Li, Hejun; Zhang, Yulei; Zhao, Zhigang

    2018-06-01

    A series of theoretical analysis is carried out for the axial vapor-liquid-solid (VLS) growth of nanowires starting with a binary eutectic droplet. The growth model considering the entire process of axial VLS growth is a development of the approaches already developed by previous studies. In this model, the steady and unsteady state growth are considered both. The amount of solute species in a variable liquid droplet, the nanowire length, radius, growth rate and all other parameters during the entire axial growth process are treated as functions of growth time. The model provides theoretical predictions for the formation of nanowire shape, the length-radius and growth rate-radius dependences. It is also suggested by the model that the initial growth of single nanowire is significantly affected by Gibbs-Thompson effect due to the shape change. The model was applied on predictions of available experimental data of Si and Ge nanowires grown from Au-Si and Au-Ge systems respectively reported by other works. The calculations with the proposed model are in satisfactory agreement with the experimental results of the previous works.

  5. Nanowire growth process modeling and reliability models for nanodevices

    NASA Astrophysics Data System (ADS)

    Fathi Aghdam, Faranak

    Nowadays, nanotechnology is becoming an inescapable part of everyday life. The big barrier in front of its rapid growth is our incapability of producing nanoscale materials in a reliable and cost-effective way. In fact, the current yield of nano-devices is very low (around 10 %), which makes fabrications of nano-devices very expensive and uncertain. To overcome this challenge, the first and most important step is to investigate how to control nano-structure synthesis variations. The main directions of reliability research in nanotechnology can be classified either from a material perspective or from a device perspective. The first direction focuses on restructuring materials and/or optimizing process conditions at the nano-level (nanomaterials). The other direction is linked to nano-devices and includes the creation of nano-electronic and electro-mechanical systems at nano-level architectures by taking into account the reliability of future products. In this dissertation, we have investigated two topics on both nano-materials and nano-devices. In the first research work, we have studied the optimization of one of the most important nanowire growth processes using statistical methods. Research on nanowire growth with patterned arrays of catalyst has shown that the wire-to-wire spacing is an important factor affecting the quality of resulting nanowires. To improve the process yield and the length uniformity of fabricated nanowires, it is important to reduce the resource competition between nanowires during the growth process. We have proposed a physical-statistical nanowire-interaction model considering the shadowing effect and shared substrate diffusion area to determine the optimal pitch that would ensure the minimum competition between nanowires. A sigmoid function is used in the model, and the least squares estimation method is used to estimate the model parameters. The estimated model is then used to determine the optimal spatial arrangement of catalyst arrays

  6. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  7. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    NASA Astrophysics Data System (ADS)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  8. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, R. Rakesh, E-mail: rakesh.rajaboina@gmail.com; Department of Physics, Indian Institute of Science Education and Research, Bhopal 462066; Rao, K. Narasimha

    2014-04-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400more » °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices.« less

  9. Terahertz time-domain measurement of non-Drude conductivity in silver nanowire thin films for transparent electrode applications

    NASA Astrophysics Data System (ADS)

    Kim, Jaeseok; Maeng, Inhee; Jung, Jongwook; Song, Hyunjoon; Son, Joo-Hiuk; Kim, Kilsuk; Lee, Jaeik; Kim, Chul-Hong; Chae, Geesung; Jun, Myungchul; Hwang, YongKee; Jeong Lee, Su; Myoung, Jae-Min; Choi, Hyunyong

    2013-01-01

    We have investigated the complex conductivity of silver nanowire thin films using terahertz time-domain spectroscopy. Maxwell-Garnett effective medium theory, which accounts for the effective complex conductivity of silver nanowires, is presented in detail theoretically and experimentally. The conductivity of nanowires exhibits a characteristic non-Drude response in which the applied terahertz field is polarized in the longitudinal nanowire direction. The non-Drude responses of the silver nanowires are explained by the Gans approximation and the Drude-Smith model, and both agree well with the experimental data. Our results provide a basis for further explorations of charge carrier dynamics in nanowire-based transparent electrode applications.

  10. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces

    NASA Astrophysics Data System (ADS)

    Gruber, J.; Zhou, X. W.; Jones, R. E.; Lee, S. R.; Tucker, G. J.

    2017-05-01

    We investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and ( 11 2 ¯ 0 ) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of InxGa1-xN-alloy compositions (0 ≤ x ≤ 0.4) and homologous growth temperatures [0.50 ≤ T/T*m(x) ≤ 0.90], where T*m(x) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar ( 11 2 ¯ 0 ) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. While the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.

  11. Amber light-emitting diode comprising a group III-nitride nanowire active region

    DOEpatents

    Wang, George T.; Li, Qiming; Wierer, Jr., Jonathan J.; Koleske, Daniel

    2014-07-22

    A temperature stable (color and efficiency) III-nitride based amber (585 nm) light-emitting diode is based on a novel hybrid nanowire-planar structure. The arrays of GaN nanowires enable radial InGaN/GaN quantum well LED structures with high indium content and high material quality. The high efficiency and temperature stable direct yellow and red phosphor-free emitters enable high efficiency white LEDs based on the RGYB color-mixing approach.

  12. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE PAGES

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin; ...

    2015-04-06

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  13. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  14. InP Nanoflag Growth from a Nanowire Template by in Situ Catalyst Manipulation.

    PubMed

    Kelrich, Alexander; Sorias, Ofir; Calahorra, Yonatan; Kauffmann, Yaron; Gladstone, Ran; Cohen, Shimon; Orenstein, Meir; Ritter, Dan

    2016-04-13

    Quasi-two-dimensional semiconductor materials are desirable for electronic, photonic, and energy conversion applications as well as fundamental science. We report on the synthesis of indium phosphide flag-like nanostructures by epitaxial growth on a nanowire template at 95% yield. The technique is based on in situ catalyst unpinning from the top of the nanowire and its induced migration along the nanowire sidewall. Investigation of the mechanism responsible for catalyst movement shows that its final position is determined by the structural defect density along the nanowire. The crystal structure of the "flagpole" nanowire is epitaxially transferred to the nanoflag. Pure wurtzite InP nanomembranes with just a single stacking fault originating from the defect in the flagpole that pinned the catalyst were obtained. Optical characterization shows efficient highly polarized photoluminescence at room temperature from a single nanoflag with up to 90% degree of linear polarization. Electric field intensity enhancement of the incident light was calculated to be 57, concentrated at the nanoflag tip. The presented growth method is general and thus can be employed for achieving similar nanostructures in other III-V semiconductor material systems with potential applications in active nanophotonics.

  15. Ab initio calculations on the initial stages of GaN and ZnO growth on lattice-matched ScAlMgO4 (0001) substrates

    NASA Astrophysics Data System (ADS)

    Guo, Yao; Wang, Yanfei; Li, Chengbo; Li, Xianchang; Niu, Yongsheng; Hou, Shaogang

    2016-12-01

    The initial stages of GaN and ZnO epitaxial growth on lattice-matched ScAlMgO4 substrates have been investigated by ab initio calculation. The geometrical parameters and electronic structure of ScAlMgO4 bulk and (0001) surface have been investigated by density-functional first-principles study. The effects of different surface terminations have been examined through surface energy and relaxation calculations. The O-Mg-O termination is more favorable than other terminations by comparing the calculated surface energies. It should be accepted as the appropriate surface structure in subsequent calculation. The initial stages of GaN and ZnO epitaxial growths are discussed based on the adsorption and diffusion of the adatoms on reconstructed ScAlMgO4 (0001) surface. According to theoretical characterizations, N adatom on the surface is more stable than Ga. O adatom is more favorable than Zn. These observations lead to the formation of GaN and ZnO epilayer and explain experimentally-confirmed in-plane alignment mechanisms of GaN and ZnO on ScAlMgO4 substrates. Furthermore, the polarity of GaN and ZnO surfaces on ScAlMgO4 (0001) at the initial growth stage have been explored by ab initio calculation. Theoretical studies indicate that the predominant growths of Ga-polar GaN and Zn-polar ZnO are determined by the initial growth stage.

  16. Structural and optical properties of InGaN--GaN nanowire heterostructures grown by molecular beam epitaxy

    DOE PAGES

    Limbach, F.; Gotschke, T.; Stoica, T.; ...

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaNmore » to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.« less

  17. Atomic scale morphology, growth behaviour and electronic properties of semipolar {101[overline]3} GaN surfaces.

    PubMed

    Kioseoglou, J; Kalesaki, E; Lymperakis, L; Karakostas, Th; Komninou, Ph

    2013-01-30

    First-principles calculations relating to the atomic structure and electronic properties of {101[overline]3} GaN surfaces reveal significant differentiations between the two polarity orientations. The (101[overline]3) surface exhibits a remarkable morphological stability, stabilizing a metallic structure (Ga adlayer) over the entire range of the Ga chemical potential. In contrast, the semiconducting, cleaved surface is favoured on (101[overline]3[overline]) under extremely and moderately N-rich conditions, a Ga bilayer is stabilized under corresponding Ga-rich conditions and various transitions between metallic reconstructions take place in intermediate growth stoichiometries. Efficient growth schemes for smooth, two-dimensional GaN layers and the isolation of {101[overline]3} material from parasitic orientations are identified.

  18. VLS growth of alternating InAsP/InP heterostructure nanowires for multiple-quantum-dot structures.

    PubMed

    Tateno, Kouta; Zhang, Guoqiang; Gotoh, Hideki; Sogawa, Tetsuomi

    2012-06-13

    We investigated the Au-assisted growth of alternating InAsP/InP heterostructures in wurtzite InP nanowires on InP(111)B substrates for constructing multiple-quantum-dot structures. Vertical InP nanowires without stacking faults were obtained at a high PH(3)/TMIn mole flow ratio of 300-1000. We found that the growth rate changed largely when approximately 40 min passed. Ten InAsP layers were inserted in the InP nanowire, and it was found that both the InP growth rate and the background As level increased after the As supply. We also grew the same structure using TBAs/TBP and could reduce the As level in the InP segments. A simulation using a finite-difference time-domain method suggests that the nanowire growth was dominated by the diffusion of the reaction species with long residence time on the surface. For TBAs/TBP, when the source gases were changed, the formed surface species showed a short diffusion length so as to reduce the As background after the InAsP growth.

  19. Nanowire growth kinetics in aberration corrected environmental transmission electron microscopy

    DOE PAGES

    Chou, Yi -Chia; Panciera, Federico; Reuter, Mark C.; ...

    2016-03-15

    Here, we visualize atomic level dynamics during Si nanowire growth using aberration corrected environmental transmission electron microscopy, and compare with lower pressure results from ultra-high vacuum microscopy. We discuss the importance of higher pressure observations for understanding growth mechanisms and describe protocols to minimize effects of the higher pressure background gas.

  20. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    NASA Astrophysics Data System (ADS)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  1. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  2. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    PubMed

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  3. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  4. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  5. Cleaved-coupled nanowire lasers

    PubMed Central

    Gao, Hanwei; Fu, Anthony; Andrews, Sean C.; Yang, Peidong

    2013-01-01

    The miniaturization of optoelectronic devices is essential for the continued success of photonic technologies. Nanowires have been identified as potential building blocks that mimic conventional photonic components such as interconnects, waveguides, and optical cavities at the nanoscale. Semiconductor nanowires with high optical gain offer promising solutions for lasers with small footprints and low power consumption. Although much effort has been directed toward controlling their size, shape, and composition, most nanowire lasers currently suffer from emitting at multiple frequencies simultaneously, arising from the longitudinal modes native to simple Fabry–Pérot cavities. Cleaved-coupled cavities, two Fabry–Pérot cavities that are axially coupled through an air gap, are a promising architecture to produce single-frequency emission. The miniaturization of this concept, however, imposes a restriction on the dimensions of the intercavity gaps because severe optical losses are incurred when the cross-sectional dimensions of cavities become comparable to the lasing wavelength. Here we theoretically investigate and experimentally demonstrate spectral manipulation of lasing modes by creating cleaved-coupled cavities in gallium nitride (GaN) nanowires. Lasing operation at a single UV wavelength at room temperature was achieved using nanoscale gaps to create the smallest cleaved-coupled cavities to date. Besides the reduced number of lasing modes, the cleaved-coupled nanowires also operate with a lower threshold gain than that of the individual component nanowires. Good agreement was found between the measured lasing spectra and the predicted spectral modes obtained by simulating optical coupling properties. This agreement between theory and experiment presents design principles to rationally control the lasing modes in cleaved-coupled nanowire lasers. PMID:23284173

  6. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  7. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  8. Electrochemical Growth of Single-Crystal Metal Nanowires via a Two-Dimensional Nucleation and Growth Mechanism.

    PubMed

    Tian, Mingliang; Wang, Jinguo; Kurtz, James; Mallouk, Thomas E; Chan, M H W

    2003-07-01

    Metallic nanowires (Au, Ag, Cu, Ni, Co, and Rh) with an average diameter of 40 nm and a length of 3-5 μm have been fabricated by electrodeposition in the pores of track-etched polycarbonate membranes. Structural characterizations by transmission electron microscopy (TEM) and electron diffraction showed that nanowires of Au, Ag, and Cu are single-crystalline with a preferred [111] orientation, whereas Ni, Co, and Rh wires are polycrystalline. Possible mechanisms responsible for nucleation and growth for single-crystal noble metals versus polycrystalline group VIII-B metals are discussed.

  9. Topotaxial growth of α-Fe{sub 2}O{sub 3} nanowires on iron substrate in thermal annealing method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Himanshu, E-mail: himsri@rrcat.gov.in; Srivastava, A. K.; Babu, Mahendra

    2016-06-28

    A detail cross-sectional transmission electron microscopy of as-grown α-Fe{sub 2}O{sub 3} nanowire sample, synthesized on iron substrate by thermal annealing method, was carried out to understand the mechanism of growth in this system. Iron undergoes sequential oxidation to form a layered structure of Fe/FeO/Fe{sub 3}O{sub 4}/α-Fe{sub 2}O{sub 3}. α-Fe{sub 2}O{sub 3} nanowires grow on to the top of α-Fe{sub 2}O{sub 3} layer. It was found that subsequent oxide layers grow topotaxially on the grains of iron, which results in a direct orientation relationship between the α-Fe{sub 2}O{sub 3} nanowire and the parent grain of iron. The results also showed thatmore » the grains of α-Fe{sub 2}O{sub 3} layer, which were uniquely oriented in [110] direction, undergo highly anisotropic growth to form the nanowire. This anisotropic growth occurs at a twin interface, given by (−11−1), in the α-Fe{sub 2}O{sub 3} layer. It was concluded that the growth at twin interface could be the main driving factor for such anisotropic growth. These observations are not only helpful in understanding the growth mechanism of α-Fe{sub 2}O{sub 3} nanowires, but it also demonstrates a way of patterning the nanowires by controlling the texture of iron substrate.« less

  10. Direct selective growth of ZnO nanowire arrays from inkjet-printed zinc acetate precursor on a heated substrate

    PubMed Central

    2013-01-01

    Inkjet printing of functional materials has drawn tremendous interest as an alternative to the conventional photolithography-based microelectronics fabrication process development. We introduce direct selective nanowire array growth by inkjet printing of Zn acetate precursor ink patterning and subsequent hydrothermal ZnO local growth without nozzle clogging problem which frequently happens in nanoparticle inkjet printing. The proposed process can directly grow ZnO nanowires in any arbitrary patterned shape, and it is basically very fast, low cost, environmentally benign, and low temperature. Therefore, Zn acetate precursor inkjet printing-based direct nanowire local growth is expected to give extremely high flexibility in nanomaterial patterning for high-performance electronics fabrication especially at the development stage. As a proof of concept of the proposed method, ZnO nanowire network-based field effect transistors and ultraviolet photo-detectors were demonstrated by direct patterned grown ZnO nanowires as active layer. PMID:24252130

  11. Shape Engineering Driven by Selective Growth of SnO2 on Doped Ga2O3 Nanowires.

    PubMed

    Alonso-Orts, Manuel; Sánchez, Ana M; Hindmarsh, Steven A; López, Iñaki; Nogales, Emilio; Piqueras, Javier; Méndez, Bianchi

    2017-01-11

    Tailoring the shape of complex nanostructures requires control of the growth process. In this work, we report on the selective growth of nanostructured tin oxide on gallium oxide nanowires leading to the formation of SnO 2 /Ga 2 O 3 complex nanostructures. Ga 2 O 3 nanowires decorated with either crossing SnO 2 nanowires or SnO 2 particles have been obtained in a single step treatment by thermal evaporation. The reason for this dual behavior is related to the growth direction of trunk Ga 2 O 3 nanowires. Ga 2 O 3 nanowires grown along the [001] direction favor the formation of crossing SnO 2 nanowires. Alternatively, SnO 2 forms rhombohedral particles on [110] Ga 2 O 3 nanowires leading to skewer-like structures. These complex oxide structures were grown by a catalyst-free vapor-solid process. When pure Ga and tin oxide were used as source materials and compacted powders of Ga 2 O 3 acted as substrates, [110] Ga 2 O 3 nanowires grow preferentially. High-resolution transmission electron microscopy analysis reveals epitaxial relationship lattice matching between the Ga 2 O 3 axis and SnO 2 particles, forming skewer-like structures. The addition of chromium oxide to the source materials modifies the growth direction of the trunk Ga 2 O 3 nanowires, growing along the [001], with crossing SnO 2 wires. The SnO 2 /Ga 2 O 3 junctions does not meet the lattice matching condition, forming a grain boundary. The electronic and optical properties have been studied by XPS and CL with high spatial resolution, enabling us to get both local chemical and electronic information on the surface in both type of structures. The results will allow tuning optical and electronic properties of oxide complex nanostructures locally as a function of the orientation. In particular, we report a dependence of the visible CL emission of SnO 2 on its particular shape. Orange emission dominates in SnO 2 /Ga 2 O 3 crossing wires while green-blue emission is observed in SnO 2 particles attached to Ga 2

  12. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces

    DOE PAGES

    Gruber, J.; Zhou, X. W.; Jones, R. E.; ...

    2017-05-15

    Here, we investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and (11more » $$\\bar{2}$$0) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of InxGa1-xN-alloy compositions (0 ≤ x ≤ 0.4) and homologous growth temperatures [0.50 ≤ T/T* m(x) ≤ 0.90], where T* m(x) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar (11$$\\bar{2}$$0) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. Finally, while the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.« less

  13. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces.

    PubMed

    Gruber, J; Zhou, X W; Jones, R E; Lee, S R; Tucker, G J

    2017-05-21

    We investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and ([Formula: see text]) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of In x Ga 1-x N-alloy compositions (0 ≤  x  ≤ 0.4) and homologous growth temperatures [0.50 ≤  T/T * m ( x ) ≤ 0.90], where T * m ( x ) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar ([Formula: see text]) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. While the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.

  14. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  15. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    PubMed

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  16. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (<3 × 1017 cm-3) responsible for p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  17. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns

    PubMed Central

    2011-01-01

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials. PMID:22168918

  18. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns.

    PubMed

    Barbagini, Francesca; Bengoechea-Encabo, Ana; Albert, Steven; Martinez, Javier; Sanchez García, Miguel Angel; Trampert, Achim; Calleja, Enrique

    2011-12-14

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials.

  19. Energy harvesting efficiency in GaN nanowire-based nanogenerators: the critical influence of the Schottky nanocontact.

    PubMed

    Jamond, Nicolas; Chrétien, Pascal; Gatilova, Lina; Galopin, Elisabeth; Travers, Laurent; Harmand, Jean-Christophe; Glas, Frank; Houzé, Frédéric; Gogneau, Noëlle

    2017-03-30

    The performances of 1D-nanostructure based nanogenerators are governed by the ability of nanostructures to efficiently convert mechanical deformation into electrical energy, and by the efficiency with which this piezo-generated energy is harvested. In this paper, we highlight the crucial influence of the GaN nanowire-metal Schottky nanocontact on the energy harvesting efficiency. Three different metals, p-type doped diamond, PtSi and Pt/Ir, have been investigated. By using an atomic force microscope equipped with a Resiscope module, we demonstrate that the harvesting of piezo-generated energy is up to 2.4 times more efficient using a platinum-based Schottky nanocontact compared to a doped diamond-based nanocontact. In light of Schottky contact characteristics, we evidence that the conventional description of the Schottky diode cannot be applied. The contact is governed by its nanometer size. This specific behaviour induces notably a lowering of the Schottky barrier height, which gives rise to an enhanced conduction. We especially demonstrate that this effective thinning is directly correlated with the improvement of the energy harvesting efficiency, which is much pronounced for Pt-based Schottky diodes. These results constitute a building block to the overall improvement of NW-based nanogenerator devices.

  20. Length distributions of Au-catalyzed III-V nanowires in different regimes of the diffusion-induced growth

    NASA Astrophysics Data System (ADS)

    Berdnikov, Y.; Zhiglinsky, A. A.; Rylkova, M. V.; Dubrovskii, V. G.

    2017-11-01

    We present a model for kinetic broadening effects on the length distributions of Au-catalyzed III-V nanowires obtained in the growth regime with adatom diffusion from the substrate and the nanowire sidewalls to the top. We observe three different regimes for the length distribution evolution with time. For short growth times, the length distribution is sub-Poissonian, converting to broader than Poissonian with increasing the mean length above a certain threshold value. After the diffusion flux from the nanowire sidewalls has stabilized, the length distribution variance increases linearly with the mean length, as in the Poissonian process.

  1. Growth of catalyst-free high-quality ZnO nanowires by thermal evaporation under air ambient

    PubMed Central

    2012-01-01

    ZnO nanowires have been successfully fabricated on Si substrate by simple thermal evaporation of Zn powder under air ambient without any catalyst. Morphology and structure analyses indicated that ZnO nanowires had high purity and perfect crystallinity. The diameter of ZnO nanowires was 40 to 100 nm, and the length was about several tens of micrometers. The prepared ZnO nanowires exhibited a hexagonal wurtzite crystal structure. The growth of the ZnO nanostructure was explained by the vapor-solid mechanism. The simplicity, low cost and fewer necessary apparatuses of the process would suit the high-throughput fabrication of ZnO nanowires. The ZnO nanowires fabricated on Si substrate are compatible with state-of-the-art semiconductor industry. They are expected to have potential applications in functional nanodevices. PMID:22502639

  2. Aligned silica nanowires on the inner wall of bubble-like silica film: the growth mechanism and photoluminescence.

    PubMed

    Chen, Yiqing; Zhou, Qingtao; Jiang, Haifeng; Su, Yong; Xiao, Haihua; Zhu, Li-Ang; Xu, Liang

    2006-02-28

    Large area, aligned amorphous silica nanowires grow on the inner wall of bubble-like silica film, which is prepared by thermal evaporation of a molten gallium-silicon alloy in a flow of ammonia. These nanowires are 10-20 nm in diameter and 0.5-1.5 µm in length. The bubble-like silica film functions as a substrate, guiding the growth of silica nanowires by a vapour-solid process. This work helps us to clearly elucidate the growth mechanism of aligned amorphous silica nanowires, ruling out the possibility of liquid gallium acting as a nucleation substrate for the growth of the aligned silica nanowires. A broad emission band from 290 to 600 nm is observed in the photoluminescence (PL) spectrum of these nanowires. There are seven PL peaks: two blue emission peaks at 430 nm (2.88 eV) and 475 nm (2.61 eV); and five ultraviolet emission peaks at 325 nm (3.82 eV), 350 nm (3.54 eV), 365 nm (3.40 eV), 385 nm (3.22 eV) and 390 nm (3.18 eV), which may be related to various oxygen defects.

  3. Formation of ultralong copper nanowires by hydrothermal growth for transparent conducting applications

    NASA Astrophysics Data System (ADS)

    Balela, Mary Donnabelle L.; Tan, Michael

    2017-07-01

    Transparent conducting electrodes are key components of optoelectronic devices, such as touch screens, organic light emitting diodes (OLEDs) and solar cells. Recent market surveys have shown that the demands for these devices are rapidly growing at a tremendous rate. Semiconducting oxides, in particular indium tin oxide (ITO) are the material of choice for transparent conducting electrodes. However, these conventional oxides are typically brittle, which limits their applicability in flexible electronics. Metal nanowires, e.g. copper (Cu) nanowires, are considered as the best candidate as substitute for ITO due to their excellent mechanical and electrical properties. In this paper, ultralong copper (Cu) nanowires with were successfully prepared by hydrothermal growth at 50-80°C for 1 h. Ethylenediamine was employed as the structure-directing agents, while hydrazine was used as the reductant. In situ mixed potential measurement was also carried out to monitor Cu deposition. Higher temperature shifted the mixed potential negatively, leading to thicker Cu nanowires. Transparent conducting electrode, with a sheet resistance of 197 Ω sq-1 at an optical transmittance of around 61 %, was fabricated with the Cu nanowire ink.

  4. N-face GaN nanorods: Continuous-flux MOVPE growth and morphological properties

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch.; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2011-01-01

    We demonstrate the morphological properties of height, diameter and shape controlled N-face GaN nanorods (NRs) by adjusting conventional growth parameters of a standard metalorganic vapour phase epitaxy (MOVPE) growth process. Particularly the hydrogen fraction within the carrier gas was shown to be an important shaping tool for the grown nanostructures. Additionally, the aspect ratio of the NRs was successfully tuned by increasing the pitch of the nanoimprint lithography (NIL) pattern, while maintaining the hole-diameter constant. An optimum aspect ratio could be found at pitches between 400 and 800 nm, whereas larger pitches are counter-productive. The major conclusion drawn from our experiments is that the whole amount of growth material available over the masked surface contributes to the growth of the NRs.

  5. Tailoring the vapor-liquid-solid growth toward the self-assembly of GaAs nanowire junctions.

    PubMed

    Dai, Xing; Dayeh, Shadi A; Veeramuthu, Vaithianathan; Larrue, Alexandre; Wang, Jian; Su, Haibin; Soci, Cesare

    2011-11-09

    New insights into understanding and controlling the intriguing phenomena of spontaneous merging (kissing) and the self-assembly of monolithic Y- and T-junctions is demonstrated in the metal-organic chemical vapor deposition growth of GaAs nanowires. High-resolution transmission electron microscopy for determining polar facets was coupled to electrostatic-mechanical modeling and position-controlled synthesis to identify nanowire diameter, length, and pitch, leading to junction formation. When nanowire patterns are designed so that the electrostatic energy resulting from the interaction of polar surfaces exceeds the mechanical energy required to bend the nanowires to the point of contact, their fusion can lead to the self-assembly of monolithic junctions. Understanding and controlling this phenomenon is a great asset for the realization of dense arrays of vertical nanowire devices and opens up new ways toward the large scale integration of nanowire quantum junctions or nanowire intracellular probes.

  6. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  7. Advances in Hydrogen, Carbon Dioxide, and Hydrocarbon Gas Sensor Technology Using GaN and ZnO-Based Devices

    PubMed Central

    Anderson, Travis; Ren, Fan; Pearton, Stephen; Kang, Byoung Sam; Wang, Hung-Ta; Chang, Chih-Yang; Lin, Jenshan

    2009-01-01

    In this paper, we review our recent results in developing gas sensors for hydrogen using various device structures, including ZnO nanowires and GaN High Electron Mobility Transistors (HEMTs). ZnO nanowires are particularly interesting because they have a large surface area to volume ratio, which will improve sensitivity, and because they operate at low current levels, will have low power requirements in a sensor module. GaN-based devices offer the advantage of the HEMT structure, high temperature operation, and simple integration with existing fabrication technology and sensing systems. Improvements in sensitivity, recoverability, and reliability are presented. Also reported are demonstrations of detection of other gases, including CO2 and C2H4 using functionalized GaN HEMTs. This is critical for the development of lab-on-a-chip type systems and can provide a significant advance towards a market-ready sensor application. PMID:22408548

  8. 1.55 µm emission from a single III-nitride top-down and site-controlled nanowire quantum disk

    NASA Astrophysics Data System (ADS)

    Chen, Qiming; Yan, Changling; Qu, Yi

    2017-07-01

    InN/InGaN single quantum well (SQW) was fabricated on 100 nm GaN buffer layer which was deposited on GaN template by plasma assisted molecular beam epitaxy (PA-MBE). The In composition and the surface morphology were measured by x-ray diffusion (XRD) and atom force microscope (AFM), respectively. Afterwards, the sample was fabricated into site-controlled nanowires arrays by hot-embossing nano-imprint lithography (HE-NIL) and ultraviolet nanoimprint lithography (UV-NIL). The nanowires were uniform along the c-axis and aligned periodically as presented by scanning electron microscope (SEM). The single nanowire showed disk-in-a-wire structure by high angle annular dark field (HAADF) and an In-rich or Ga deficient region was observed by energy dispersive x-ray spectrum (EDXS). The optical properties of the SQW film and single nanowire were measured using micro photoluminescence (µ-PL) spectroscopy. The stimulating light wavelength was 632.8 nm which was emitted from a He-Ne laser and the detector was a liquid nitrogen cooled InGaAs detector. A blue peak shift from the film material to the nanowire was observed. This was due to the quantum confinement Stark Effect. More importantly, the 1.55 µm emission was given from the single disk-in-a-wire structure at room temperature. We believe the arrays of such nanowires may be useful for quantum communication in the future.

  9. Photoelectrochemistry of III-V epitaxial layers and nanowires for solar energy conversion

    NASA Astrophysics Data System (ADS)

    Parameshwaran, Vijay; Enck, Ryan; Chung, Roy; Kelley, Stephen; Sampath, Anand; Reed, Meredith; Xu, Xiaoqing; Clemens, Bruce

    2017-05-01

    III-V materials, which exhibit high absorption coefficients and charge carrier mobility, are ideal templates for solar energy conversion applications. This work describes the photoelectrochemistry research in several IIIV/electrolyte junctions as an enabler for device design for solar chemical reactions. By designing lattice-matched epitaxial growth of InGaP and GaP on GaAs and Si, respectively, extended depletion region electrodes achieve photovoltages which provide an additional boost to the underlying substrate photovoltage. The InGaP/GaAs and GaP/Si electrodes drive hydrogen evolution currents under aqueous conditions. By using nanowires of InN and InP under carefully controlled growth conditions, current and capacitance measurements are obtained to reveal the nature of the nanowire-electrolyte interface and how light is translated into photocurrent for InP and a photovoltage in InN. The materials system is expanded into the III-V nitride semiconductors, in which it is shown that varying the morphology of GaN on silicon yields insights to how the interface and light conversion is modulated as a basis for future designs. Current extensions of this work address growth and tuning of the III-V nitride electrodes with doping and polarization engineering for efficient coupling to solar-driven chemical reactions, and rapid-throughput methods for III-V nanomaterials synthesis in this materials space.

  10. III-Nitride Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Jeremy Benjamin

    2014-07-01

    In recent years there has been a tremendous interest in nanoscale optoelectronic devices. Among these devices are semiconductor nanowires whose diameters range from 10-100 nm. To date, nanowires have been grown using many semiconducting material systems and have been utilized as light emitting diodes, photodetectors, and solar cells. Nanowires possess a relatively large index contrast relative to their dielectric environment and can be used as lasers. A key gure of merit that allows for nanowire lasing is the relatively high optical con nement factor. In this work, I discuss the optical characterization of 3 types of III-nitride nanowire laser devices.more » Two devices were designed to reduce the number of lasing modes to achieve singlemode operation. The third device implements low-group velocity mode lasing with a photonic crystal constructed of an array of nanowires. Single-mode operation is necessary in any application where high beam quality and single frequency operation is required. III-Nitride nanowire lasers typically operate in a combined multi-longitudinal and multi-transverse mode state. Two schemes are introduced here for controlling the optical modes and achieving single-mode op eration. The rst method involves reducing the diameter of individual nanowires to the cut-o condition, where only one optical mode propagates in the wire. The second method employs distributed feedback (DFB) to achieve single-mode lasing by placing individual GaN nanowires onto substrates with etched gratings. The nanowire-grating substrate acted as a distributed feedback mirror producing single mode operation at 370 nm with a mode suppression ratio (MSR) of 17 dB. The usage of lasers for solid state lighting has the potential to further reduce U.S. lighting energy usage through an increase in emitter e ciency. Advances in nanowire fabrication, speci cally a two-step top-down approach, have allowed for the demonstration of a multi-color array of lasers on a single chip that

  11. Guided Growth of Horizontal ZnSe Nanowires and their Integration into High-Performance Blue-UV Photodetectors.

    PubMed

    Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2015-07-15

    Perfectly aligned horizontal ZnSe nano-wires are obtained by guided growth, and easily integrated into high-performance blue-UV photodetectors. Their crystal phase and crystallographic orientation are controlled by the epitaxial relations with six different sapphire planes. Guided growth paves the way for the large-scale integration of nanowires into optoelectronic devices. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  13. Polariton Bose–Einstein condensate at room temperature in an Al(Ga)N nanowire–dielectric microcavity with a spatial potential trap

    PubMed Central

    Das, Ayan; Bhattacharya, Pallab; Heo, Junseok; Banerjee, Animesh; Guo, Wei

    2013-01-01

    A spatial potential trap is formed in a 6.0-μm Al(Ga)N nanowire by varying the Al composition along its length during epitaxial growth. The polariton emission characteristics of a dielectric microcavity with the single nanowire embedded in-plane have been studied at room temperature. Excitation is provided at the Al(Ga)N end of the nanowire, and polariton emission is observed from the lowest bandgap GaN region within the potential trap. Comparison of the results with those measured in an identical microcavity with a uniform GaN nanowire and having an identical exciton–photon detuning suggests evaporative cooling of the polaritons as they are transported into the trap in the Al(Ga)N nanowire. Measurement of the spectral characteristics of the polariton emission, their momentum distribution, first-order spatial coherence, and time-resolved measurements of polariton cooling provides strong evidence of the formation of a near-equilibrium Bose–Einstein condensate in the GaN region of the nanowire at room temperature. In contrast, the condensate formed in the uniform GaN nanowire–dielectric microcavity without the spatial potential trap is only in self-equilibrium. PMID:23382183

  14. Growth Texture and Mechanism of Zinc Nanowires Produced by Mechanical Elongation of Nanocontacts.

    PubMed

    Yamabe, Kammu; Kizuka, Tokushi

    2018-01-01

    Two zinc nanotips were brought into contact and elongated inside a transmission electron microscope, thereby growing single-crystal nanowires. The growth dynamics was observed in situ via a lattice imaging method. The preferential crystal growth directions were identified as [101-0], [112-0], [101-2-], and [0001]. Of these, the nanowires grown along the [101-0] and [112-0] directions accounted for 75% of the total and were surrounded by low-energy side surfaces, i.e., {0001}, {101-1}, and {101-0}. On the basis of these features, models of the nanowire morphology were proposed. In either growth direction, the tensile force aligned parallel to the direction along which slip events corresponding to the predominant slip system were unlikely to occur. This led to a high tensile stress for extracting atoms from the growth region, i.e., the promotion of nanowire growth.

  15. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  16. Investigation of growth parameters influence on self-catalyzed ITO nanowires by high RF-power sputtering.

    PubMed

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-02-15

    ITO nanowires have been successfully fabricated using a radio-frequency sputtering technique with a high RF-power of 250W. The fabrication of the ITO nanowires has been optimized through the study of oxygen flow rates, temperatures and RF-power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target has been first observed and the mechanism for the difference has been discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method has demonstrated good conductivity (15Ω/sq) and a transmittance of more than 64% at a wavelength longer than 550nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices. © 2018 IOP Publishing Ltd.

  17. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  18. Growth control, structure, chemical state, and photoresponse of CuO-CdS core-shell heterostructure nanowires.

    PubMed

    El Mel, A A; Buffière, M; Bouts, N; Gautron, E; Tessier, P Y; Henzler, K; Guttmann, P; Konstantinidis, S; Bittencourt, C; Snyders, R

    2013-07-05

    The growth of single-crystal CuO nanowires by thermal annealing of copper thin films in air is studied. We show that the density, length, and diameter of the nanowires can be controlled by tuning the morphology and structure of the copper thin films deposited by DC magnetron sputtering. After identifying the optimal conditions for the growth of CuO nanowires, chemical bath deposition is employed to coat the CuO nanowires with CdS in order to form p-n nanojunction arrays. As revealed by high-resolution TEM analysis, the thickness of the polycrystalline CdS shell increases when decreasing the diameter of the CuO core for a given time of CdS deposition. Near-edge x-ray absorption fine-structure spectroscopy combined with transmission x-ray microscopy allows the chemical analysis of isolated nanowires. The absence of modification in the spectra at the Cu L and O K edges after the deposition of CdS on the CuO nanowires indicates that neither Cd nor S diffuse into the CuO phase. We further demonstrate that the core-shell nanowires exhibit the I-V characteristic of a resistor instead of a diode. The electrical behavior of the device was found to be photosensitive, since increasing the incident light intensity induces an increase in the collected electrical current.

  19. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  20. Gallium Nitride Nanowires and Heterostructures: Toward Color-Tunable and White-Light Sources.

    PubMed

    Kuykendall, Tevye R; Schwartzberg, Adam M; Aloni, Shaul

    2015-10-14

    Gallium-nitride-based light-emitting diodes have enabled the commercialization of efficient solid-state lighting devices. Nonplanar nanomaterial architectures, such as nanowires and nanowire-based heterostructures, have the potential to significantly improve the performance of light-emitting devices through defect reduction, strain relaxation, and increased junction area. In addition, relaxation of internal strain caused by indium incorporation will facilitate pushing the emission wavelength into the red. This could eliminate inefficient phosphor conversion and enable color-tunable emission or white-light emission by combining blue, green, and red sources. Utilizing the waveguiding modes of the individual nanowires will further enhance light emission, and the properties of photonic structures formed by nanowire arrays can be implemented to improve light extraction. Recent advances in synthetic methods leading to better control over GaN and InGaN nanowire synthesis are described along with new concept devices leading to efficient white-light emission. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Controlled Growth of Parallel Oriented ZnO Nanostructural Arrays on Ga2O3 Nanowires

    DTIC Science & Technology

    2008-11-01

    Controlled Growth of Parallel Oriented ZnO Nanostructural Arrays on Ga2O3 Nanowires Lena Mazeina,* Yoosuf N. Picard, and Sharka M. Prokes Electronics...Manuscript ReceiVed NoVember 6, 2008 ABSTRACT: Novel hierarchical ZnO- Ga2O3 nanostructures were fabricated via a two stage growth process. Nanowires of Ga2O3 ...nanobrushes (NBs) with Ga2O3 as the core and ZnO as the branches self-assembling symmetrically in six equiangular directions around the core

  2. Radiation sensors based on GaN microwires

    NASA Astrophysics Data System (ADS)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  3. Continuous and dynamic spectral tuning of single nanowire lasers with subnanometer resolution using hydrostatic pressure

    DOE PAGES

    Liu, Sheng; Li, Changyi; Figiel, Jeffrey J.; ...

    2015-04-27

    In this paper, we report continuous, dynamic, reversible, and widely tunable lasing from 367 to 337 nm from single GaN nanowires (NWs) by applying hydrostatic pressure up to ~7 GPa. The GaN NW lasers, with heights of 4–5 μm and diameters ~140 nm, are fabricated using a lithographically defined two-step top-down technique. The wavelength tuning is caused by an increasing Γ direct bandgap of GaN with increasing pressure and is precisely controllable to subnanometer resolution. The observed pressure coefficients of the NWs are ~40% larger compared with GaN microstructures fabricated from the same material or from reported bulk GaN values,more » revealing a nanoscale-related effect that significantly enhances the tuning range using this approach. Finally, this approach can be generally applied to other semiconductor NW lasers to potentially achieve full spectral coverage from the UV to IR.« less

  4. Effects of reaction-kinetic parameters on modeling reaction pathways in GaN MOVPE growth

    NASA Astrophysics Data System (ADS)

    Zhang, Hong; Zuo, Ran; Zhang, Guoyi

    2017-11-01

    In the modeling of the reaction-transport process in GaN MOVPE growth, the selections of kinetic parameters (activation energy Ea and pre-exponential factor A) for gas reactions are quite uncertain, which cause uncertainties in both gas reaction path and growth rate. In this study, numerical modeling of the reaction-transport process for GaN MOVPE growth in a vertical rotating disk reactor is conducted with varying kinetic parameters for main reaction paths. By comparisons of the molar concentrations of major Ga-containing species and the growth rates, the effects of kinetic parameters on gas reaction paths are determined. The results show that, depending on the values of the kinetic parameters, the gas reaction path may be dominated either by adduct/amide formation path, or by TMG pyrolysis path, or by both. Although the reaction path varies with different kinetic parameters, the predicted growth rates change only slightly because the total transport rate of Ga-containing species to the substrate changes slightly with reaction paths. This explains why previous authors using different chemical models predicted growth rates close to the experiment values. By varying the pre-exponential factor for the amide trimerization, it is found that the more trimers are formed, the lower the growth rates are than the experimental value, which indicates that trimers are poor growth precursors, because of thermal diffusion effect caused by high temperature gradient. The effective order for the contribution of major species to growth rate is found as: pyrolysis species > amides > trimers. The study also shows that radical reactions have little effect on gas reaction path because of the generation and depletion of H radicals in the chain reactions when NH2 is considered as the end species.

  5. Vapor-liquid-solid epitaxial growth of Si 1-xGe x alloy nanowires. Composition dependence on precursor reactivity and morphology control for vertical forests

    DOE PAGES

    Choi, S. G.; Manandhar, P.; Picraux, S. T.

    2015-07-07

    The growth of high-density group IV alloy nanowire forests is critical for exploiting their unique functionalities in many applications. Here, the compositional dependence on precursor reactivity and optimized conditions for vertical growth are studied for Si 1- x Ge x alloy nanowires grown by the vapor-liquid-solid method. The nanowire composition versus gas partial-pressure ratio for germane-silane and germane-disilane precursor combinations is obtained at 350°C over a wide composition range (0.05 ≤ x ≤ 0.98) and a generalized model to predict composition for alloy nanowires is developed based on the relative precursor partial pressures and reactivity ratio. In combination with germane,more » silane provides more precise compositional control at high Ge concentrations (x > 0.7), whereas disilane greatly increases the Si concentration for a given gas ratio and enables more precise alloy compositional control at small Ge concentrations (x < 0.3). Vertically oriented, non-kinking nanowire forest growth on Si (111) substrates is then discussed for silane/germane over a wide range of compositions, with temperature and precursor partial pressure optimized by monitoring the nanowire growth front using in-situ optical reflectance. For high Ge compositions (x ≈ 0.9), a “two-step” growth approach with nucleation at higher temperatures results in nanowires with high-density and uniform vertical orientation. Furthermore, increasing Si content (x ≈ 0.8), the optimal growth window is shifted to higher temperatures, which minimizes nanowire kinking morphologies. For Si-rich Si 1- x Ge x alloys (x ≈ 0.25), vertical nanowire growth is enhanced by single-step, higher-temperature growth at reduced pressures.« less

  6. Growth condition dependence of unintentional oxygen incorporation in epitaxial GaN

    PubMed Central

    Schubert, Felix; Wirth, Steffen; Zimmermann, Friederike; Heitmann, Johannes; Mikolajick, Thomas; Schmult, Stefan

    2016-01-01

    Abstract Growth conditions have a tremendous impact on the unintentional background impurity concentration in gallium nitride (GaN) synthesized by molecular beam epitaxy and its resulting chemical and physical properties. In particular for oxygen identified as the dominant background impurity we demonstrate that under optimized growth stoichiometry the growth temperature is the key parameter to control its incorporation and that an increase by 55 °C leads to an oxygen reduction by one order of magnitude. Quantitatively this reduction and the resulting optical and electrical properties are analyzed by secondary ion mass spectroscopy, photoluminescence, capacitance versus voltage measurements, low temperature magneto-transport and parasitic current paths in lateral transistor test structures based on two-dimensional electron gases. At a growth temperature of 665 °C the residual charge carrier concentration is decreased to below 1015 cm−3, resulting in insulating behavior and thus making the material suitable for beyond state-of-the-art device applications. PMID:27877874

  7. Growth and Characterization of Chalcogenide Alloy Nanowires with Controlled Spatial Composition Variation for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Nichols, Patricia

    The energy band gap of a semiconductor material critically influences the operating wavelength of an optoelectronic device. Realization of any desired band gap, or even spatially graded band gaps, is important for applications such as lasers, light-emitting diodes (LEDs), solar cells, and detectors. Compared to thin films, nanowires offer greater flexibility for achieving a variety of alloy compositions. Furthermore, the nanowire geometry permits simultaneous incorporation of a wide range of compositions on a single substrate. Such controllable alloy composition variation can be realized either within an individual nanowire or between distinct nanowires across a substrate. This dissertation explores the control of spatial composition variation in ternary alloy nanowires. Nanowires were grown by the vapor-liquid-solid (VLS) mechanism using chemical vapor deposition (CVD). The gas-phase supersaturation was considered in order to optimize the deposition morphology. Composition and structure were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive x-ray spectroscopy (EDS), and x-ray diffraction (XRD). Optical properties were investigated through photoluminescence (PL) measurements. The chalcogenides selected as alloy endpoints were lead sulfide (PbS), cadmium sulfide (CdS), and cadmium selenide (CdSe). Three growth modes of PbS were identified, which included contributions from spontaneously generated catalyst. The resulting wires were found capable of lasing with wavelengths over 4000 nm, representing the longest known wavelength from a sub-wavelength wire. For CdxPb1-xS nanowires, it was established that the cooling process significantly affects the alloy composition and structure. Quenching was critical to retain metastable alloys with x up to 0.14, representing a new composition in nanowire form. Alternatively, gradual cooling caused phase segregation, which created heterostructures with light emission in

  8. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  9. Intermediate Nucleation State of GaN Growth

    NASA Astrophysics Data System (ADS)

    Zheng, L. X.; Xie, M. H.; Tong, S. Y.

    2001-03-01

    Homoexpitaxial nucleation of GaN during molecular-beam epitaxy is followed by scanning tunneling microcopy (STM). We observe a metastable nucleation state, which manifests as “ghost” islands in STM images. These “ghost” islands can be irreversibly driven into normal islands by continuous STM imaging. It is further established that the “ghost” island formation is related to the presence of excess Ga atoms on the surface: Normal islands are only seen under the N-rich or stoichiometric flux condition, whereas “ghost” islands are observed under Ga-rich conditions. For intermediate excess-Ga coverages, both normal and “ghost” islands are present, however, they show distinctly different sizes, suggesting different nucleation states for the two. A growth model is proposed to account for the formation of metastable, “ghost” islands. Kinetic Monte Carlo simulation is carried out and main features of the surface are reproduced. We acknowledge financial support from HK RGC under grant Nos. 7396/00P, 7142/99P, and 7121/00P.

  10. Growth study of self-assembled GaN nanocolumns on silica glass by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Liudi Mulyo, Andreas; Konno, Yuta; Nilsen, Julie S.; van Helvoort, Antonius T. J.; Fimland, Bjørn-Ove; Weman, Helge; Kishino, Katsumi

    2017-12-01

    We demonstrate GaN nanocolumn growth on fused silica glass by plasma-assisted molecular beam epitaxy. The effect of the substrate temperature, Ga flux and N2 flow rate on the structural and optical properties are studied. At optimum growth conditions, GaN nanocolumns are vertically aligned and well separated with an average diameter, height and density of 72 nm, 1.2 μm and 1.6 × 109 cm-2, respectively. The nanocolumns exhibit wurtzite crystal structure with no threading dislocations, stacking faults or twinning and grow in the [0 0 0 1] direction. At the interface adjacent to the glass, there is a few atom layers thick intermediate phase with ABC stacking order (zinc blende). Photoluminescence measurements evidence intense and narrow excitonic emissions, along with the absence of any defect-related zinc blende and yellow luminescence emission.

  11. Template-Assisted Scalable Nanowire Networks.

    PubMed

    Friedl, Martin; Cerveny, Kris; Weigele, Pirmin; Tütüncüoglu, Gozde; Martí-Sánchez, Sara; Huang, Chunyi; Patlatiuk, Taras; Potts, Heidi; Sun, Zhiyuan; Hill, Megan O; Güniat, Lucas; Kim, Wonjong; Zamani, Mahdi; Dubrovskii, Vladimir G; Arbiol, Jordi; Lauhon, Lincoln J; Zumbühl, Dominik M; Fontcuberta I Morral, Anna

    2018-04-11

    Topological qubits based on Majorana Fermions have the potential to revolutionize the emerging field of quantum computing by making information processing significantly more robust to decoherence. Nanowires are a promising medium for hosting these kinds of qubits, though branched nanowires are needed to perform qubit manipulations. Here we report a gold-free templated growth of III-V nanowires by molecular beam epitaxy using an approach that enables patternable and highly regular branched nanowire arrays on a far greater scale than what has been reported thus far. Our approach relies on the lattice-mismatched growth of InAs on top of defect-free GaAs nanomembranes yielding laterally oriented, low-defect InAs and InGaAs nanowires whose shapes are determined by surface and strain energy minimization. By controlling nanomembrane width and growth time, we demonstrate the formation of compositionally graded nanowires with cross-sections less than 50 nm. Scaling the nanowires below 20 nm leads to the formation of homogeneous InGaAs nanowires, which exhibit phase-coherent, quasi-1D quantum transport as shown by magnetoconductance measurements. These results are an important advance toward scalable topological quantum computing.

  12. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  13. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  14. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  15. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  16. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  17. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    PubMed

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  18. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  19. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  20. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  1. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  2. Understanding the Vapor-Liquid-Solid and Vapor-Solid-Solid Mechanisms of Si Nanowire Growth to Synthetically Encode Precise Nanoscale Morphology

    NASA Astrophysics Data System (ADS)

    Pinion, Christopher William

    Precise patterning of semiconductor materials utilizing top-down lithographic techniques is integral to the advanced electronics we use on a daily basis. However, continuing development of these lithographic technologies often results in the trade-off of either high cost or low throughput, and three-dimensional (3D) patterning can be difficult to achieve. Bottom-up, chemical methods to control the 3D nanoscale morphology of semiconductor nanostructures have received significant attention as a complementary technique. Semiconductor nanowires, nanoscale filaments of semiconductor material 10-500 nm in diameter and 1-50 microns in length, are an especially promising platform because the wire composition can be modulated during growth and the high aspect ratio, one-dimensional structure enables integration in a range of devices. In this thesis, we first report a bottom-up method to break the conventional "wire" symmetry and synthetically encode a high-resolution array of arbitrary shapes along the nanowire growth axis. Rapid modulation of phosphorus doping combined with selective wet-chemical etching enables morphological features as small as 10 nm to be patterned over wires more than 50 ?m in length. Next, our focus shifts to more fundamental studies of the nanowire synthetic mechanisms. We presented comprehensive experimental measurements on the growth rate of Au catalyzed Si nanowires and developed a kinetic model of vapor-liquid-solid growth. Our analysis revealed an abrupt transition from a diameter-independent growth rate that is limited by incorporation to a diameter-dependent growth rate that is limited by crystallization. While investigating the vapor-liquid-solid mechanism, we noticed instances of unique catalyst behavior. Upon further study, we showed that it is possible to instantaneously and reversibly switch the phase of the catalyst between a liquid and superheated solid state under isothermal conditions above the eutectic temperature. The solid catalyst

  3. Growth strategies to control tapering in Ge nanowires

    NASA Astrophysics Data System (ADS)

    Periwal, P.; Baron, T.; Gentile, P.; Salem, B.; Bassani, F.

    2014-04-01

    We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs). Ge NWs were grown on Si (111) substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  4. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    NASA Astrophysics Data System (ADS)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  5. Growth rate independence of Mg doping in GaN grown by plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Turski, Henryk; Muzioł, Grzegorz; Siekacz, Marcin; Wolny, Pawel; Szkudlarek, Krzesimir; Feduniewicz-Żmuda, Anna; Dybko, Krzysztof; Skierbiszewski, Czeslaw

    2018-01-01

    Doping of Ga(Al)N layers by plasma-assisted molecular beam epitaxy in Ga-rich conditions on c-plane bulk GaN substrates was studied. Ga(Al)N samples, doped with Mg or Si, grown using different growth conditions were compared. In contrast to Si doped layers, no change in the Mg concentration was observed for layers grown using different growth rates for a constant Mg flux and constant growth temperature. This effect enables the growth of Ga(Al)N:Mg layers at higher growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Change of Al content from 0% to 17% resulted in more than two times higher Mg concentration.

  6. Miscut dependent surface evolution in the process of N-polar GaN(000 1 bar) growth under N-rich condition

    NASA Astrophysics Data System (ADS)

    Krzyżewski, Filip; Załuska-Kotur, Magdalena A.; Turski, Henryk; Sawicka, Marta; Skierbiszewski, Czesław

    2017-01-01

    The evolution of surface morphology during the growth of N-polar (000 1 bar) GaN under N-rich conditions is studied by kinetic Monte Carlo (kMC) simulations for two substrates miscuts 2° and 4°. The results are compared with experimentally observed surface morphologies of (000 1 bar) GaN layers grown by plasma-assisted molecular beam epitaxy. The proposed kMC two-component model of GaN(000 1 bar) surface where both types of atoms, nitrogen and gallium, attach to the surface and diffuse independently shows that at relatively high rates of the step flow (miscut angle < 2 °) the low mobility of gallium adatoms causes surface instabilities and leads to experimentally observed roughening while for low rates of the step flow (miscut 4°), smooth surface can be obtained. In the presence of almost immobile nitrogen atoms under N-rich conditions crystal growth is realized by the process of two-dimensional island nucleation and coalescence. Larger crystal miscut, lower growth rate or higher temperature results in similar effect of the surface smoothening. We show that the surface also smoothens for the growth conditions with very high N-excess. In the presence of large number of nitrogen atoms the mobility of gallium atoms changes locally thus providing easier coalescence of separated island.

  7. Micro-Raman investigations of InN-GaN core-shell nanowires on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Sangeetha, P.; Jeganathan, K.; Ramakrishnan, V.

    2013-06-01

    The electron-phonon interactions in InN-GaN core-shell nanowires grown by plasma assisted- molecular beam epitaxy (MBE) on Si (111) substrate have been analysed using micro-Raman spectroscopic technique with the excitation wavelength of 633, 488 and 325 nm. The Raman scattering at 633 nm reveals the characteristic E2 (high) and A1 (LO) phonon mode of InN core at 490 and 590 cm-1 respectively and E2 (high) phonon mode of GaN shell at 573 cm-1. The free carrier concentration of InN core is found to be low in the order ˜ 1016 cm-3 due to the screening of charge carriers by thin GaN shell. Diameter of InN core evaluated using the spatial correlation model is consistent with the transmission electron microscopic measurement of ˜15 nm. The phonon-life time of core-shell nanowire structure is estimated to be ˜0.4 ps. The micro-Raman mapping and its corresponding localised spectra for 325 nm excitation exhibit intense E2 (high) phonon mode of GaN shell at 573 cm-1 as the decrease of laser interaction length and the signal intensity is quenched at the voids due to high spacing of NWs.

  8. Controlled growth of ordered nanopore arrays in GaN.

    PubMed

    Wildeson, Isaac H; Ewoldt, David A; Colby, Robert; Stach, Eric A; Sands, Timothy D

    2011-02-09

    High-quality, ordered nanopores in semiconductors are attractive for numerous biological, electrical, and optical applications. Here, GaN nanorods with continuous pores running axially through their centers were grown by organometallic vapor phase epitaxy. The porous nanorods nucleate on an underlying (0001)-oriented GaN film through openings in a SiN(x) template that are milled by a focused ion beam, allowing direct placement of porous nanorods. Nanopores with diameters ranging from 20-155 nm were synthesized with crystalline sidewalls.

  9. Stages in molecular beam epitaxy growth of GaAs nanowires studied by x-ray diffraction.

    PubMed

    Mariager, Simon O; Lauridsen, Søren L; Sørensen, Claus B; Dohn, Asmus; Willmott, Phillip R; Nygård, Jesper; Feidenhans'l, Robert

    2010-03-19

    GaAs nanowires were grown by molecular beam epitaxy and studied by glancing-angle x-ray diffraction during five different stages of the growth process. An entire forest of randomly positioned epitaxial nanowires was sampled simultaneously and a large variation in the Au-Ga catalyst was found. Au, AuGa, AuGa(2) and the hexagonal beta phase were all identified in several orientations and in similar amounts. The nanowires are shown to consist of regular zinc blende crystal, its twin and the hexagonal wurtzite. The evolution of the various Au-Ga catalysts and the development in the twin to the wurtzite abundance ratio indicate that the Au catalyst is saturated upon initiation of growth leading to an increased amount of wurtzite structure in the wires. A specular x-ray scan identifies the various Au-Ga alloys, three Au lattice constants and a rough interface between nanowires and catalyst. Reciprocal space maps were obtained around Au Bragg points and show the development of the Au catalyst from a distribution largely oriented with respect to the lattice to a non-uniform distribution with several well-defined lattice constants.

  10. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    PubMed

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  11. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  12. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  13. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Investigation of the influence of growth parameters on self-catalyzed ITO nanowires by high RF-power sputtering

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-04-01

    Tin-doped indium oxide (ITO) nanowires are successfully fabricated using a radio frequency (RF) sputtering technique with a high RF power of 250 W. The fabrication of the ITO nanowires is optimized through the study of oxygen flow rates, temperatures and RF power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target is observed and the mechanism for the difference is discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method demonstrated good conductivity (15 Ω sq-1) and a transmittance of more than 64% at a wavelength longer than 550 nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices.

  15. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  16. Mono- and polynucleation, atomistic growth, and crystal phase of III-V nanowires under varying group V flow

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2015-05-01

    We present a refined model for the vapor-liquid-solid growth and crystal structure of Au-catalyzed III-V nanowires, which revisits several assumptions used so far and is capable of describing the transition from mononuclear to polynuclear regime and ultimately to regular atomistic growth. We construct the crystal phase diagrams and calculate the wurtzite percentages, elongation rates, critical sizes, and polynucleation thresholds of Au-catalyzed GaAs nanowires depending on the As flow. We find a non-monotonic dependence of the crystal phase on the group V flow, with the zincblende structure being preferred at low and high group V flows and the wurtzite structure forming at intermediate group V flows. This correlates with most of the available experimental data. Finally, we discuss the atomistic growth picture which yields zincblende crystal structure and should be very advantageous for fabrication of ternary III-V nanowires with well-controlled composition and heterointerfaces.

  17. Controlled Growth of Rubrene Nanowires by Eutectic Melt Crystallization

    NASA Astrophysics Data System (ADS)

    Chung, Jeyon; Hyon, Jinho; Park, Kyung-Sun; Cho, Boram; Baek, Jangmi; Kim, Jueun; Lee, Sang Uck; Sung, Myung Mo; Kang, Youngjong

    2016-03-01

    Organic semiconductors including rubrene, Alq3, copper phthalocyanine and pentacene are crystallized by the eutectic melt crystallization. Those organic semiconductors form good eutectic systems with the various volatile crystallizable additives such as benzoic acid, salicylic acid, naphthalene and 1,3,5-trichlorobenzene. Due to the formation of the eutectic system, organic semiconductors having originally high melting point (Tm > 300 °C) are melted and crystallized at low temperature (Te = 40.8-133 °C). The volatile crystallizable additives are easily removed by sublimation. For a model system using rubrene, single crystalline rubrene nanowires are prepared by the eutectic melt crystallization and the eutectic-melt-assisted nanoimpinting (EMAN) technique. It is demonstrated that crystal structure and the growth direction of rubrene can be controlled by using different volatile crystallizable additives. The field effect mobility of rubrene nanowires prepared using several different crystallizable additives are measured and compared.

  18. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  19. Enhanced lithium ion battery cycling of silicon nanowire anodes by template growth to eliminate silicon underlayer islands.

    PubMed

    Cho, Jeong-Hyun; Picraux, S Tom

    2013-01-01

    It is well-known that one-dimensional nanostructures reduce pulverization of silicon (Si)-based anode materials during Li ion cycling because they allow lateral relaxation. However, even with improved designs, Si nanowire-based structures still exhibit limited cycling stability for extended numbers of cycles, with the specific capacity retention with cycling not showing significant improvements over commercial carbon-based anode materials. We have found that one important reason for the lack of long cycling stability can be the presence of milli- and microscale Si islands which typically form under nanowire arrays during their growth. Stress buildup in these Si island underlayers with cycling results in cracking, and the loss of specific capacity for Si nanowire anodes, due to progressive loss of contact with current collectors. We show that the formation of these parasitic Si islands for Si nanowires grown directly on metal current collectors can be avoided by growth through anodized aluminum oxide templates containing a high density of sub-100 nm nanopores. Using this template approach we demonstrate significantly enhanced cycling stability for Si nanowire-based lithium-ion battery anodes, with retentions of more than ~1000 mA·h/g discharge capacity over 1100 cycles.

  20. Luminescence emission from Al0.3Ga0.7N/GaN multi quantum disc core/shell nanowire: Numerical approach

    NASA Astrophysics Data System (ADS)

    Namvari, E.; Shojaei, S.; Asgari, A.

    2017-09-01

    In this work, a numerical approach to investigate the room temperature luminescence emission from core/shell nanowire is presented where GaN quantum discs (QDiscs), periodically distributed in AlxGa1-xN nanowire, is considered as core and AlxGa1-xN as shell. Thin disc shaped (Ring shaped) n-doped region has been placed at the GaN/ AlxGa1-xN (AlxGa1-xN /air) interface in AlxGa1-xN region in axial (radial) directions. To obtain energy levels and related wavefunctions, self-consistent procedure has been employed to solve Schrodinger-Poisson equations with considering the spontaneous and piezoelectric polarization. Then luminescence spectrum is studied in details to recognize the parameters influent in luminescence. The results show that the amount of doping, size of QDiscs and theirs numbers have remarkable effects on the band to band luminescence emission. Our numerical calculations gives some insights into the luminescence emission of core/shell nanowire and exhibits a useful tool to analyze findings in experiments.

  1. Interaction of hybrid nanowire-nanoparticle structures with carbon monoxide.

    PubMed

    Dobrokhotov, V V; McIlroy, D N; Norton, M Grant; Abdelrahaman, R; Safir, A; Berven, C A

    2009-04-01

    A gas-phase sensor based on a GaN nanowire mat decorated with Au nanoparticles was studied both experimentally and theoretically. The sensor is responsive to CO and H(2) and could be used to study the water-gas-shift reaction, which involves combining CO and H(2)O to produce H(2). It was shown that for catalyzing this reaction using support Au nanoparticles, the sequence in which the reactants are exposed to the catalyst surface is critical. To quantitatively evaluate the sensor response to gas exposure a depletion model was developed that considered the Au nanoparticle-semiconductor interface as a nano-Schottky barrier where variation in the depletion region caused changes in the electrical conductivity of the nanowires.

  2. Nanowire growth by an electron beam induced massive phase transformation

    DOE PAGES

    Sood, Shantanu; Kisslinger, Kim; Gouma, Perena

    2014-11-15

    Tungsten trioxide nanowires of a high aspect ratio have been synthesized in-situ in a TEM under an electron beam of current density 14A/cm² due to a massive polymorphic reaction. Sol-gel processed pseudocubic phase nanocrystals of tungsten trioxide were seen to rapidly transform to one dimensional monoclinic phase configurations, and this reaction was independent of the substrate on which the material was deposited. The mechanism of the self-catalyzed polymorphic transition and accompanying radical shape change is a typical characteristic of metastable to stable phase transformations in nanostructured polymorphic metal oxides. A heuristic model is used to confirm the metastable to stablemore » growth mechanism. The findings are important to the control electron beam deposition of nanowires for functional applications starting from colloidal precursors.« less

  3. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  4. Nucleation and growth mechanism of Co-Pt alloy nanowires electrodeposited within alumina template

    NASA Astrophysics Data System (ADS)

    Srivastav, Ajeet K.; Shekhar, Rajiv

    2015-01-01

    Co-Pt alloy nanowires were electrodeposited by direct current electrodeposition within nanoporous alumina templates with varying deposition potentials. The effect of deposition potential on nucleation and growth mechanisms during electrodeposition of Co-Pt alloy nanowires was investigated. The less negative deposition potential (-0.9 V) favours the instantaneous nucleation mechanism. The positive deviation from theoretical instantaneous and progressive nucleation mechanisms occurs at higher negative deposition potentials. The hysteresis behaviour and magnetic properties of electrodeposited Co-Pt alloy nanowires altered with varying deposition potential. The easy magnetization direction was in direction perpendicular to the wire axis. The deposition potential dependent change in hysteresis behaviour with increased coercivity and scattered remanence ratio was observed. This is attributed to better crystallinity with reduced defect density and hydrogen evolution causing structural changes at more negative deposition potentials.

  5. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  6. Growth and characterization of β-Ga2O3 nanowires obtained on not-catalyzed and Au/Pt catalyzed substrates

    NASA Astrophysics Data System (ADS)

    Calestani, Davide; Alabi, Aderemi Babatunde; Coppedè, Nicola; Villani, Marco; Lazzarini, Laura; Fabbri, Filippo; Salviati, Giancarlo; Zappettini, Andrea

    2017-01-01

    In recent years, a large interest has been reported on low-dimensional β-Ga2O3 structures, like nanowires, nanobelts, nanorods or nanosheets, because of their peculiar and sometimes superior properties. These properties, however, can be strongly affected by the growth procedure, especially if metal growth catalysts are used. In this work we report the successful synthesis of β-Ga2O3 nanowires/nanobelts using a simple combination of thermal evaporation of a metallic Ga source and controlled oxidation. The same growth procedure has been used to grow nanostructures on different kind of substrates (silicon and alumina), without catalyst as well as with Au or Pt deposited on the substrates, in order to promote the nucleation of nanowires. The morphological, structural and optical properties of the obtained nanostructures have been characterized and compared. Different growth distributions on the substrates and possible growth mechanisms have been highlighted, while a strong increase in luminescence intensity has been observed on samples grown with Au and Pt catalysts.

  7. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    PubMed Central

    Panciera, F.; Chou, Y.-C.; Reuter, M.C.; Zakharov, D.; Stach, E.A.; Hofmann, S.; Ross, F.M.

    2016-01-01

    Nanowire growth by the vapor-liquid-solid process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid state lighting and single photon sources to thermoelectric devices. Here we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyze nanowire growth as a “mixing bowl”, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystals that are then incorporated into the nanowires by further growth. We demonstrate this concept by epitaxially incorporating metal silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures. PMID:26168344

  8. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  9. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  10. Autoclave growth, magnetic, and optical properties of GdB6 nanowires

    NASA Astrophysics Data System (ADS)

    Han, Wei; Wang, Zhen; Li, Qidong; Liu, Huatao; Fan, Qinghua; Dong, Youzhong; Kuang, Quan; Zhao, Yanming

    2017-12-01

    High-quality single crystalline gadolinium hexaboride (GdB6) nanowires have been successfully prepared at very low temperatures of 200-240 °C by a high pressure solid state (HPSS) method in an autoclave with a new chemical reaction route, where Gd, H3BO3, Mg and I2 were used as raw materials. The crystal structure, morphology, valence, magnetic and optical absorption properties were investigated using XRD, FESEM, HRTEM, XPS, SQUID magnetometry and optical measurements. HRTEM images and SAED patterns reveal that the GdB6 nanowires are single crystalline with a preferred growth direction along [001]. The XPS spectrum suggests that the valence of Gd ion in GdB6 is trivalent. The effective magnetic momentum per Gd3+ in GdB6 is about 6.26 μB. The optical properties exhibit weak absorption in the visible light range, but relatively strong absorbance in the NIR and UV range. Low work function and high NIR absorption can make GdB6 nanowires a potential solar radiation shielding material for solar cells or other NIR blocking applications.

  11. Impact of screw and edge dislocations on the thermal conductivity of individual nanowires and bulk GaN: a molecular dynamics study.

    PubMed

    Termentzidis, Konstantinos; Isaiev, Mykola; Salnikova, Anastasiia; Belabbas, Imad; Lacroix, David; Kioseoglou, Joseph

    2018-02-14

    We report the thermal transport properties of wurtzite GaN in the presence of dislocations using molecular dynamics simulations. A variety of isolated dislocations in a nanowire configuration are analyzed and found to considerably reduce the thermal conductivity while impacting its temperature dependence in a different manner. Isolated screw dislocations reduce the thermal conductivity by a factor of two, while the influence of edge dislocations is less pronounced. The relative reduction of thermal conductivity is correlated with the strain energy of each of the five studied types of dislocations and the nature of the bonds around the dislocation core. The temperature dependence of the thermal conductivity follows a physical law described by a T -1 variation in combination with an exponent factor that depends on the material's nature, type and the structural characteristics of the dislocation core. Furthermore, the impact of the dislocation density on the thermal conductivity of bulk GaN is examined. The variation and absolute values of the total thermal conductivity as a function of the dislocation density are similar for defected systems with both screw and edge dislocations. Nevertheless, we reveal that the thermal conductivity tensors along the parallel and perpendicular directions to the dislocation lines are different. The discrepancy of the anisotropy of the thermal conductivity grows with increasing density of dislocations and it is more pronounced for the systems with edge dislocations. Besides the fundamental insights of the presented results, these could also be used for the identification of the type of dislocations when one experimentally obtains the evolution of thermal conductivity with temperature since each type of dislocation has a different signature, or one could extract the density of dislocations with a simple measurement of thermal anisotropy.

  12. PREFACE: Synthesis and integration of nanowires

    NASA Astrophysics Data System (ADS)

    Samuelson, L.

    2006-06-01

    The field of semiconductor nanowires has attracted much attention in recent years, from the areas of basic materials science, advanced characterization and technology, as well as from the perspective of the applications of nanowires. Research on large-sized whiskers and wires had already begun in the 1960s with the pioneering work of Wagner, as well as by other researchers. It was, however, in the early 1990s that Kenji Hiruma at Hitachi Central Research Laboratories in Japan first succeeded in developing methods for the growth of nanowires with dimensions on the scale of 10-100 nm, thereby initiating the field of growth and applications of nanowires, with a strong emphasis on epitaxial nucleation of nanowires on a single-crystalline substrate. Starting from the mid-1990s, the field developed very rapidly with the number of papers on the subject growing from ten per year to several thousand papers on the subject published annually today, although with a rather generous definition of the concept of nanowires. With this rapid development we have seen many new and different approaches to the growth of nanowires, technological advances leading to a more well-controlled formation of nanowires, new innovative methods for the characterization of structures, as well as a wealth of approaches towards the use of nanowires in electronics, photonics and sensor applications. This issue contains contributions from many different laboratories, each adding significant detail to the development of the field of research. The contributions cover issues such as basic growth, advanced characterization and technology, and application of nanowires. I would like to acknowledge the shared responsibilities for this special issue of Nanotechnology on the synthesis and integration of nanowires with my co-Editors, S Tong Lee and M Sunkara, as well as the highly professional support from Dr Nina Couzin, Dr Ian Forbes and the Nanotechnology team from the Institute of Physics Publishing.

  13. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    PubMed

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  14. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    DOE PAGES

    Panciera, F.; Chou, Y. -C.; Reuter, M. C.; ...

    2015-07-13

    Nanowire growth by the vapour–liquid–solid (VLS) process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid-state lighting and single-photon sources to thermoelectric devices. Here, we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyse nanowire growth as a ‘mixing bowl’, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystalsmore » that are then incorporated into the nanowires by further growth. Furthermore, we demonstrate this concept by epitaxially incorporating metal-silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures.« less

  15. Catalyst-free, III-V nanowire photovoltaics

    NASA Astrophysics Data System (ADS)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  16. Influence of surface hydroxylation on 3-aminopropyltriethoxysilane growth mode during chemical functionalization of GaN Surfaces: an angle-resolved X-ray photoelectron spectroscopy Study.

    PubMed

    Arranz, A; Palacio, C; García-Fresnadillo, D; Orellana, G; Navarro, A; Muñoz, E

    2008-08-19

    A comparative study of the chemical functionalization of undoped, n- and p-type GaN layers grown on sapphire substrates by metal-organic chemical vapor deposition was carried out. Both types of samples were chemically functionalized with 3-aminopropyltriethoxysilane (APTES) using a well-established silane-based approach for functionalizing hydroxylated surfaces. The untreated surfaces as well as those modified by hydroxylation and APTES deposition were analyzed using angle-resolved X-ray photoelectron spectroscopy. Strong differences were found between the APTES growth modes on n- and p-GaN surfaces that can be associated with the number of available hydroxyl groups on the GaN surface of each sample. Depending on the density of surface hydroxyl groups, different mechanisms of APTES attachment to the GaN surface take place in such a way that the APTES growth mode changes from a monolayer to a multilayer growth mode when the number of surface hydroxyl groups is decreased. Specifically, a monolayer growth mode with a surface coverage of approximately 78% was found on p-GaN, whereas the formation of a dense film, approximately 3 monolayers thick, was observed on n-GaN.

  17. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration wasmore » 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.« less

  18. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  19. Simultaneous growth of pure hyperbranched Zn3As2 structures and long Ga2O3 nanowires.

    PubMed

    Li, Jianye; Wang, Lung-Shen; Buchholz, D Bruce; Chang, Robert P H

    2009-05-01

    Through a facile and highly repeatable chemical vapor method, pure three-dimensional hyperbranched Zn(3)As(2) structures and ultralong Ga(2)O(3) nanowires were simultaneously grown with controllable locations in the same experiment. The hyperbranched Zn(3)As(2) consists of cone-shaped submicro-/nanowires and has a single-crystalline tetragonal structure. This is the first report of nano Zn(3)As(2) and hyperbranched Zn(3)As(2) structures. The as-grown Ga(2)O(3) nanowires are monoclinic single crystals. A vapor-solid-solid mechanism is suggested for the growth of the Ga(2)O(3) nanowires, and a vapor-solid mechanism, for the Zn(3)As(2) structures.

  20. Self-limited growth of the CaF nanowire on the Si(5 5 12)-2 × 1 template

    NASA Astrophysics Data System (ADS)

    Kim, Hidong; Duvjir, Ganbat; Dugerjav, Otgonbayar; Li, Huiting; Motlak, Moaaed; Arvisbaatar, Amarmunkh; Seo, Jae M.

    2012-10-01

    The atomic structure and interfacial bonding of the ordered-and-isolated CaF nanowires on Si(5 5 12)-2 × 1 have been disclosed by scanning tunneling microscopy and synchrotron photoemission spectroscopy. Initially, CaF molecules dissociated from thermally deposited CaF2 molecules are adsorbed preferentially on the chain structures of Si(5 5 12)-2 × 1 held at 500 °C. With increasing CaF2 deposition amount, one-dimensional (1D) CaF nanowires composed of (113) and (111) facets are formed. The line density of these CaF nanowires increases as a function of deposition amount. Finally, at a submonolayer coverage, the surface is saturated with these 1D nanowires except for the (225) subunit, while the original period of Si(5 5 12)-2 × 1, 5.35 nm, is preserved. It has been deduced by the present studies that, owing to these preferential adsorption of CaF and facet-dependent growth of a CaF layer within a unit periodic length of Si(5 5 12)-2 × 1, such a self-limited growth of the CaF nanowire with a high aspect ratio becomes possible.

  1. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  2. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    PubMed

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  3. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  4. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  5. Lattice diffusion and vapor solid growths forming nanoarchitectures on ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Sombrio, Guilherme; Rivaldo-Gómez, C. M.; Pomar, Cesar A. D.; Souza, Jose A.

    2017-12-01

    We report hierarchical nanoarchitectures formed on the tips and sidewalls of ZnO nanowires which is formed on the top of microtubes. The whole growth process of these micro/nanostructures during thermal oxidation combines lattice/grain/surface ionic diffusion along with vapor solid mechanism. All the process takes place along with the presence of an electric current, which plays an important role forming the ZnO molecules due to Zn metal evaporation and attracting them to condense into nanostructures of several morphologies. The observation of a very long needle-like nanowire reveals the stack nature of the growth. These nanoarchitectures are rarely observed experimentally. Raman scattering confirms phonon confinement in the nanostructures. Photoluminescence measurements indicate a route for engineering defects on the surface of ZnO microtubes after the complete coalescence of the nanostructures through heat treatment. This experiment would be useful for improving nanostructure organization which could provide an impact in the manufacturability of nanostructure-based systems.

  6. The 2018 GaN power electronics roadmap

    NASA Astrophysics Data System (ADS)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  7. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    NASA Astrophysics Data System (ADS)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  8. GaN for LED applications

    NASA Technical Reports Server (NTRS)

    Pankove, J. I.

    1973-01-01

    In order to improve the synthesis of GaN the effect of various growth and doping parameters has been studied. Although Be, Li, Mg, and Dy can be used to overcompensate native donors, the most interesting acceptor element is Zn. The emission spectrum and the luminescence efficiency depend on the growth temperature (below 800 C), on the partial pressure of the doping impurity, and on the duration of growth. Blue-green electroluminescence with a power efficiency of 0.1 percent and a brightness of 850 fL (at 0.6 mA and 22.5 V) was obtained. Some diodes allow the color of the emitted light to change by reversing the polarity of the bias. Continuous operation of a diode over a period of 5 months showed no evidence of degradation. The luminescence properties of ion-implanted GaN were studied. Delay effects were found in the electroluminescence of diodes, although, with a dc bias, a 70-MHz modulation was possible.

  9. Growth of Single Crystals and Fabrication of GaN and AlN Wafers

    DTIC Science & Technology

    2006-03-01

    Chemical Physics of Solid Surfaces and Heterogeneous Catalysis, Synthesis and Decomposition of Ammonia ", 4, Elsevier Scientific Publishing Company...Solid Surfaces and Heterogeneous Catalysis, Synthesis and Decomposition of Ammonia ", 4, Elsevier Scientific Publishing Company, Amsterdam (1982). 119...GaN(s), (2) Ga(g) + _ N2(g) = GaN(s) 93 APPENDIX C: AMMONIA DECOMPOSITION Despite the apparent simplicity of the GaN synthesis from elemental Ga and

  10. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  11. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  12. Controlled growth of CH3NH3PbI3 nanowires in arrays of open nanofluidic channels.

    PubMed

    Spina, Massimo; Bonvin, Eric; Sienkiewicz, Andrzej; Náfrádi, Bálint; Forró, László; Horváth, Endre

    2016-01-25

    Spatial positioning of nanocrystal building blocks on a solid surface is a prerequisite for assembling individual nanoparticles into functional devices. Here, we report on the graphoepitaxial liquid-solid growth of nanowires of the photovoltaic compound CH3NH3PbI3 in open nanofluidic channels. The guided growth, visualized in real-time with a simple optical microscope, undergoes through a metastable solvatomorph formation in polar aprotic solvents. The presently discovered crystallization leads to the fabrication of mm(2)-sized surfaces composed of perovskite nanowires having controlled sizes, cross-sectional shapes, aspect ratios and orientation which have not been achieved thus far by other deposition methods. The automation of this general strategy paves the way towards fabrication of wafer-scale perovskite nanowire thin films well-suited for various optoelectronic devices, e.g. solar cells, lasers, light-emitting diodes and photodetectors.

  13. Investigation of ZnO Nanowire Interfaces for Multi-Scale Composites

    DTIC Science & Technology

    2012-03-06

    growth of zinc oxide ( ZnO ) nanowires on the surface of the...through the growth of zinc oxide ( ZnO ) nanowires on the surface of the reinforcing fibers. The nanowires functionally grade the interface, improve bonding...bulk composite. This has been accomplished through the growth of zinc oxide ( ZnO ) nanowires on the surface of the reinforcing fibers. ZnO

  14. Simulation of Nanowires on Metal Vicinal Surfaces: Effect of Growth Parameters and Energetic Barriers

    NASA Astrophysics Data System (ADS)

    Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.

    2012-02-01

    Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.

  15. Far field emission profile of pure wurtzite InP nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bulgarini, Gabriele, E-mail: g.bulgarini@tudelft.nl; Reimer, Michael E.; Zwiller, Val

    2014-11-10

    We report on the far field emission profile of pure wurtzite InP nanowires in comparison to InP nanowires with predominantly zincblende crystal structure. The emission profile is measured on individual nanowires using Fourier microscopy. The most intense photoluminescence of wurtzite nanowires is collected at small angles with respect to the nanowire growth axis. In contrast, zincblende nanowires present a minimum of the collected light intensity in the direction of the nanowire growth. Results are explained by the orientation of electric dipoles responsible for the photoluminescence, which is different from wurtzite to zincblende. Wurtzite nanowires have dipoles oriented perpendicular to themore » nanowire growth direction, whereas zincblende nanowires have dipoles oriented along the nanowire axis. This interpretation is confirmed by both numerical simulations and polarization dependent photoluminescence spectroscopy. Knowledge of the dipole orientation in nanostructures is crucial for developing a wide range of photonic devices such as light-emitting diodes, photodetectors, and solar cells.« less

  16. Substrate-mediated diffusion-induced growth of single-crystal nanowires.

    PubMed

    Mohammad, S Noor

    2009-11-28

    Theoretical investigations of the growth and growth rates of single-crystal nanowires (NWs) by vapor phase mechanisms have been carried out. Substrate-induced processes are assumed to dominate this growth. The modeling for growth takes adsorption, desorption, surface scattering, and diffusion into account. It takes into consideration also the retarding electric field arising from the scattering of the NW vapor species by both the substrate and the NW sidewalls. Growth characteristics under the influence of the retarding electric field have been studied. Competitive roles of adatom diffusivity and the electric field in the NW growth are elucidated. Influence of the growing NW length and the adatom impingement rate on the NW growth rate has been described. The effect of adatom collection area around each NW has been examined. The NW tapering and kinking have been explained. The fundamentals of the substrate induction and details of the growth parameters have been analyzed. The influence of foreign element catalytic agents in the vapor-liquid-solid mechanism has been presented. All these have led to the understanding and resolution of problems, controversies, and contradictions involving substrate-induced NW growths.

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  19. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  20. How Copper Nanowires Grow and How To Control Their Properties.

    PubMed

    Ye, Shengrong; Stewart, Ian E; Chen, Zuofeng; Li, Bo; Rathmell, Aaron R; Wiley, Benjamin J

    2016-03-15

    Scalable, solution-phase nanostructure synthesis has the promise to produce a wide variety of nanomaterials with novel properties at a cost that is low enough for these materials to be used to solve problems. For example, solution-synthesized metal nanowires are now being used to make low cost, flexible transparent electrodes in touch screens, organic light-emitting diodes (OLEDs), and solar cells. There has been a tremendous increase in the number of solution-phase syntheses that enable control over the assembly of atoms into nanowires in the last 15 years, but proposed mechanisms for nanowire formation are usually qualitative, and for many syntheses there is little consensus as to how nanowires form. It is often not clear what species is adding to a nanowire growing in solution or what mechanistic step limits its rate of growth. A deeper understanding of nanowire growth is important for efficiently directing the development of nanowire synthesis toward producing a wide variety of nanostructure morphologies for structure-property studies or producing precisely defined nanostructures for a specific application. This Account reviews our progress over the last five years toward understanding how copper nanowires form in solution, how to direct their growth into nanowires with dimensions ideally suited for use in transparent conducting films, and how to use copper nanowires as a template to grow core-shell nanowires. The key advance enabling a better understanding of copper nanowire growth is the first real-time visualization of nanowire growth in solution, enabling the acquisition of nanowire growth kinetics. By measuring the growth rate of individual nanowires as a function of concentration of the reactants and temperature, we show that a growing copper nanowire can be thought of as a microelectrode that is charged with electrons by hydrazine and grows through the diffusion-limited addition of Cu(OH)2(-). This deeper mechanistic understanding, coupled to an

  1. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  2. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  3. Near-Infrared Intersubband Photodetection in GaN/AlN Nanowires.

    PubMed

    Lähnemann, Jonas; Ajay, Akhil; Den Hertog, Martien I; Monroy, Eva

    2017-11-08

    Intersubband optoelectronic devices rely on transitions between quantum-confined electron levels in semiconductor heterostructures, which enables infrared (IR) photodetection in the 1-30 μm wavelength window with picosecond response times. Incorporating nanowires as active media could enable an independent control over the electrical cross-section of the device and the optical absorption cross-section. Furthermore, the three-dimensional carrier confinement in nanowire heterostructures opens new possibilities to tune the carrier relaxation time. However, the generation of structural defects and the surface sensitivity of GaAs nanowires have so far hindered the fabrication of nanowire intersubband devices. Here, we report the first demonstration of intersubband photodetection in a nanowire, using GaN nanowires containing a GaN/AlN superlattice absorbing at 1.55 μm. The combination of spectral photocurrent measurements with 8-band k·p calculations of the electronic structure supports the interpretation of the result as intersubband photodetection in these extremely short-period superlattices. We observe a linear dependence of the photocurrent with the incident illumination power, which confirms the insensitivity of the intersubband process to surface states and highlights how architectures featuring large surface-to-volume ratios are suitable as intersubband photodetectors. Our analysis of the photocurrent characteristics points out routes for an improvement of the device performance. This first nanowire based intersubband photodetector represents a technological breakthrough that paves the way to a powerful device platform with potential for ultrafast, ultrasensitive photodetectors and highly efficient quantum cascade emitters with improved thermal stability.

  4. Silica Nanowires: Growth, Integration, and Sensing Applications

    PubMed Central

    Kaushik, Ajeet; Kumar, Rajesh; Huey, Eric; Bhansali, Shekhar; Nair, Narayana; Nanir, Madhavan

    2014-01-01

    This review (with 129 refs.) gives an overview on how the integration of silica nanowires (NWs) into micro-scale devices has resulted, in recent years, in simple yet robust nano-instrumentation with improved performance in targeted application areas such as sensing. This has been achieved by the use of appropriate techniques such as di-electrophoresis and direct vapor-liquid-growth phenomena, to restrict the growth of NWs to site-specific locations. This also has eliminated the need for post-growth processing and enables nanostructures to be placed on pre-patterned substrates. Various kinds of NWs have been investigated to determine how their physical and chemical properties can be tuned for integration into sensing structures. NWs integrated onto interdigitated micro-electrodes have been applied to the determination of gases and biomarkers. The technique of directly growing NWs eliminates the need for their physical transfer and thus preserves their structure and performance, and further reduces the costs of fabrication. The biocompatibility of NWs also has been studied with respect to possible biological applications. This review addresses the challenges in growth and integration of NWs to understand related mechanism on biological contact or gas exposure and sensing performance for personalized health and environmental monitoring. PMID:25382871

  5. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  6. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  7. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  8. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  9. Semiconductor Nanowires and Nanotubes for Energy Conversion

    NASA Astrophysics Data System (ADS)

    Fardy, Melissa Anne

    benefit from the high surface area of nanomaterials. Recently, (Ga1-xZnx)(N1-xOx) has gained widespread attention as a high efficiency material for visible-light-driven H2 and O2 generation. To improve the crystallinity of the material and reduce charge recombination (Ga1-xZnx)(N 1-xOx) nanotubes were synthesized by epitaxial coating of GaN onto ZnO nanowires. The nanotubes were single-crystalline, solid solutions of GaN and ZnO with ZnO compositions up to 10% and bandgaps as low as 2.6 eV. Incorporation of In into these nanotubes pushed the absorption even further into the visible. After decoration with Rh2-yCryO3 nanoparticle cocatalysts, (Ga1-xZnx)(N1-xOx) nanotubes spontaneously generated H2 in aqueous solutions under illumination. The photoanodic properties of these nanotubes are still under investigation. The significant reductions in thermal conductivity achieved using lead chalcogenide and In2-xGaxO3(ZnO) n nanowires highlight their use in thermoelectric power generation. The promise of 1-dimensional materials for energy conversion is further evident in the superior crystalline quality and high surface areas of the (Ga 1-xZnx)(N1-xOx) nanotubes. As research continues along these direction we move ever closer toward implementation of nanowires and nanotubes for clean, renewable, and more efficient energy use.

  10. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  12. Piezo-Phototronic Matrix via a Nanowire Array.

    PubMed

    Zhang, Yang; Zhai, Junyi; Wang, Zhong Lin

    2017-12-01

    Piezoelectric semiconductors, such as ZnO and GaN, demonstrate multiproperty coupling effects toward various aspects of mechanical, electrical, and optical excitation. In particular, the three-way coupling among semiconducting, photoexcitation, and piezoelectric characteristics in wurtzite-structured semiconductors is established as a new field, which was first coined as piezo-phototronics by Wang in 2010. The piezo-phototronic effect can controllably modulate the charge-carrier generation, separation, transport, and/or recombination in optical-electronic processes by modifying the band structure at the metal-semiconductor or semiconductor-semiconductor heterojunction/interface. Here, the progress made in using the piezo-phototronic effect for enhancing photodetectors, pressure sensors, light-emitting diodes, and solar cells is reviewed. In comparison with previous works on a single piezoelectric semiconducting nanowire, piezo-phototronic nanodevices built using nanowire arrays provide a promising platform for fabricating integrated optoelectronics with the realization of high-spatial-resolution imaging and fast responsivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Photo-induced changes of the surface band bending in GaN: Influence of growth technique, doping and polarity

    NASA Astrophysics Data System (ADS)

    Winnerl, Andrea; Pereira, Rui N.; Stutzmann, Martin

    2017-05-01

    In this work, we use conductance and contact potential difference photo-transient data to study the influence of the growth technique, doping, and crystal polarity on the kinetics of photo-generated charges in GaN. We found that the processes, and corresponding time scales, involved in the decay of charge carriers generated at and close to the GaN surface via photo-excitation are notably independent of the growth technique, doping (n- and p-types), and also crystal polarity. Hence, the transfer of photo-generated charges from band states back to surface states proceeds always by hopping via shallow defect states in the space-charge region (SCR) close to the surface. Concerning the charge carrier photo-generation kinetics, we observe considerable differences between samples grown with different techniques. While for GaN grown by metal-organic chemical vapor deposition, the accumulation of photo-conduction electrons results mainly from a combined trapping-hopping process (slow), where photo-generated electrons hop via shallow defect states to the conduction band (CB), in hydride vapor phase epitaxy and molecular beam epitaxy materials, a faster direct process involving electron transfer via CB states is also present. The time scales of both processes are quite insensitive to the doping level and crystal polarity. However, these processes become irrelevant for very high doping levels (both n- and p-types), where the width of the SCR is much smaller than the photon penetration depth, and therefore, most charge carriers are generated outside the SCR.

  14. Large-scale fabrication of vertically aligned ZnO nanowire arrays

    DOEpatents

    Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo

    2013-02-05

    In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.

  15. The evolvement of pits and dislocations on TiO{sub 2}-B nanowires via oriented attachment growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao Bin; Chen Feng, E-mail: Fengchen@ecust.edu.c; Qu Wenwu

    2009-08-15

    TiO{sub 2}-B nanowires were synthesized by an ion exchanging-thermal treatment. The unique morphology of pits and dislocations interspersed on TiO{sub 2}-B nanowires were firstly characterized and studied by high-resolution transmission electron microscopy (HRTEM). Oriented attachment is suggested as an important growth mechanism in the evolvement of pits and dislocations on TiO{sub 2}-B nanowires. Lattice shears and fractures were originally formed during the ion exchanging process of the sodium titanate nanowires, which resulted in the formation of primary crystalline units and vacancies in the layered hydrogen titanate nanowires. Then the (110) lattice planes of TiO{sub 2}-B grown in [110] direction ismore » faster than the other lattice planes, which caused the exhibition of long dislocations on TiO{sub 2}-B nanowires. The enlargement of the vacancies, which was caused by the rearrangement of primary crystalline units, should be the reason of the formation of pits. Additionally, the transformation from TiO{sub 2}-B to anatase could be also elucidated by oriented attachment mechanism. - Graphical abstract: The unique morphology of pits and dislocations on TiO{sub 2}-B nanowires shown in high-resolution transmission electron microscopy (HRTEM) and a proposed evolvement mechanism of pits and dislocations on TiO{sub 2}-B nanowires.« less

  16. Controlling Growth High Uniformity Indium Selenide (In2Se3) Nanowires via the Rapid Thermal Annealing Process at Low Temperature.

    PubMed

    Hsu, Ya-Chu; Hung, Yu-Chen; Wang, Chiu-Yen

    2017-09-15

    High uniformity Au-catalyzed indium selenide (In 2 Se 3) nanowires are grown with the rapid thermal annealing (RTA) treatment via the vapor-liquid-solid (VLS) mechanism. The diameters of Au-catalyzed In 2 Se 3 nanowires could be controlled with varied thicknesses of Au films, and the uniformity of nanowires is improved via a fast pre-annealing rate, 100 °C/s. Comparing with the slower heating rate, 0.1 °C/s, the average diameters and distributions (standard deviation, SD) of In 2 Se 3 nanowires with and without the RTA process are 97.14 ± 22.95 nm (23.63%) and 119.06 ± 48.75 nm (40.95%), respectively. The in situ annealing TEM is used to study the effect of heating rate on the formation of Au nanoparticles from the as-deposited Au film. The results demonstrate that the average diameters and distributions of Au nanoparticles with and without the RTA process are 19.84 ± 5.96 nm (30.00%) and about 22.06 ± 9.00 nm (40.80%), respectively. It proves that the diameter size, distribution, and uniformity of Au-catalyzed In 2 Se 3 nanowires are reduced and improved via the RTA pre-treated. The systemic study could help to control the size distribution of other nanomaterials through tuning the annealing rate, temperatures of precursor, and growth substrate to control the size distribution of other nanomaterials. Graphical Abstract Rapid thermal annealing (RTA) process proved that it can uniform the size distribution of Au nanoparticles, and then it can be used to grow the high uniformity Au-catalyzed In 2 Se 3 nanowires via the vapor-liquid-solid (VLS) mechanism. Comparing with the general growth condition, the heating rate is slow, 0.1 °C/s, and the growth temperature is a relatively high growth temperature, > 650 °C. RTA pre-treated growth substrate can form smaller and uniform Au nanoparticles to react with the In 2 Se 3 vapor and produce the high uniformity In 2 Se 3 nanowires. The in situ annealing TEM is used to realize the effect of heating

  17. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  18. Growth mechanism of surface roughed platinum nanowires through electrodeposition current control and their electrochemical applications

    NASA Astrophysics Data System (ADS)

    Ruan, Dajiang

    The aim of this work is to investigate the effect of current density on the grain size and surface morphology of electrodeposited platinum nanowires and their applications. Platinum (Pt) nanowires were fabricated by a galvanostatic electrodeposition method in a porous anodic alumina oxide (AAO) template with different current densities. Both direct current and pulse current electrodeposition were used to synthesize the Pt nanowires. The grain size and surface morphology of the Pt nanowires were studied by field emission scanning electron microscopy (FE-SEM), transmission electron microcopy (TEM) and X-ray diffraction (XRD). The experimental results showed that the current density was the key factor to control the surface roughness. The surface of the Pt nanowires became rougher and the grain sizes were increased by increasing the current densities. From the experimental results, a growth mechanism of Pt nanowires based on progressive nucleation and crystallization was proposed in order to find out the relationship between the surface morphology and current density. The electrochemical properties and catalytic activities of these surface roughed Pt nanowires were investigated in the detection of H20 2 and for the methanol oxidation. Cyclic voltammograms of Pt nanowire modified electrodes were obtained using a potentiostat, which showed that rougher Pt nanowires have higher response and better activity than that of smooth nanowires. For H202 detection, the effect of scan rate and H202 concentration were studied and it was found that the peak current for hydrogen peroxide reduction became larger with the increasing of either scan rate or H202 concentration. It can be inferred that the process of electrocatalytic hydrogen peroxide reduction may be controlled by diffusion of hydrogen peroxide and the Pt nanowire modified glassy carbon electrode (GCE) is well suited for the detection of H202. From the relationship between the peak current and square root of scan rates

  19. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm andmore » 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.« less

  20. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  1. Plasma nitriding induced growth of Pt-nanowire arrays as high performance electrocatalysts for fuel cells

    NASA Astrophysics Data System (ADS)

    Du, Shangfeng; Lin, Kaijie; Malladi, Sairam K.; Lu, Yaxiang; Sun, Shuhui; Xu, Qiang; Steinberger-Wilckens, Robert; Dong, Hanshan

    2014-09-01

    In this work, we demonstrate an innovative approach, combing a novel active screen plasma (ASP) technique with green chemical synthesis, for a direct fabrication of uniform Pt nanowire arrays on large-area supports. The ASP treatment enables in-situ N-doping and surface modification to the support surface, significantly promoting the uniform growth of tiny Pt nuclei which directs the growth of ultrathin single-crystal Pt nanowire (2.5-3 nm in diameter) arrays, forming a three-dimensional (3D) nano-architecture. Pt nanowire arrays in-situ grown on the large-area gas diffusion layer (GDL) (5 cm2) can be directly used as the catalyst electrode in fuel cells. The unique design brings in an extremely thin electrocatalyst layer, facilitating the charge transfer and mass transfer properties, leading to over two times higher power density than the conventional Pt nanoparticle catalyst electrode in real fuel cell environment. Due to the similar challenges faced with other nanostructures and the high availability of ASP for other material surfaces, this work will provide valuable insights and guidance towards the development of other new nano-architectures for various practical applications.

  2. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition

    PubMed Central

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 107 Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. PACS 77.55.D; 61.46.Km; 78.40.Fy PMID:25114641

  3. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    PubMed

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  4. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  5. Ten-gram scale SiC@SiO2 nanowires: high-yield synthesis towards industrialization, in situ growth mechanism and their peculiar photoluminescence and electromagnetic wave absorption properties.

    PubMed

    Li, Z J; Yu, H Y; Song, G Y; Zhao, J; Zhang, H; Zhang, M; Meng, A L; Li, Q D

    2017-02-01

    SiC@SiO 2 nanowires, as a functional nanocomposite, have attracted widespread attention due to their fascinating performance and broad application prospect. However, the low-cost, high yield preparation of large-scale SiC@SiO 2 nanowires is still a bottleneck, which hinders their industrial application. Herein, a carbothermal reduction strategy has been developed to synthesize SiC@SiO 2 nanowires, which breaks through the handicap of the traditional growth pattern that uses the aid of a substrate. Systematic characterization results illustrate that the yield of the as-obtained products greatly depends on the heating rate, and ten-gram scale SiC@SiO 2 nanowires (∼27.2 g) composed of a cubic β-SiC core and homogeneous amorphous SiO 2 coating are achieved under the optimum process parameters. The in situ mechanisms of expansion-insertion-growth and inhibition of expansion-package-obstruction are proposed to rationally interpret the growth process of SiC@SiO 2 nanowires and the effect of various heating rates, respectively. Furthermore, the SiC@SiO 2 nanowires display violet-blue photoluminescence and electromagnetic wave absorption properties. This study not only provides some beneficial suggestions for the commercial production of SiC@SiO 2 nanowires, but also reveals promising applications of SiC@SiO 2 nanowires in the optical and electromagnetic shielding fields. Moreover, the developed novel in situ growth mechanism enriches the growth theory of one-dimension nanomaterials and offers inspiration for their industrial-scale production.

  6. Refractive index of erbium doped GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alajlouni, S.; Sun, Z. Y.; Li, J.

    2014-08-25

    GaN is an excellent host for erbium (Er) to provide optical emission in the technologically important as well as eye-safe 1540 nm wavelength window. Er doped GaN (GaN:Er) epilayers were synthesized on c-plane sapphire substrates using metal organic chemical vapor deposition. By employing a pulsed growth scheme, the crystalline quality of GaN:Er epilayers was significantly improved over those obtained by conventional growth method of continuous flow of reaction precursors. X-ray diffraction rocking curve linewidths of less than 300 arc sec were achieved for the GaN (0002) diffraction peak, which is comparable to the typical results of undoped high quality GaN epilayers andmore » represents a major improvement over previously reported results for GaN:Er. Spectroscopic ellipsometry was used to determine the refractive index of the GaN:Er epilayers in the 1540 nm wavelength window and a linear dependence on Er concentration was found. The observed refractive index increase with Er incorporation and the improved crystalline quality of the GaN:Er epilayers indicate that low loss GaN:Er optical waveguiding structures are feasible.« less

  7. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  8. Near Field Imaging of Gallium Nitride Nanowires for Characterization of Minority Carrier Diffusion

    DTIC Science & Technology

    2009-12-01

    diffusion length in nanowires is critical to potential applications in solar cells , spectroscopic sensing, and/or lasers and light emitting diodes (LED...technique has been successfully demonstrated with thin film solar cell materials [4, 5]. In these experiments, the diffusion length was measured using a...minority carrier diffusion length . This technique has been used in the near-field collection mode to image the diffusion of holes in n-type GaN

  9. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  10. Dislocation filtering in GaN nanostructures.

    PubMed

    Colby, Robert; Liang, Zhiwen; Wildeson, Isaac H; Ewoldt, David A; Sands, Timothy D; García, R Edwin; Stach, Eric A

    2010-05-12

    Dislocation filtering in GaN by selective area growth through a nanoporous template is examined both by transmission electron microscopy and numerical modeling. These nanorods grow epitaxially from the (0001)-oriented GaN underlayer through the approximately 100 nm thick template and naturally terminate with hexagonal pyramid-shaped caps. It is demonstrated that for a certain window of geometric parameters a threading dislocation growing within a GaN nanorod is likely to be excluded by the strong image forces of the nearby free surfaces. Approximately 3000 nanorods were examined in cross-section, including growth through 50 and 80 nm diameter pores. The very few threading dislocations not filtered by the template turn toward a free surface within the nanorod, exiting less than 50 nm past the base of the template. The potential active region for light-emitting diode devices based on these nanorods would have been entirely free of threading dislocations for all samples examined. A greater than 2 orders of magnitude reduction in threading dislocation density can be surmised from a data set of this size. A finite element-based implementation of the eigenstrain model was employed to corroborate the experimentally observed data and examine a larger range of potential nanorod geometries, providing a simple map of the different regimes of dislocation filtering for this class of GaN nanorods. These results indicate that nanostructured semiconductor materials are effective at eliminating deleterious extended defects, as necessary to enhance the optoelectronic performance and device lifetimes compared to conventional planar heterostructures.

  11. Nucleation and growth mechanism of self-catalyzed InAs nanowires on silicon

    NASA Astrophysics Data System (ADS)

    Gomes, U. P.; Ercolani, D.; Zannier, V.; David, J.; Gemmi, M.; Beltram, F.; Sorba, L.

    2016-06-01

    We report on the nucleation and growth mechanism of self-catalyzed InAs nanowires (NWs) grown on Si (111) substrates by chemical beam epitaxy. Careful choices of the growth parameters lead to In-rich conditions such that the InAs NWs nucleate from an In droplet and grow by the vapor-liquid-solid mechanism while sustaining an In droplet at the tip. As the growth progresses, new NWs continue to nucleate on the Si (111) surface causing a spread in the NW size distribution. The observed behavior in NW nucleation and growth is described within a suitable existing theoretical model allowing us to extract relevant growth parameters. We argue that these results provide useful guidelines to rationally control the growth of self-catalyzed InAs NWs for various applications.

  12. Stable Defects in Semiconductor Nanowires.

    PubMed

    Sanchez, A M; Gott, J A; Fonseka, H A; Zhang, Y; Liu, H; Beanland, R

    2018-05-09

    Semiconductor nanowires are commonly described as being defect-free due to their ability to expel mobile defects with long-range strain fields. Here, we describe previously undiscovered topologically protected line defects with null Burgers vector that, unlike dislocations, are stable in nanoscale crystals. We analyze the defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor-liquid-solid growth and subsequent vapor-solid shell growth. We use a form of the Burgers circuit method that can be applied to multiply twinned material without difficulty. Our observations show that the nanowire microstructure is very different from bulk material, with line defects either (a) trapped by locks or other defects, (b) arranged as dipoles or groups with a zero total Burgers vector, or (c) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material. The most common defect is the three-monolayer high twin facet with a zero Burgers vector. Studies of individual nanowires using cathodoluminescence show that optical emission is quenched in defective regions, showing that they act as strong nonradiative recombination centers.

  13. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  14. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  15. Growth and Physical Property Study of Single Nanowire (Diameter ~45 nm) of Half Doped Manganite

    DOE PAGES

    Datta, Subarna; Chandra, Sayan; Samanta, Sudeshna; ...

    2013-01-01

    We repormore » t here the growth and characterization of functional oxide nanowire of hole doped manganite of La 0.5 Sr 0.5 MnO 3 (LSMO). We also report four-probe electrical resistance measurement of a single nanowire of LSMO (diameter ~45 nm) using focused ion beam (FIB) fabricated electrodes. The wires are fabricated by hydrothermal method using autoclave at a temperature of 270 °C. The elemental analysis and physical property like electrical resistivity are studied at an individual nanowire level. The quantitative determination of Mn valency and elemental mapping of constituent elements are done by using Electron Energy Loss Spectroscopy (EELS) in the Transmission Electron Microscopy (TEM) mode. We address the important issue of whether as a result of size reduction the nanowires can retain the desired composition, structure, and physical properties. The nanowires used are found to have a ferromagnetic transition ( T C ) at around 325 K which is very close to the bulk value of around 330 K found in single crystal of the same composition. It is confirmed that the functional behavior is likely to be retained even after size reduction of the nanowires to a diameter of 45 nm. The electrical resistivity shows insulating behavior within the measured temperature range which is similar to the bulk system.« less

  16. Vacancy-hydrogen complexes in ammonothermal GaN

    NASA Astrophysics Data System (ADS)

    Tuomisto, F.; Kuittinen, T.; Zając, M.; Doradziński, R.; Wasik, D.

    2014-10-01

    We have applied positron annihilation spectroscopy to study in-grown vacancy defects in bulk GaN crystals grown by the ammonothermal method. We observe a high concentration of Ga vacancy related defects in n-type samples with varying free electron and oxygen content. The positron lifetimes found in these samples suggest that the Ga vacancies are complexed with hydrogen impurities. The number of hydrogen atoms in each vacancy decreases with increasing free electron concentration and oxygen and hydrogen content. The local vibrational modes observed in infrared absorption support this conclusion. Growth of high-quality ammonothermal GaN single crystals with varying electron concentrations. Identification of defect complexes containing a Ga vacancy and 1 or more hydrogen atoms, and possibly O. These vacancy complexes provide a likely explanation for electrical compensation in ammonothermal GaN.

  17. Kinetic effects in InP nanowire growth and stacking fault formation: the role of interface roughening.

    PubMed

    Chiaramonte, Thalita; Tizei, Luiz H G; Ugarte, Daniel; Cotta, Mônica A

    2011-05-11

    InP nanowire polytypic growth was thoroughly studied using electron microscopy techniques as a function of the In precursor flow. The dominant InP crystal structure is wurtzite, and growth parameters determine the density of stacking faults (SF) and zinc blende segments along the nanowires (NWs). Our results show that SF formation in InP NWs cannot be univocally attributed to the droplet supersaturation, if we assume this variable to be proportional to the ex situ In atomic concentration at the catalyst particle. An imbalance between this concentration and the axial growth rate was detected for growth conditions associated with larger SF densities along the NWs, suggesting a different route of precursor incorporation at the triple phase line in that case. The formation of SFs can be further enhanced by varying the In supply during growth and is suppressed for small diameter NWs grown under the same conditions. We attribute the observed behaviors to kinetically driven roughening of the semiconductor/metal interface. The consequent deformation of the triple phase line increases the probability of a phase change at the growth interface in an effort to reach local minima of system interface and surface energy.

  18. Fermi Level Control of Point Defects During Growth of Mg-Doped GaN

    NASA Astrophysics Data System (ADS)

    Bryan, Zachary; Hoffmann, Marc; Tweedie, James; Kirste, Ronny; Callsen, Gordon; Bryan, Isaac; Rice, Anthony; Bobea, Milena; Mita, Seiji; Xie, Jinqiao; Sitar, Zlatko; Collazo, Ramón

    2013-05-01

    In this study, Fermi level control of point defects during metalorganic chemical vapor deposition (MOCVD) of Mg-doped GaN has been demonstrated by above-bandgap illumination. Resistivity and photoluminescence (PL) measurements are used to investigate the Mg dopant activation of samples with Mg concentration of 2 × 1019 cm-3 grown with and without exposure to ultraviolet (UV) illumination. Samples grown under UV illumination have five orders of magnitude lower resistivity values compared with typical unannealed GaN:Mg samples. The PL spectra of samples grown with UV exposure are similar to the spectra of those grown without UV exposure that were subsequently annealed, indicating a different incorporation of compensating defects during growth. Based on PL and resistivity measurements we show that Fermi level control of point defects during growth of III-nitrides is feasible.

  19. Monolithic Flexible Vertical GaN Light-Emitting Diodes for a Transparent Wireless Brain Optical Stimulator.

    PubMed

    Lee, Han Eol; Choi, JeHyuk; Lee, Seung Hyun; Jeong, Minju; Shin, Jung Ho; Joe, Daniel J; Kim, DoHyun; Kim, Chang Wan; Park, Jung Hwan; Lee, Jae Hee; Kim, Daesoo; Shin, Chan-Soo; Lee, Keon Jae

    2018-05-18

    Flexible inorganic-based micro light-emitting diodes (µLEDs) are emerging as a significant technology for flexible displays, which is an important area for bilateral visual communication in the upcoming Internet of Things era. Conventional flexible lateral µLEDs have been investigated by several researchers, but still have significant issues of power consumption, thermal stability, lifetime, and light-extraction efficiency on plastics. Here, high-performance flexible vertical GaN light-emitting diodes (LEDs) are demonstrated by silver nanowire networks and monolithic fabrication. Transparent, ultrathin GaN LED arrays adhere to a human fingernail and stably glow without any mechanical deformation. Experimental studies provide outstanding characteristics of the flexible vertical μLEDs (f-VLEDs) with high optical power (30 mW mm -2 ), long lifetime (≈12 years), and good thermal/mechanical stability (100 000 bending/unbending cycles). The wireless light-emitting system on the human skin is successfully realized by transferring the electrical power f-VLED. Finally, the high-density GaN f-VLED arrays are inserted onto a living mouse cortex and operated without significant histological damage of brain. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Study of 3D-growth conditions for selective area MOVPE of high aspect ratio GaN fins with non-polar vertical sidewalls

    NASA Astrophysics Data System (ADS)

    Hartmann, Jana; Steib, Frederik; Zhou, Hao; Ledig, Johannes; Nicolai, Lars; Fündling, Sönke; Schimpke, Tilman; Avramescu, Adrian; Varghese, Tansen; Trampert, Achim; Straßburg, Martin; Lugauer, Hans-Jürgen; Wehmann, Hergo-Heinrich; Waag, Andreas

    2017-10-01

    GaN fins are 3D architectures elongated in one direction parallel to the substrate surface. They have the geometry of walls with a large height to width ratio as well as small footprints. When appropriate symmetry directions of the GaN buffer are used, the sidewalls are formed by non-polar {1 1 -2 0} planes, making the fins particularly suitable for many device applications like LEDs, FETs, lasers, sensors or waveguides. The influence of growth parameters like temperature, pressure, V/III ratio and total precursor flow on the fin structures is analyzed. Based on these results, a 2-temperature-step-growth was developed, leading to fins with smooth side and top facets, fast vertical growth rates and good homogeneity along their length as well as over different mask patterns. For the core-shell growth of fin LED heterostructures, the 2-temperature-step-growth shows much smoother sidewalls and less crystal defects in the InGaN QW and p-GaN shell compared to structures with cores grown in just one step. Electroluminescence spectra of the 2-temperature-step-grown fin LED are demonstrated.

  1. Continuous-Flow MOVPE of Ga-Polar GaN Column Arrays and Core-Shell LED Structures

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Mohajerani, Matin Sadat; Ledig, Johannes; Wehmann, Hergo-Heinrich; Mandl, Martin; Strassburg, Martin; Steegmüller, Ulrich; Jahn, Uwe; Lähnemann, Jonas; Riechert, Henning; Griffiths, Ian; Cherns, David; Waag, Andreas

    2013-06-01

    Arrays of dislocation free uniform Ga-polar GaN columns have been realized on patterned SiOx/GaN/sapphire templates by metal organic vapor phase epitaxy using a continuous growth mode. The key parameters and the physical principles of growth of Ga-polar GaN three-dimensional columns are identified, and their potential for manipulating the growth process is discussed. High aspect ratio columns have been achieved using silane during the growth, leading to n-type columns. The vertical growth rate increases with increasing silane flow. In a core-shell columnar LED structure, the shells of InGaN/GaN multi quantum wells and p-GaN have been realized on a core of n-doped GaN column. Cathodoluminescence gives insight into the inner structure of these core-shell LED structures.

  2. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  3. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  4. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  5. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    NASA Astrophysics Data System (ADS)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  6. Growth and optical investigations of high quality individual CdTe/(Cd,Mg)Te core/shell nanowires.

    PubMed

    Wojnar, P; Płachta, J; Kret, S; Kaleta, A; Zaleszczyk, W; Szymura, M; Wiater, M; Baczewski, L T; Pietruczik, A; Karczewski, G; Wojtowicz, T; Kossut, J

    2017-01-27

    CdTe nanowires with the average diameter of only 40 nm coated with (Cd,Mg)Te shells are grown using Au-catalyzed vapor-liquid-solid growth mechanism in a system for molecular beam epitaxy. High optical quality of individual nanowires is revealed by means of low temperature cathodoluminescence and micro-luminescence. It is found that, the optical emission spectrum consists mostly of the near band edge emission without any significant contribution of defect related luminescence. Moreover, the importance of surface passivation with (Cd,Mg)Te coating shells is demonstrated.

  7. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  8. Understanding the true shape of Au-catalyzed GaAs nanowires.

    PubMed

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  9. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  10. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  11. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  12. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  13. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  14. Nanowire Photovoltaic Devices

    NASA Technical Reports Server (NTRS)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  15. Nanowire sensors and arrays for chemical/biomolecule detection

    NASA Technical Reports Server (NTRS)

    Yun, Minhee; Lee, Choonsup; Vasquez, Richard P.; Ramanathan, K.; Bangar, M. A.; Chen, W.; Mulchandan, A.; Myung, N. V.

    2005-01-01

    We report electrochemical growth of single nanowire based sensors using e-beam patterned electrolyte channels, potentially enabling the controlled fabrication of individually addressable high density arrays. The electrodeposition technique results in nanowires with controlled dimensions, positions, alignments, and chemical compositions. Using this technique, we have fabricated single palladium nanowires with diameters ranging between 75 nm and 300 nm and conducting polymer nanowires (polypyrrole and polyaniline) with diameters between 100 nm and 200 nm. Using these single nanowires, we have successfully demonstrated gas sensing with Pd nanowires and pH sensing with polypirrole nanowires.

  16. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  17. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  18. Predicting the growth of S i3N4 nanowires by phase-equilibrium-dominated vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Zhang, Yongliang; Cai, Jing; Yang, Lijun; Wu, Qiang; Wang, Xizhang; Hu, Zheng

    2017-09-01

    Nanomaterial synthesis is experiencing a profound evolution from empirical science ("cook-and-look") to prediction and design, which depends on the deep insight into the growth mechanism. Herein, we report a generalized prediction of the growth of S i3N4 nanowires by nitriding F e28S i72 alloy particles across different phase regions based on our finding of the phase-equilibrium-dominated vapor-liquid-solid (PED-VLS) mechanism. All the predictions about the growth of S i3N4 nanowires, and the associated evolutions of lattice parameters and geometries of the coexisting Fe -Si alloy phases, are experimentally confirmed quantitatively. This progress corroborates the general validity of the PED-VLS mechanism, which could be applied to the design and controllable synthesis of various one-dimensional nanomaterials.

  19. Edge enhanced growth induced shape transition in the formation of GaN nanowall network

    NASA Astrophysics Data System (ADS)

    Nayak, Sanjay; Kumar, Rajendra; Shivaprasad, S. M.

    2018-01-01

    We address the mechanism of early stages of growth and shape transition of the unique nanowall network (NwN) of GaN by experimentally monitoring its morphological evolution and complementing it by first-principles calculations. Using atomic force and scanning electron microscopy, we observe the formation of oval shaped islands at very early stages of the growth which later transformed into tetrahedron shaped (3 faced pyramid) islands. These tetrahedron shaped islands further grow anisotropically along their edges of the (20 2 ¯ 1) facets to form the wall-like structure as the growth proceeds. The mechanism of this crystal growth is discussed in light of surface free energies of the different surfaces, adsorption energy, and diffusion barrier of Ga ad-atoms on the (20 2 ¯ 1) facets. By first-principles calculations, we find that the diffusion barrier of ad-atoms reduces with decreasing width of facets and is responsible for the anisotropic growth leading to the formation of NwN. This study suggests that formation of NwN is an archetype example of structure dependent attachment kinetic instability induced shape transition in thin film growth.

  20. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  1. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  2. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    NASA Astrophysics Data System (ADS)

    Bai, J.; Gong, Y.; Xing, K.; Yu, X.; Wang, T.

    2013-03-01

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 μm occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilation and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.

  3. Epitaxial-Growth-Induced Junction Welding of Silver Nanowire Network Electrodes.

    PubMed

    Kang, Hyungseok; Song, Sol-Ji; Sul, Young Eun; An, Byeong-Seon; Yin, Zhenxing; Choi, Yongsuk; Pu, Lyongsun; Yang, Cheol-Woong; Kim, Youn Sang; Cho, Sung Min; Kim, Jung-Gu; Cho, Jeong Ho

    2018-05-22

    In this study, we developed a roll-to-roll Ag electroplating process for metallic nanowire electrodes using a galvanostatic mode. Electroplating is a low-cost and facile method for deposition of metal onto a target surface with precise control of both the composition and the thickness. Metallic nanowire networks [silver nanowires (AgNWs) and copper nanowires (CuNWs)] coated onto a polyethylene terephthalate (PET) film were immersed directly in an electroplating bath containing AgNO 3 . Solvated silver ions (Ag + ions) were deposited onto the nanowire surface through application of a constant current via an external circuit between the nanowire networks (cathode) and a Ag plate (anode). The amount of electroplated Ag was systematically controlled by changing both the applied current density and the electroplating time, which enabled precise control of the sheet resistance and optical transmittance of the metallic nanowire networks. The optimized Ag-electroplated AgNW (Ag-AgNW) films exhibited a sheet resistance of ∼19 Ω/sq at an optical transmittance of 90% (550 nm). A transmission electron microscopy study confirmed that Ag grew epitaxially on the AgNW surface, but a polycrystalline Ag structure was formed on the CuNW surface. The Ag-electroplated metallic nanowire electrodes were successfully applied to various electronic devices such as organic light-emitting diodes, triboelectric nanogenerators, and a resistive touch panel. The proposed roll-to-roll Ag electroplating process provides a simple, low-cost, and scalable method for the fabrication of enhanced transparent conductive electrode materials for next-generation electronic devices.

  4. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  5. High density and taper-free boron doped Si{sub 1−x}Ge{sub x} nanowire via two-step growth process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Periwal, Priyanka; Salem, Bassem; Bassani, Franck

    2014-07-01

    The authors study Au catalyzed chemical vapor growth of Si{sub 1−x}Ge{sub x} alloyed nanowires in the presence of diborane, serving as a dopant precursor. Our experiments reveal that introduction of diborane has a significant effect on doping and morphology. Boron exposure poisons the Au catalyst surface, suppresses catalyst activity, and causes significantly tapered wires, as a result of conformal growth. The authors develop here a two-step method to obtain high density and taper-free boron doped Si{sub 1−x}Ge{sub x} alloy nanowires. The two-step process consists of: (1) growth of a small undoped Si{sub 1−x}Ge{sub x} section and (2) introduction of diboranemore » to form a boron doped Si{sub 1−x}Ge{sub x} section. The catalyst preparation step remarkably influences wire yield, quality and morphology. The authors show that dopant-ratio influences wire resistivity and morphology. Resistivity for high boron doped Si{sub 1−x}Ge{sub x} nanowire is 6 mΩ-cm. Four probe measurements show that it is possible to dope Si{sub 1−x}Ge{sub x} alloy nanowires with diborane.« less

  6. Two steps hydrothermal growth and characterisations of BaTiO3 films composed of nanowires

    NASA Astrophysics Data System (ADS)

    Zawawi, Che Zaheerah Najeehah Che Mohd; Salleh, Shahril; Oon Jew, Lee; Tufail Chaudhary, Kashif; Helmi, Mohamad; Safwan Aziz, Muhammad; Haider, Zuhaib; Ali, Jalil

    2018-05-01

    Barium titanate (BaTiO3) films composed of nanowires have gained considerable research interest due to their lead-free composition and strong energy conversion efficiency. BaTiO3 films can be developed with a simple two steps hydrothermal reactions, which are low cost effective. In this research, BaTiO3 films were fabricated on titanium foil through two steps hydrothermal method namely, the growth of TiO2 and followed by BaTiO3 films. The structural evolutions and the dielectric properties of the films were investigated as well. The structural evolutions of titanium dioxide (TiO2) and BaTiO3 nanowires were characterized using X-ray diffraction and scanning electron microscopy. First step of hydrothermal reaction, TiO2 nanowires were prepared in varied temperatures of 160 °C, 200 °C and 250 °C respectively. Second step of hydrothermal reaction was performed to produce a layer of BaTiO3 films.

  7. MOCVD growth of vertically aligned InGaN nanowires

    NASA Astrophysics Data System (ADS)

    Kuo, H. C.; Su Oh, Tae; Ku, P.-C.

    2013-05-01

    In this work, we report the growth of vertically aligned bulk InGaN nanowires (NWs) on r-plane sapphire substrate by metal organic chemical vapor deposition (MOCVD). Through the optimization process of growth conditions, such as growth temperature and pressure, we obtained high density InGaN NWs consisting of one (0001) polar- and two equivalent {1101} semi-polar planes. We have shown the highest InGaN NWs wire density of 8×108 cm-2,with an average diameter of 300 nm and a length of 2 μm. From results of photoluminescence (PL) at 30 K and 300 K, we observed the intense and broad emission peak from InGaN NWs at around 595 nm, and confirmed that the luminescence could be tuned from 580 nm to 660 nm by controlling the indium flow (TMIn) rate. Our results indicate that MOCVD-grown InGaN NWs can be effective absorbers of the blue-green range of solar spectrum and may be one of the good candidates for high efficiency photovoltaic devices targeting at blue-green photons.

  8. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  9. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  10. Catalyst- and template-free low-temperature in situ growth of n-type CdS nanowire on p-type CdTe film and p-n heterojunction properties

    PubMed Central

    Ma, Ligang; Liu, Wenchao; Cai, Hongling; Zhang, Fengming; Wu, Xiaoshan

    2016-01-01

    CdS is an important semiconductor used in optoelectronic devices. Simple techniques for growing CdS nanostructures are thus essential at a low cost. This study presents a novel method for growing single-crystal n-type CdS nanowires on p-type CdTe films by thermal annealing in an H2S/N2 mixed gas flow, which does not require the help of a catalyst or template. The formation process and growth mechanism of the nanowires are investigated. Well-dispersed whiskerlike CdS nanostructures are obtained at an appropriate annealing temperature and duration. We suggest that the stress-driving mechanism of nanowire formation may contribute to the growth of CdS nanowires, and that the evaporation of Te through the boundaries of the CdS grain seeds plays an important role in the sustainable growth of nanowire. In addition, CdS/CdTe heterojunction device is fabricated on Mo glass. The I-V characteristic of the heterojunction in dark shows typical rectifying diode behavior. The turn-on voltage can be regulated by annealing conditions. Meanwhile, the obvious photovoltaic effect is obtained on the in situ growth heterojunction prepared at low annealing temperature. Hence, this is a new fabricated method for CdTe-based materials in the field of energy conversion. PMID:27958306

  11. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patsha, Avinash, E-mail: avinash.phy@gmail.com, E-mail: dhara@igcar.gov.in; Dhara, Sandip; Tyagi, A. K.

    The localized effect of impurities in single GaN nanowires in the sub-diffraction limit is reported using the study of lattice vibrational modes in the evanescent field of Au nanoparticle assisted tip enhanced Raman spectroscopy (TERS). GaN nanowires with the O impurity and the Mg dopants were grown by the chemical vapor deposition technique in the catalyst assisted vapor-liquid-solid process. Symmetry allowed Raman modes of wurtzite GaN are observed for undoped and doped nanowires. Unusually very strong intensity of the non-zone center zone boundary mode is observed for the TERS studies of both the undoped and the Mg doped GaN singlemore » nanowires. Surface optical mode of A{sub 1} symmetry is also observed for both the undoped and the Mg doped GaN samples. A strong coupling of longitudinal optical (LO) phonons with free electrons, however, is reported only in the O rich single nanowires with the asymmetric A{sub 1}(LO) mode. Study of the local vibration mode shows the presence of Mg as dopant in the single GaN nanowires.« less

  12. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  13. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  14. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  15. EFFECT OF PRE-ANNEALING TEMPERATURE ON THE GROWTH OF ALIGNED α-Fe2O3 NANOWIRES VIA A TWO-STEP THERMAL OXIDATION

    NASA Astrophysics Data System (ADS)

    Rashid, Norhana Mohamed; Kishi, Naoki; Soga, Tetsuo

    2016-03-01

    Pre-annealing as part of a two-step thermal oxidation process has a significant effect on the growth of hematite (α-Fe2O3) nanowires on Fe foil. High-density aligned nanowires were obtained on iron foils pre-annealed at 300∘C under a dry air flow for 30min. The X-ray diffraction (XRD) patterns indicate that the nanowires are transformed from the small α-Fe2O3 grains and uniquely grow in the (110) direction. The formation of a high-density of small grains by pre-annealing improved the alignment and density of the α-Fe2O3 nanowires.

  16. CuO nanowire/microflower/nanowire modified Cu electrode with enhanced electrochemical performance for non-enzymatic glucose sensing.

    PubMed

    Li, Changli; Yamahara, Hiroyasu; Lee, Yaerim; Tabata, Hitoshi; Delaunay, Jean-Jacques

    2015-07-31

    CuO nanowire/microflower structure on Cu foil is synthesized by annealing a Cu(OH)2 nanowire/CuO microflower structure at 250 °C in air. The nanowire/microflower structure with its large surface area leads to an efficient catalysis and charge transfer in glucose detection, achieving a high sensitivity of 1943 μA mM(-1) cm(-2), a wide linear range up to 4 mM and a low detection limit of 4 μM for amperometric glucose sensing in alkaline solution. With a second consecutive growth of CuO nanowires on the microflowers, the sensitivity of the obtained CuO nanowire/microflower/nanowire structure further increases to 2424 μA mM(-1) cm(-2), benefiting from an increased number of electrochemically active sites. The enhanced electrocatalytic performance of the CuO nanowire/microflower/nanowire electrode compared to the CuO nanowire/microflower electrode, CuO nanowire electrode and CuxO film electrode provides evidence for the significant role of available surface area for electrocatalysis. The rational combination of CuO nanowire and microflower nanostructures into a nanowire supporting microflower branching nanowires structure makes it a promising composite nanostructure for use in CuO based electrochemical sensors with promising analytical properties.

  17. The role of surface passivation in controlling Ge nanowire faceting

    DOE PAGES

    Gamalski, A. D.; Tersoff, J.; Kodambaka, S.; ...

    2015-11-05

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. Furthermore, these results illustrate the essential roles of themore » precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, <111>-oriented nanowires.« less

  18. The Role of Surface Passivation in Controlling Ge Nanowire Faceting.

    PubMed

    Gamalski, A D; Tersoff, J; Kodambaka, S; Zakharov, D N; Ross, F M; Stach, E A

    2015-12-09

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results illustrate the essential roles of the precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, ⟨111⟩-oriented nanowires.

  19. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  20. Model of step propagation and step bunching at the sidewalls of nanowires

    NASA Astrophysics Data System (ADS)

    Filimonov, Sergey N.; Hervieu, Yuri Yu.

    2015-10-01

    Radial growth of vertically aligned nanowires involves formation and propagation of monoatomic steps at atomically smooth nanowire sidewalls. Here we study the step dynamics with a step flow model taking into account the presence of a strong sink for adatoms at top of the nanowire and adatom exchange between the nanowire sidewall and surrounding substrate surface. Analytical expressions for velocities of steps propagating from the nanowire base to the nanowire top are obtained. It is shown that the step approaching the nanowire top will slow down if the top nanowire facet is a stronger sink for adatoms than the sidewall step. This might trigger bunching of the steps at the sidewall resulting in development of the pencil-like shape of nanowires such as observed in, e.g., the Au-assisted MBE growth of InAs.