Sample records for gan substrates grown

  1. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  2. X-ray probe of GaN thin films grown on InGaN compliant substrates

    NASA Astrophysics Data System (ADS)

    Xu, Xiaoqing; Li, Yang; Liu, Jianming; Wei, Hongyuan; Liu, Xianglin; Yang, Shaoyan; Wang, Zhanguo; Wang, Huanhua

    2013-04-01

    GaN thin films grown on InGaN compliant substrates were characterized by several X-ray technologies: X-ray reciprocal space mapping (RSM), grazing incidence X-ray diffraction (GIXRD), and X-ray photoemission spectrum (XPS). Narrow Lorentz broadening and stress free state were observed for GaN grown on InGaN compliant substrate, while mosaic structure and large tensile stress were observed at the presence of residual indium atoms. RSM disclosed the mosaicity, and the GIXRD was conducted to investigate the depth dependences of crystal quality and strain states. XPS depth profile of indium contents indicated that residual indium atoms deteriorated the crystal quality of GaN not only by producing lattice mismatch at the interface of InGaN and GaN but also by diffusing into GaN overlayers. Accordingly, two solutions were proposed to improve the efficiency of self-patterned lateral epitaxial overgrowth method. This research goes a further step in resolving the urgent substrate problem in GaN fabrication.

  3. Properties of GaN grown on sapphire substrates

    NASA Technical Reports Server (NTRS)

    Crouch, R. K.; Debnam, W. J.; Fripp, A. L.

    1978-01-01

    Epitaxial growth of GaN on sapphire substrates using an open-tube growth furnace has been carried out to study the effects of substrate orientation and transfer gas upon the properties of the layers. It has been found that for the (0001) substrates, surface appearance was virtually independent of carrier gas and of doping levels. For the (1(-1)02) substrates surface faceting was greatly reduced when He was used as a transfer gas as opposed to H2. Faceting was also reduced when the GaN was doped with Zn, and the best surfaces for the (1(-1)02) substrates were obtained in a Zn-doped run using He as the transfer gas. The best sample in terms of electrical properties for the (1(-1)02) substrate had a mobility greater than 400 sq cm/V per sec and a carrier concentration of about 10 to the 17th per cu cm. This sample was undoped and used He as the transfer gas. The best (0001) sample was also grown undoped with He as the transfer gas and had a mobility of 300 sq cm/V per sec and a carrier concentration of 1 x 10 to the 18th per cu cm.

  4. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  5. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  6. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  7. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  8. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  9. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  10. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  11. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  12. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  13. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  14. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  15. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  16. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  17. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  18. Curvature and bow of bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foronda, Humberto M.; Young, Erin C.; Robertson, Christian A.

    2016-07-21

    We investigate the bow of free standing (0001) oriented hydride vapor phase epitaxy grown GaN substrates and demonstrate that their curvature is consistent with a compressive to tensile stress gradient (bottom to top) present in the substrates. The origin of the stress gradient and the curvature is attributed to the correlated inclination of edge threading dislocation (TD) lines away from the [0001] direction. A model is proposed and a relation is derived for bulk GaN substrate curvature dependence on the inclination angle and the density of TDs. The model is used to analyze the curvature for commercially available GaN substratesmore » as determined by high resolution x-ray diffraction. The results show a close correlation between the experimentally determined parameters and those predicted from theoretical model.« less

  19. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  20. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  1. Substrate temperature influence on the properties of GaN thin films grown by hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Gungor, Neşe; Haider, Ali

    2016-01-15

    Gallium nitride films were grown by hollow cathode plasma-assisted atomic layer deposition using triethylgallium and N{sub 2}/H{sub 2} plasma. An optimized recipe for GaN film was developed, and the effect of substrate temperature was studied in both self-limiting growth window and thermal decomposition-limited growth region. With increased substrate temperature, film crystallinity improved, and the optical band edge decreased from 3.60 to 3.52 eV. The refractive index and reflectivity in Reststrahlen band increased with the substrate temperature. Compressive strain is observed for both samples, and the surface roughness is observed to increase with the substrate temperature. Despite these temperature dependent material properties,more » the chemical composition, E{sub 1}(TO), phonon position, and crystalline phases present in the GaN film were relatively independent from growth temperature.« less

  2. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  3. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  4. Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate

    PubMed Central

    Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan

    2014-01-01

    GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601

  5. Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties

    DTIC Science & Technology

    2010-04-01

    observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b

  6. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  7. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  8. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  9. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  10. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  11. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, andmore » a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.« less

  12. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  13. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  14. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q.; Takahashi, T.; Matsuhata, H.

    2013-12-02

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayersmore » grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.« less

  15. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shen, X. Q.; Takahashi, T.; Rong, X.; Chen, G.; Wang, X. Q.; Shen, B.; Matsuhata, H.; Ide, T.; Shimizu, M.

    2013-12-01

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayers grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.

  16. Transmission electron microscopy study of microstructural properties and dislocation characterization in the GaN film grown on the cone-shaped patterned Al2O3 substrate.

    PubMed

    Park, Jung Sik; Yang, Jun-Mo; Park, Kyung Jin; Park, Yun Chang; Yoo, Jung Ho; Jeong, Chil Seong; Park, Jucheol; He, Yinsheng; Shin, Keesam

    2014-02-01

    Growing a GaN film on a patterned Al2O3 substrate is one of the methods of reducing threading dislocations (TDs), which can significantly deteriorate the performance of GaN-based LEDs. In this study, the microstructural details of the GaN film grown on a cone-shaped patterned Al2O3 substrate were investigated using high-resolution transmission electron microscopy and weak-beam dark-field techniques. Various defects such as misfit dislocations (MDs), recrystallized GaN (R-GaN) islands and nano-voids were observed on the patterned Al2O3 surfaces, i.e. the flat surface (FS), the inclined surface (IS) and the top surface (TS), respectively. Especially, the crystallographic orientation of R-GaN between the GaN film and the inclined Al2O3 substrate was identified as $[\\overline 1 2\\overline 1 0]_{{\\rm GaN}} \\hbox{//}[\\overline 1 101]_{{\\rm R - GaN} \\,{\\rm on}\\,{\\rm IS}} \\hbox{//}[\\overline 1 100]_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $, $(\\overline 1 012)_{{\\rm GaN}} \\hbox{//}(1\\overline 1 02)_{{\\rm R - Ga}\\,{\\rm Non}\\,{\\rm IS}} \\hbox{//}(\\overline {11} 26)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $. In addition, a rotation by 9° between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0002)_{{\\rm GaN}} $ and between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0006)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $ was found to reduce the lattice mismatch between the GaN film and the Al2O3 substrate. Many TDs in the GaN film were observed on the FS and TS of Al2O3. However, few TDs were observed on the IS. Most of the TDs generated from the FS of Al2O3 were bent to the inclined facet rather than propagating to the GaN surface, resulting in a reduction in the dislocation density. Most of the TDs generated from the TS of Al2O3 were characterized as edge dislocations.

  17. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  18. Characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Ikai; Pang, Wen-Yuan; Hsu, Yu-Chi

    2013-06-15

    The characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy on LiAlO{sub 2} substrate was studied by cathodoluminescence and photoluminescence measurements. We demonstrated that the cathodoluminescence from oblique semi-polar surfaces of mushroom-shaped GaN was much brighter than that from top polar surface due to the reduction of polarization field on the oblique semi-polar surfaces. It implies that the oblique semi-polar surface is superior for the light-emitting surface of wurtzite nano-devices.

  19. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  20. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  1. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  2. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  3. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  4. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  5. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    NASA Astrophysics Data System (ADS)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  6. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  7. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  8. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  9. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  10. Electrical and structural properties of (Pd/Au) Schottky contact to as grown and rapid thermally annealed GaN grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nirwal, Varun Singh, E-mail: varun.nirwal30@gmail.com; Singh, Joginder; Gautam, Khyati

    2016-05-06

    We studied effect of thermally annealed GaN surface on the electrical and structural properties of (Pd/Au) Schottky contact to Ga-polar GaN grown by molecular beam epitaxy on Si substrate. Current voltage (I-V) measurement was used to study electrical properties while X-ray diffraction (XRD) measurement was used to study structural properties. The Schottky barrier height calculated using I-V characteristics was 0.59 eV for (Pd/Au) Schottky contact on as grown GaN, which increased to 0.73 eV for the Schottky contact fabricated on 700 °C annealed GaN film. The reverse bias leakage current at -1 V was also significantly reduced from 6.42×10{sup −5} Amore » to 7.31×10{sup −7} A after annealing. The value of series resistance (Rs) was extracted from Cheung method and the value of R{sub s} decreased from 373 Ω to 172 Ω after annealing. XRD results revealed the formation of gallide phases at the interface of (Pd/Au) and GaN for annealed sample, which could be the reason for improvement in the electrical properties of Schottky contact after annealing.« less

  11. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  12. Study on the structural, optical, and electrical properties of the yellow light-emitting diode grown on free-standing (0001) GaN substrate

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, GaN-based yellow light-emitting diodes (LEDs) were homoepitaxially grown on free-standing (0001) GaN substrates by metal-organic chemical vapor deposition. X-ray diffraction (XRD), photoluminescence (PL), and electroluminescence (EL) measurements were conducted to investigate the structural, optical, and electrical properties of the yellow LED. The XRD measurement results showed that the InGaN/GaN multiple quantum wells (MQWs) in the LED structure have good periodicity because the distinct MQWs related higher order satellite peaks can be clearly observed from the profile of 2θ-ω XRD scan. The low temperature (10 K) and room temperature PL measurement results yield an internal quantum efficiency of 16% for the yellow LED. The EL spectra of the yellow LED present well Gaussian distribution with relatively low linewidth (47-55 nm), indicating the homogeneous In-content in the InGaN quantum well layers in the yellow LED structure. It is believed that this work will aid in the future development of GaN on GaN LEDs with long emission wavelength.

  13. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  14. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  15. Optical properties of InGaN grown by MOCVD on sapphire and on bulk GaN

    NASA Astrophysics Data System (ADS)

    Osinski, Marek; Eliseev, Petr G.; Lee, Jinhyun; Smagley, Vladimir A.; Sugahara, Tamoya; Sakai, Shiro

    1999-11-01

    Experimental data on photoluminescence of various bulk and quantum-well epitaxial InGaN/GaN structures grown by MOCVD are interpreted in terms of a band-tail model of inhomogeneously broadened radiative recombination. The anomalous temperature-induced blue spectral is shown to result from band-tail recombination under non-degenerate conditions. Significant differences are observed between epilayers grown on sapphire substrates and on GaN substrates prepared by the sublimination method, with no apparent evidence of band tails in homoepitaxial structures, indicating their higher crystalline quality.

  16. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  17. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  18. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liliental-Weber, Zuzanna

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  19. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE PAGES

    Liliental-Weber, Zuzanna

    2014-09-08

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  20. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  1. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  2. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    NASA Astrophysics Data System (ADS)

    Freitas, Jaime A., Jr.; Feigelson, Boris N.; Anderson, Travis J.

    2013-11-01

    Detailed spectrometry and optical spectroscopy studies carried out on GaN crystals grown in solution detect and identify Mg as the dominant shallow acceptor. Selective etching of crystals with higher Mg levels than that of the donor concentration background indicates that Mg acceptors incorporate preferentially in the N-polar face. Electrical transport measurements verified an efficient incorporation and activation of the Mg acceptors. These results suggest that this growth method has the potential to produce p-type doped epitaxial layers or p-type substrates characterized by high hole concentration and low defect density.

  3. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  4. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  5. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  6. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  7. Characterization of 380nm UV-LEDs grown on free-standing GaN by atmospheric-pressure metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shieh, C. Y.; Li, Z. Y.; Kuo, H. C.; Chang, J. Y.; Chi, G. C.

    2014-03-01

    We reported the defects and optical characterizations of the ultraviolet light-emitting diodes grown on free-standing GaN substrate (FS-GaN) and sapphire. Cross-sectional transmission electron microscopy (TEM) images showed that the total defect densities of grown UV LEDs on FS-GaN and sapphire including edge, screw and mixed type were 3.6×106 cm-2 and 5.5×108 cm-2. When substrate of UV LEDs was changed from sapphire to FS-GaN, it can be clearly found that the crystallography of GaN epilayers was drastically different from that GaN epilayers on sapphire. Besides, the microstructures or indium clustering can be not observed at UV LEDs on FS-GaN from TEM measurement. The internal quantum efficiency of UVLEDs on FS-GaN and sapphire were 34.8 % and 39.4 % respectively, which attributed to indium clustering in multi-layers quantum wells (MQWs) of UV LEDs on sapphire. The relationship between indiumclustering and efficiency droop were investigated by temperature-dependent electroluminescence (TDEL) measurements.

  8. Site-controlled crystalline InN growth from the V-pits of a GaN substrate

    NASA Astrophysics Data System (ADS)

    Kuo, Chien-Ting; Hsu, Lung-Hsing; Lai, Yung-Yu; Cheng, Shan-Yun; Kuo, Hao-Chung; Lin, Chien-Chung; Cheng, Yuh-Jen

    2017-05-01

    A site-controlled crystalline InN growth from the V-pits of a GaN substrate was investigated. The V- pits were fabricated by epitaxial lateral growth of GaN over SiO2 disks patterned on a sapphire substrate. InN crystals were found to preferably grow on the inclined {10-11} crystal planes of the V-pits. A V-pit size of 1 μm or less can provide precise site-controlled InN nucleation at the V-pit bottom, while no InN was grown on the rest of the exposed GaN surfaces. The site-controlled nucleation is attributed to the low surface energy point created by the converging six {10-11} crystal facets at the V-pit bottom. When In source supply is below a certain value, this V-pit bottom is the only location able to aggregate enough active sources to start nucleation, thereby providing site-controlled crystal growth.

  9. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  10. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  11. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  12. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  13. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  14. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  15. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  16. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  17. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  18. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  19. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  20. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  1. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  2. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  3. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  4. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  5. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    NASA Astrophysics Data System (ADS)

    Bai, J.; Gong, Y.; Xing, K.; Yu, X.; Wang, T.

    2013-03-01

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 μm occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilation and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.

  6. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  7. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  8. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  9. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    NASA Astrophysics Data System (ADS)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  10. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  11. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  12. Design and fabrication of single-crystal GaN nano-bridge on homogeneous substrate for nanoindentation

    NASA Astrophysics Data System (ADS)

    Hung, Shang-Chao

    2014-12-01

    This study reports a simple method to design and fabricate a freestanding GaN nano-bridge over a homogeneous short column as supporting leg. Test samples were fabricated from MOCVD-grown single-crystal GaN films over sapphire substrate using a FIB milling to leave freestanding short spans. We also investigated the nanoindentation characteristics and the corresponding nanoscopic mechanism of the GaN nano-bridge and its short column with a conical indenter inside transmission electron microscopy. The stress-strain mechanical properties and Young's modulus have also been examined and calculated as 108 GPa ± 4.8 % by the strain energy method. The significant slope switch of the L- D curve corresponds to the transition from the single-point bending indentation to the surface stretching indentation and has been interpreted with the evolution of TEM images. This freestanding fabrication and test have key advantages to characterize nanoscale behavior of one-dimensional bridge structure and greater ease of sample preparation over other micro-fabrication techniques.

  13. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  14. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  15. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  16. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bai, J.; Gong, Y.; Xing, K.

    2013-03-11

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 {mu}m occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilationmore » and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.« less

  17. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  18. Growth rate independence of Mg doping in GaN grown by plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Turski, Henryk; Muzioł, Grzegorz; Siekacz, Marcin; Wolny, Pawel; Szkudlarek, Krzesimir; Feduniewicz-Żmuda, Anna; Dybko, Krzysztof; Skierbiszewski, Czeslaw

    2018-01-01

    Doping of Ga(Al)N layers by plasma-assisted molecular beam epitaxy in Ga-rich conditions on c-plane bulk GaN substrates was studied. Ga(Al)N samples, doped with Mg or Si, grown using different growth conditions were compared. In contrast to Si doped layers, no change in the Mg concentration was observed for layers grown using different growth rates for a constant Mg flux and constant growth temperature. This effect enables the growth of Ga(Al)N:Mg layers at higher growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Change of Al content from 0% to 17% resulted in more than two times higher Mg concentration.

  19. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  20. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  1. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  2. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  3. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  4. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  5. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  6. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  7. Effect of thermal interaction between bulk GaN substrates and corral sapphire on blue light emission InGaN/GaN multi-quantum wells by MOCVD

    NASA Astrophysics Data System (ADS)

    Sivanathan, P. C.; Shuhaimi, Ahmad; Hamza, Hebal; Kowsz, Stacy J.; Abdul Khudus, Muhammad I. M.; Li, Hongjian; Allif, Kamarul

    2018-07-01

    The InGaN/GaN multi-quantum wells, growth on bulk GaN substrate were studied for blue light emission. Growth temperature plays a key role determining the peak wavelength of a quantum well. The study was carried out by growing quantum wells, MQWs on the whole sapphire at 716 °C and observed peak wavelength at 463 nm. While the bulk GaN substrate with sapphire corral grown at 703 °C and observed a blueshift at 433 nm peak wavelength. These results contradict that of typical observation of wavelength emission inversely proportional to the growth temperature. On the other hand, the growth of GaN-sapphire and GaN-silicon at similar conditions emits 435 nm and 450 nm respectively. The heat interaction of bulk GaN substrates surrounded by the sapphire corral exhibits different growth conditions in multi-quantum wells when compared to that of a whole sapphire substrate (absence of bulk GaN). The predicated surface temperature of bulk GaN substrate is 10 °C-15 °C of more than the corral sapphire. This observation may link to the difference in the thermal distribution of the growth surface corresponding to the different thermal conductivity ratio. The photoluminescence and computational techniques were used to understand in-depth of the heat interaction.

  8. Multiferroic GaN nanofilms grown within Na-4 mica channels

    NASA Astrophysics Data System (ADS)

    Bhattacharya, Santanu; Datta, A.; Chakravorty, D.

    2010-03-01

    Gallium nitride nanofilms grown within nanochannels of Na-4 mica structure, exhibit ferromagnetism even at room temperature due to the presence of gallium vacancies at the surfaces of the nanofilms. These nanofilms also show a ferroelectric behavior at room temperature ascribed to a small distortion in the crystal structure of GaN due to its growth within the Na-4 mica nanochannels. A colossal increase in 338% in dielectric constant was observed for an applied magnetic field of 26 kOe. The magnetoelectric effect is ascribed to magnetostriction of magnetic GaN phase.

  9. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  10. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  11. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  12. Photoelectrochemical etching measurement of defect density in GaN grown by nanoheteroepitaxy

    NASA Astrophysics Data System (ADS)

    Ferdous, M. S.; Sun, X. Y.; Wang, X.; Fairchild, M. N.; Hersee, S. D.

    2006-05-01

    The density of dislocations in n-type GaN was measured by photoelectrochemical etching. A 10× reduction in dislocation density was observed compared to planar GaN grown at the same time. Cross-sectional transmission electron microscopy studies indicate that defect reduction is due to the mutual cancellation of dislocations with equal and opposite Burger's vectors. The nanoheteroepitaxy sample exhibited significantly higher photoluminescence intensity and higher electron mobility than the planar reference sample.

  13. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    NASA Astrophysics Data System (ADS)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  14. Correlation between mobility collapse and carbon impurities in Si-doped GaN grown by low pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaess, Felix; Mita, Seiji; Xie, Jingqiao; Reddy, Pramod; Klump, Andrew; Hernandez-Balderrama, Luis H.; Washiyama, Shun; Franke, Alexander; Kirste, Ronny; Hoffmann, Axel; Collazo, Ramón; Sitar, Zlatko

    2016-09-01

    In the low doping range below 1 × 1017 cm-3, carbon was identified as the main defect attributing to the sudden reduction of the electron mobility, the electron mobility collapse, in n-type GaN grown by low pressure metalorganic chemical vapor deposition. Secondary ion mass spectroscopy has been performed in conjunction with C concentration and the thermodynamic Ga supersaturation model. By controlling the ammonia flow rate, the input partial pressure of Ga precursor, and the diluent gas within the Ga supersaturation model, the C concentration in Si-doped GaN was controllable from 6 × 1019 cm-3 to values as low as 2 × 1015 cm-3. It was found that the electron mobility collapsed as a function of free carrier concentration, once the Si concentration closely approached the C concentration. Lowering the C concentration to the order of 1015 cm-3 by optimizing Ga supersaturation achieved controllable free carrier concentrations down to 5 × 1015 cm-3 with a peak electron mobility of 820 cm2/V s without observing the mobility collapse. The highest electron mobility of 1170 cm2/V s was obtained even in metalorganic vapor deposition-grown GaN on sapphire substrates by optimizing growth parameters in terms of Ga supersaturation to reduce the C concentration.

  15. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  16. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  17. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  18. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  19. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  20. Defect-related photoluminescence in Mg-doped GaN nanostructures

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Shahedipour-Sandvik, F.; Messer, B. J.; Jindal, V.; Tripathi, N.; Tungare, M.

    2009-12-01

    Thin film of GaN:Mg, pyramidal GaN:Mg on GaN, sapphire and AlN substrates were grown in a MOCVD system under same growth conditions and at the same time. In samples with Mg-doped GaN pyramids on GaN:Si template a strong ultraviolet (UVL) band with few phonon replicas dominated at low temperature and was attributed to transitions from shallow donors to shallow Mg acceptor. In samples grown on sapphire and AlN substrates the UVL band appeared as a structureless band with the maximum at about 3.25 eV. There is a possibility that the structureless UVL band and the UVL band with phonon structure have different origin. In addition to the UVL band, the blue luminescence (BL) band peaking at 2.9 eV was observed in samples representing GaN:Mg pyramids on GaN:Si substrate. It is preliminary attributed to transitions from shallow donors to Zn acceptor in GaN:Si substrate.

  1. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  2. Measurement of second order susceptibilities of GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.

    2005-03-01

    Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.

  3. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  4. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/V<1). The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1) and metal rich growth regime (III/V≥1), respectively. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  5. Investigation on the compensation effect of residual carbon impurities in low temperature grown Mg doped GaN films

    NASA Astrophysics Data System (ADS)

    Yang, J.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Liu, Z. S.; Le, L. C.; Li, X. J.; He, X. G.; Liu, J. P.; Zhang, S. M.; Wang, H.; Zhu, J. J.; Yang, H.

    2014-04-01

    The influence of unintentionally doped carbon impurities on electrical resistivity and yellow luminescence (YL) of low-temperature (LT) grown Mg doped GaN films is investigated. It is found that the resistivity of Mg doped GaN films are closely related to the residual carbon impurity concentration, which may be attributed to the compensation effect of carbon impurities. The carbon impurity may preferentially form deep donor complex CN-ON resulting from its relatively low formation energy. This complex is an effective compensate center for MgGa acceptors as well as inducing YL in photoluminescence spectra. Thus, the low resistivity LT grown p-type GaN films can be obtained only when the residual carbon impurity concentration is sufficiently low, which can explain why LT P-GaN films with lower resistivity were obtained more easily when relatively higher pressure, temperature, or NH3/TMGa flow rate ratio were used in the LT grown Mg doped GaN films reported in earlier reports.

  6. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  7. Substrate dependence of TM-polarized light emission characteristics of BAlGaN/AlN quantum wells

    NASA Astrophysics Data System (ADS)

    Park, Seoung-Hwan; Ahn, Doyeol

    2018-06-01

    To study the substrate dependence of light emission characteristics of transverse-magnetic (TM)-polarized light emitted from BAlGaN/AlN quantum wells (QWs) grown on GaN and AlN substrates were investigated theoretically. It is found that the topmost valence subband for QW structures grown on AlN substrate, is heavy hole state (HH1) while that for QW structures grown on GaN substrate is crystal-field split off light hole state (CL1), irrespective of the boron content. Since TM-polarized light emission is associated with the light hole state, the TM-polarized emission peak of BAlGaN/AlN QW structures grown on GaN substrate is expected to be much larger than that of the QW structure grown on AlN substrate. Also, both QW structures show that the spontaneous emission peak of BAlGaN/AlN QW structures would be improved with the inclusion of the boron. However, it rapidly begins to decrease when the boron content exceeds a critical value.

  8. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  9. Structural anisotropic properties of a-plane GaN epilayers grown on r-plane sapphire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lotsari, A.; Kehagias, Th.; Katsikini, M.

    2014-06-07

    Heteroepitaxial non-polar III-Nitride layers may exhibit extensive anisotropy in the surface morphology and the epilayer microstructure along distinct in-plane directions. The structural anisotropy, evidenced by the “M”-shape dependence of the (112{sup ¯}0) x-ray rocking curve widths on the beam azimuth angle, was studied by combining transmission electron microscopy observations, Raman spectroscopy, high resolution x-ray diffraction, and atomic force microscopy in a-plane GaN epilayers grown on r-plane sapphire substrates by plasma-assisted molecular beam epitaxy (PAMBE). The structural anisotropic behavior was attributed quantitatively to the high dislocation densities, particularly the Frank-Shockley partial dislocations that delimit the I{sub 1} intrinsic basal stacking faults,more » and to the concomitant plastic strain relaxation. On the other hand, isotropic samples exhibited lower dislocation densities and a biaxial residual stress state. For PAMBE growth, the anisotropy was correlated to N-rich (or Ga-poor) conditions on the surface during growth, that result in formation of asymmetric a-plane GaN grains elongated along the c-axis. Such conditions enhance the anisotropy of gallium diffusion on the surface and reduce the GaN nucleation rate.« less

  10. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  11. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  12. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  13. Growth diagram of N-face GaN (0001{sup ¯}) grown at high rate by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okumura, Hironori, E-mail: okumura@engineering.ucsb.edu; McSkimming, Brian M.; Speck, James S.

    2014-01-06

    N-face GaN was grown on free-standing GaN (0001{sup ¯}) substrates at a growth rate of 1.5 μm/h using plasma-assisted molecular beam epitaxy. Difference in growth rate between (0001{sup ¯}) and (0001) oriented GaN depends on nitrogen plasma power, and the (0001{sup ¯}) oriented GaN had only 70% of the growth rate of the (0001) oriented GaN at 300 W. Unintentional impurity concentrations of silicon, carbon, and oxygen were 2 × 10{sup 15}, 2 × 10{sup 16}, and 7 × 10{sup 16} cm{sup −3}, respectively. A growth diagram was constructed that shows the dependence of the growth modes on the difference in the Ga and active nitrogen flux, Φ{sub Ga} − Φ{submore » N*}, and the growth temperature. At high Φ{sub Ga} − Φ{sub N*} (Φ{sub Ga} ≫ Φ{sub N*}), two-dimensional (step-flow and layer-by-layer) growth modes were realized. High growth temperature (780 °C) expanded the growth window of the two-dimensional growth modes, achieving a surface with rms roughness of 0.48 nm without Ga droplets.« less

  14. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  15. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  16. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown tomore » be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.« less

  17. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  18. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    PubMed

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  19. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  20. High breakdown single-crystal GaN p-n diodes by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qi, Meng; Zhao, Yuning; Yan, Xiaodong

    2015-12-07

    Molecular beam epitaxy grown GaN p-n vertical diodes are demonstrated on single-crystal GaN substrates. A low leakage current <3 nA/cm{sup 2} is obtained with reverse bias voltage up to −20 V. With a 400 nm thick n-drift region, an on-resistance of 0.23 mΩ cm{sup 2} is achieved, with a breakdown voltage corresponding to a peak electric field of ∼3.1 MV/cm in GaN. Single-crystal GaN substrates with very low dislocation densities enable the low leakage current and the high breakdown field in the diodes, showing significant potential for MBE growth to attain near-intrinsic performance when the density of dislocations is low.

  1. Characterization of Pb-Doped GaN Thin Films Grown by Thermionic Vacuum Arc

    NASA Astrophysics Data System (ADS)

    Özen, Soner; Pat, Suat; Korkmaz, Şadan

    2018-03-01

    Undoped and lead (Pb)-doped gallium nitride (GaN) thin films have been deposited by a thermionic vacuum arc (TVA) method. Glass and polyethylene terephthalate were selected as optically transparent substrates. The structural, optical, morphological, and electrical properties of the deposited thin films were investigated. These physical properties were interpreted by comparison with related analysis methods. The crystalline structure of the deposited GaN thin films was hexagonal wurtzite. The optical bandgap energy of the GaN and Pb-doped GaN thin films was found to be 3.45 eV and 3.47 eV, respectively. The surface properties of the deposited thin films were imaged using atomic force microscopy and field-emission scanning electron microscopy, revealing a nanostructured, homogeneous, and granular surface structure. These results confirm that the TVA method is an alternative layer deposition system for Pb-doped GaN thin films.

  2. Mechanisms of the micro-crack generation in an ultra-thin AlN/GaN superlattice structure grown on Si(110) substrates by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q., E-mail: xq-shen@aist.go.jp; Takahashi, T.; Ide, T.

    2015-09-28

    We investigate the generation mechanisms of micro-cracks (MCs) in an ultra-thin AlN/GaN superlattice (SL) structure grown on Si(110) substrates by metalorganic chemical vapor deposition. The SL is intended to be used as an interlayer (IL) for relaxing tensile stress and obtaining high-quality crack-free GaN grown on Si substrates. It is found that the MCs can be generated by two different mechanisms, where large mismatches of the lattice constant (LC) and the coefficient of thermal expansion (CTE) play key roles in the issue. Different MC configurations (low-density and high-density MCs) are observed, which are considered to be formed during the differentmore » growth stages (SL growth and cooling down processes) due to the LC and the CTE effects. In-situ and ex-situ experimental results support the mechanism interpretations of the MCs generation. The mechanism understanding makes it possible to optimize the SL IL structure for growing high-quality crack-free GaN films on Si substrates for optical and electronic device applications.« less

  3. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  4. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  5. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  6. Semiconductor Film Grown on a Circular Substrate: Predictive Modeling of Lattice-Misfit Stresses

    NASA Astrophysics Data System (ADS)

    Suhir, E.; Nicolics, J.; Khatibi, G.; Lederer, M.

    2016-03-01

    An effective and physically meaningful analytical predictive model is developed for the evaluation the lattice-misfit stresses (LMS) in a semiconductor film grown on a circular substrate (wafer). The two-dimensional (plane-stress) theory-of-elasticity approximation (TEA) is employed in the analysis. The addressed stresses include the interfacial shearing stress, responsible for the occurrence and growth of dislocations, as well as for possible delaminations and the cohesive strength of a buffering material, if any. Normal radial and circumferential (tangential) stresses acting in the film cross-sections and responsible for its short- and long-term strength (fracture toughness) are also addressed. The analysis is geared to the GaN technology.

  7. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    PubMed

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  8. High Temperature Annealing of MBE-grown Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Contreras, S.; Konczewicz, L.; Peyre, H.; Juillaguet, S.; Khalfioui, M. Al; Matta, S.; Leroux, M.; Damilano, B.; Brault, J.

    2017-06-01

    In this report, are shown the results of high temperature resistivity and Hall Effect studies of Mg-doped GaN epilayers. The samples studied were grown on (0001) (c-plane) sapphire by molecular beam epitaxy and 0.5 μm GaN:Mg layers have been achieved on low temperature buffers of GaN (30 nm) and AlN ( 150 nm). The experiments were carried out in the temperature range from 300 K up to 900 K. Up to about 870 K a typical thermally activated conduction process has been observed with the activation energy value EA = 215 meV. However, for higher temperatures, an annealing effect is observed in all the investigated samples. The increase of the free carrier concentration as a function of time leads to an irreversible decrease of sample resistivity of more than 60%.

  9. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  10. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  11. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  12. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  13. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  15. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  17. III-nitride core–shell nanorod array on quartz substrates

    PubMed Central

    Bae, Si-Young; Min, Jung-Wook; Hwang, Hyeong-Yong; Lekhal, Kaddour; Lee, Ho-Jun; Jho, Young-Dahl; Lee, Dong-Seon; Lee, Yong-Tak; Ikarashi, Nobuyuki; Honda, Yoshio; Amano, Hiroshi

    2017-01-01

    We report the fabrication of near-vertically elongated GaN nanorods on quartz substrates. To control the preferred orientation and length of individual GaN nanorods, we combined molecular beam epitaxy (MBE) with pulsed-mode metal–organic chemical vapor deposition (MOCVD). The MBE-grown buffer layer was composed of GaN nanograins exhibiting an ordered surface and preferred orientation along the surface normal direction. Position-controlled growth of the GaN nanorods was achieved by selective-area growth using MOCVD. Simultaneously, the GaN nanorods were elongated by the pulsed-mode growth. The microstructural and optical properties of both GaN nanorods and InGaN/GaN core–shell nanorods were then investigated. The nanorods were highly crystalline and the core–shell structures exhibited optical emission properties, indicating the feasibility of fabricating III-nitride nano-optoelectronic devices on amorphous substrates. PMID:28345641

  18. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  19. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  20. In-situ wafer bowing measurements of GaN grown on Si (111) substrate by reflectivity mapping in metal organic chemical vapor deposition system

    NASA Astrophysics Data System (ADS)

    Yang, Yi-Bin; Liu, Ming-Gang; Chen, Wei-Jie; Han, Xiao-Biao; Chen, Jie; Lin, Xiu-Qi; Lin, Jia-Li; Luo, Hui; Liao, Qiang; Zang, Wen-Jie; Chen, Yin-Song; Qiu, Yun-Ling; Wu, Zhi-Sheng; Liu, Yang; Zhang, Bai-Jun

    2015-09-01

    In this work, the wafer bowing during growth can be in-situ measured by a reflectivity mapping method in the 3×2″ Thomas Swan close coupled showerhead metal organic chemical vapor deposition (MOCVD) system. The reflectivity mapping method is usually used to measure the film thickness and growth rate. The wafer bowing caused by stresses (tensile and compressive) during the epitaxial growth leads to a temperature variation at different positions on the wafer, and the lower growth temperature leads to a faster growth rate and vice versa. Therefore, the wafer bowing can be measured by analyzing the discrepancy of growth rates at different positions on the wafer. Furthermore, the wafer bowings were confirmed by the ex-situ wafer bowing measurement. High-resistivity and low-resistivity Si substrates were used for epitaxial growth. In comparison with low-resistivity Si substrate, GaN grown on high-resistivity substrate shows a larger wafer bowing caused by the highly compressive stress introduced by compositionally graded AlGaN buffer layer. This transition of wafer bowing can be clearly in-situ measured by using the reflectivity mapping method. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274039 and 51177175), the National Basic Research Program of China (Grant No. 2011CB301903), the Ph.D. Programs Foundation of Ministry of Education of China (Grant No. 20110171110021), the International Science and Technology Collaboration Program of China (Grant No. 2012DFG52260), the International Science and Technology Collaboration Program of Guangdong Province, China (Grant No. 2013B051000041), the Science and Technology Plan of Guangdong Province, China (Grant No. 2013B010401013), the National High Technology Research and Development Program of China (Grant No. 2014AA032606), and the Opened Fund of the State Key Laboratory on Integrated Optoelectronics, China (Grant No. IOSKL2014KF17).

  1. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    PubMed

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  2. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  3. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  4. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  5. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  6. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  7. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    NASA Astrophysics Data System (ADS)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  8. Effect of Same-Temperature GaN Cap Layer on the InGaN/GaN Multiquantum Well of Green Light-Emitting Diode on Silicon Substrate

    PubMed Central

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow. PMID:24369453

  9. Effect of same-temperature GaN cap layer on the InGaN/GaN multiquantum well of green light-emitting diode on silicon substrate.

    PubMed

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow.

  10. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN.

    PubMed

    Soh, C B; Liu, W; Yong, A M; Chua, S J; Chow, S Y; Tripathy, S; Tan, R J N

    2010-08-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO(2) film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, η(extraction,) was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  11. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN

    NASA Astrophysics Data System (ADS)

    Soh, C. B.; Liu, W.; Yong, A. M.; Chua, S. J.; Chow, S. Y.; Tripathy, S.; Tan, R. J. N.

    2010-11-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO2 film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, ηextraction, was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  12. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  13. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  14. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  15. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  16. A crystallographic investigation of GaN nanostructures by reciprocal space mapping in a grazing incidence geometry.

    PubMed

    Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo; Lee, Dong Ryeol; Lee, Hyun-Hwi

    2009-05-27

    Reciprocal space mapping with a two-dimensional (2D) area detector in a grazing incidence geometry was applied to determine crystallographic orientations of GaN nanostructures epitaxially grown on a sapphire substrate. By using both unprojected and projected reciprocal space mapping with a proper coordinate transformation, the crystallographic orientations of GaN nanostructures with respect to that of a substrate were unambiguously determined. In particular, the legs of multipods in the wurtzite phase were found to preferentially nucleate on the sides of tetrahedral cores in the zinc blende phase.

  17. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  18. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  19. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  20. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  1. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  2. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  3. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  4. A compensating point defect in carbon-doped GaN substrates studied with electron paramagnetic resonance spectroscopy

    NASA Astrophysics Data System (ADS)

    Willoughby, W. R.; Zvanut, M. E.; Paudel, Subash; Iwinska, M.; Sochacki, T.; Bockowski, M.

    2018-04-01

    Electron paramagnetic resonance (EPR) spectroscopy was used to investigate a type of point defect present in 1019 cm-3 carbon-doped GaN substrates grown by hydride vapor phase epitaxy. A broad, isotropic resonance at g ˜ 1.987 was observed at 3.5 K, and the EPR intensity increased with illumination at energies greater than 2.75 eV and decreased with photon energies greater than 0.95 eV. The latter is consistent with a deep level of 0.95 eV above the valence band maximum and implies that the associated defect likely participates in donor compensation. The ionization energy for this defect is close to the predicted value for the (-/0) transition level of CN and transition levels associated with Ga vacancies such as VGa and VGa-ON-2H.

  5. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  6. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  7. Performance improvement of GaN-based metal-semiconductor-metal photodiodes grown on Si(111) substrate by thermal cycle annealing process

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin

    2014-01-01

    A simple thermal cycle annealing (TCA) process was used to improve the quality of GaN grown on a Si substrate. The X-ray diffraction (XRD) and etch pit density (EPD) results revealed that using more process cycles, the defect density cannot be further reduced. However, the performance of GaN-based metal-semiconductor-metal (MSM) photodiodes (PDs) prepared on Si substrates showed significant improvement. With a two-cycle TCA process, it is found that the dark current of the device was only 1.46 × 10-11 A, and the photo-to-dark-current contrast ratio was about 1.33 × 105 at 5 V. Also, the UV/visible rejection ratios can reach as high as 1077.

  8. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  9. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  10. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  11. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  12. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  13. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  14. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  15. Silicon-on-insulator with hybrid orientations for heterogeneous integration of GaN on Si (100) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin

    2018-05-01

    Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).

  16. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  17. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  18. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  19. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. High quality and uniformity GaN grown on 150 mm Si substrate using in-situ NH3 pulse flow cleaning process

    NASA Astrophysics Data System (ADS)

    Ji, Panfeng; Yang, Xuelin; Feng, Yuxia; Cheng, Jianpeng; Zhang, Jie; Hu, Anqi; Song, Chunyan; Wu, Shan; Shen, Jianfei; Tang, Jun; Tao, Chun; Pan, Yaobo; Wang, Xinqiang; Shen, Bo

    2017-04-01

    By using in-situ NH3 pulse flow cleaning method, we have achieved the repeated growth of high quality and uniformity GaN and AlGaN/GaN high electron mobility transistors (HEMTs) on 150 mm Si substrate. The two dimensional electron gas (2DEG) mobility is 2200 cm2/Vs with an electron density of 7.3 × 1012 cm-2. The sheet resistance is 305 ± 4 Ω/□ with ±1.3% variation. The achievement is attributed to the fact that this method can significantly remove the Al, Ga, etc. metal droplets coating on the post growth flow flange and reactor wall which are difficult to clean by normal bake process under H2 ambient.

  1. Fabrication of WS2/GaN p-n Junction by Wafer-Scale WS2 Thin Film Transfer.

    PubMed

    Yu, Yang; Fong, Patrick W K; Wang, Shifeng; Surya, Charles

    2016-11-29

    High quality wafer-scale free-standing WS 2 grown by van der Waals rheotaxy (vdWR) using Ni as a texture promoting layer is reported. The microstructure of vdWR grown WS 2 was significantly modified from mixture of crystallites with their c-axes both parallel to (type I) and perpendicular to (type II) the substrate to large type II crystallites. Wafer-scale transfer of vdWR grown WS 2 onto different substrates by an etching-free technique was demonstrated for the first time that utilized the hydrophobic property of WS 2 and hydrophilic property of sapphire. Our results show that vdWR is a reliable technique to obtain type-II textured crystallites in WS 2 , which is the key factor for the wafer-scale etching-free transfer. The transferred films were found to be free of observable wrinkles, cracks, or polymer residues. High quality p-n junctions fabricated by room-temperature transfer of the p-type WS 2 onto an n-type GaN was demonstrated with a small leakage current density of 29.6 μA/cm 2 at -1 V which shows superior performances compared to the directly grown WS 2 /GaN heterojunctions.

  2. Near-ultraviolet micro-Raman study of diamond grown on GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nazari, M., E-mail: m-n79@txstate.edu; Hancock, B. L.; Anderson, J.

    2016-01-18

    Ultraviolet (UV) micro-Raman measurements are reported of diamond grown on GaN using chemical vapor deposition. UV excitation permits simultaneous investigation of the diamond (D) and disordered carbon (DC) comprising the polycrystalline layer. From line scans of a cross-section along the diamond growth direction, the DC component of the diamond layer is found to be highest near the GaN-on-diamond interface and diminish with characteristic length scale of ∼3.5 μm. Transmission electron microscopy (TEM) of the diamond near the interface confirms the presence of DC. Combined micro-Raman and TEM are used to develop an optical method for estimating the DC volume fraction.

  3. Electron band bending of polar, semipolar and non-polar GaN surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartoš, I.; Romanyuk, O., E-mail: romanyuk@fzu.cz; Houdkova, J.

    2016-03-14

    The magnitudes of the surface band bending have been determined by X-ray photoelectron spectroscopy for polar, semipolar, and non-polar surfaces of wurtzite GaN crystals. All surfaces have been prepared from crystalline GaN samples grown by the hydride-vapour phase epitaxy and separated from sapphire substrates. The Ga 3d core level peak shifts have been used for band bending determination. Small band bending magnitudes and also relatively small difference between the band bendings of the surfaces with opposite polarity have been found. These results point to the presence of electron surface states of different amounts and types on surfaces of different polaritymore » and confirm the important role of the electron surface states in compensation of the bound surface polarity charges in wurtzite GaN crystals.« less

  4. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr; Haider, Ali; Kizir, Seda

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  5. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  6. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  7. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  8. Structural defects in bulk GaN

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Z.; dos Reis, R.; Mancuso, M.; Song, C. Y.; Grzegory, I.; Porowski, S.; Bockowski, M.

    2014-10-01

    Transmission Electron Microscopy (TEM) studies of undoped and Mg doped GaN layers grown on the HVPE substrates by High Nitrogen Pressure Solution (HNPS) with the multi-feed-seed (MFS) configuration are shown. The propagation of dislocations from the HVPE substrate to the layer is observed. Due to the interaction between these dislocations in the thick layers much lower density of these defects is observed in the upper part of the HNPS layers. Amorphous Ga precipitates with attached voids pointing toward the growth direction are observed in the undoped layer. This is similar to the presence of Ga precipitates in high-pressure platelets, however the shape of these precipitates is different. The Mg doped layers do not show Ga precipitates, but MgO rectangular precipitates are formed, decorating the dislocations. Results of TEM studies of HVPE layers grown on Ammonothermal substrates are also presented. These layers have superior crystal quality in comparison to the HNPS layers, as far as density of dislocation is concern. Occasionally some small inclusions can be found, but their chemical composition was not yet determined. It is expected that growth of the HNPS layers on these substrate will lead to large layer thickness obtained in a short time and with high crystal perfection needed in devices.

  9. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  10. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  11. Novel symmetry in the growth of gallium nitride on magnesium aluminate substrates

    NASA Astrophysics Data System (ADS)

    George, T.; Jacobsohn, E.; Pike, W. T.; Chang-Chien, P.; Khan, M. A.; Yang, J. W.; Mahajan, S.

    1996-01-01

    The growth of GaN by metalorganic chemical vapor deposition on (111) and (100) magnesium aluminate (MgAl2O4) substrates is examined using transmission electron microscopy. The results indicate that mainly wurtzite GaN is grown for both orientations. On the (111) substrate the following epitaxial relationship is observed: (0001)GaN ∥ (111)MgAl2O4, and [112¯0]GaN ∥ [11¯0]MgAl2O4. During the early stages of the (100) growth, four orientations of the wurtzite phase and a zinc-blende phase are formed. With increasing thickness, one of the wurtzite orientations dominates, with the epitaxial relationship being (11¯01)GaN ∥ (100)MgAl2O4 and the [112¯0]GaN nearly parallel to [011]MgAl2O4. This choice of growth orientation appears to be determined primarily by the nature of the interfacial bonding, with the basal plane of each of the four wurtzite GaN variants being nearly aligned along one of the four {111} planes intersecting the (100) surface of the MgAl2O4.

  12. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  13. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  14. Fabrication of WS2/GaN p-n Junction by Wafer-Scale WS2 Thin Film Transfer

    PubMed Central

    Yu, Yang; Fong, Patrick W. K.; Wang, Shifeng; Surya, Charles

    2016-01-01

    High quality wafer-scale free-standing WS2 grown by van der Waals rheotaxy (vdWR) using Ni as a texture promoting layer is reported. The microstructure of vdWR grown WS2 was significantly modified from mixture of crystallites with their c-axes both parallel to (type I) and perpendicular to (type II) the substrate to large type II crystallites. Wafer-scale transfer of vdWR grown WS2 onto different substrates by an etching-free technique was demonstrated for the first time that utilized the hydrophobic property of WS2 and hydrophilic property of sapphire. Our results show that vdWR is a reliable technique to obtain type-II textured crystallites in WS2, which is the key factor for the wafer-scale etching-free transfer. The transferred films were found to be free of observable wrinkles, cracks, or polymer residues. High quality p-n junctions fabricated by room-temperature transfer of the p-type WS2 onto an n-type GaN was demonstrated with a small leakage current density of 29.6 μA/cm2 at −1 V which shows superior performances compared to the directly grown WS2/GaN heterojunctions. PMID:27897210

  15. Study of GaN nanorods converted from β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  16. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hao; Li, Yufeng; Wang, Shuai

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%.more » Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.« less

  17. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  18. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  19. Anharmonic phonon decay in cubic GaN

    NASA Astrophysics Data System (ADS)

    Cuscó, R.; Domènech-Amador, N.; Novikov, S.; Foxon, C. T.; Artús, L.

    2015-08-01

    We present a Raman-scattering study of optical phonons in zinc-blende (cubic) GaN for temperatures ranging from 80 to 750 K. The experiments were performed on high-quality, cubic GaN films grown by molecular-beam epitaxy on GaAs (001) substrates. The observed temperature dependence of the optical phonon frequencies and linewidths is analyzed in the framework of anharmonic decay theory, and possible decay channels are discussed in the light of density-functional-theory calculations. The longitudinal-optical (LO) mode relaxation is found to occur via asymmetric decay into acoustic phonons, with an appreciable contribution of higher-order processes. The transverse-optical mode linewidth shows a weak temperature dependence and its frequency downshift is primarily determined by the lattice thermal expansion. The LO phonon lifetime is derived from the observed Raman linewidth and an excellent agreement with previous theoretical predictions is found.

  20. The management of stress in MOCVD-grown InGaN/GaN LED multilayer structures on Si(1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Jiang, Quanzhong; Allsopp, Duncan W. E.; Bowen, Chris R.; Wang, Wang N.

    2013-09-01

    The tensile stress in light-emitting diode (LED)-on-Si(1 1 1) multilayer structures must be reduced so that it does not compromise the multiple quantum well emission wavelength uniformity and structural stability. In this paper it is shown for non-optimized LED structures grown on Si(1 1 1) substrates that both emission wavelength uniformity and structural stability can be achieved within the same growth process. In order to gain a deeper understanding of the stress distribution within such a structure, cross-sectional Raman and photo-luminescence spectroscopy techniques were developed. It is observed that for a Si:GaN layer grown on a low-temperature (LT) AlN intermediate layer there is a decrease in compressive stress with increasing Si:GaN layer thickness during MOCVD growth which leads to a high level of tensile stress in the upper part of the layer. This may lead to the development of cracks during cooling to room temperature. Such a phenomenon may be associated with annihilation of defects such as dislocations. Therefore, a reduction of dislocation intensity should take place at the early stage of GaN growth on an AlN or AlGaN layer in order to reduce a build up of tensile stress with thickness. Furthermore, it is also shown that a prolonged three dimensional GaN island growth on a LT AlN interlayer for the reduction of dislocations may result in a reduction in the compressive stress in the resulting GaN layer.

  1. Near band gap luminescence in hybrid organic-inorganic structures based on sputtered GaN nanorods.

    PubMed

    Forsberg, Mathias; Serban, Elena Alexandra; Hsiao, Ching-Lien; Junaid, Muhammad; Birch, Jens; Pozina, Galia

    2017-04-26

    Novel hybrid organic-inorganic nanostructures fabricated to utilize non-radiative resonant energy transfer mechanism are considered to be extremely attractive for a variety of light emitters for down converting of ultaviolet light and for photovoltaic applications since they can be much more efficient compared to devices grown with common design. Organic-inorganic hybrid structures based on green polyfluorene (F8BT) and GaN (0001) nanorods grown by magnetron sputtering on Si (111) substrates are studied. In such nanorods, stacking faults can form periodic polymorphic quantum wells characterized by bright luminescence. In difference to GaN exciton emission, the recombination rate for the stacking fault related emission increases in the presence of polyfluorene film, which can be understood in terms of Förster interaction mechanism. From comparison of dynamic properties of the stacking fault related luminescence in the hybrid structures and in the bare GaN nanorods, the pumping efficiency of non-radiative resonant energy transfer in hybrids was estimated to be as high as 35% at low temperatures.

  2. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  3. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  4. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560 013; Bhat, Thirumaleshwara N.

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics ofmore » a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.« less

  5. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  6. Influence of hot carriers on catalytic reaction; Pt nanoparticles on GaN substrates under light irradiation.

    PubMed

    Kim, Sun Mi; Park, Dahee; Yuk, Youngji; Kim, Sang Hoon; Park, Jeong Young

    2013-01-01

    We report the hot carrier-driven catalytic activity of two-dimensional arrays of Pt nanoparticles on GaN substrate under light irradiation. In order to elucidate the effect of a hot carrier in a catalytic chemical reaction, the CO oxidation reaction was carried out on Pt nanoparticles on p- and n-type GaN under light irradiation. Metal catalysts composed of Pt nanoparticles were prepared using two different preparation methods: the one-pot polyol reduction and are plasma deposition methods. Under light irradiation, the catalytic activity of the Pt nanoparticles supported on GaN exhibited a distinct change depending on the doping type. The catalytic activity of the Pt nanoparticles on the n-doped GaN wafer decreased by 8-28% under light irradiation, compared to no irradiation (i.e., in the dark), while the Pt nanoparticles on the p-doped GaN wafer increased by 11-33% under light irradiation, compared to no irradiation. The catalytic activity increased on the smaller Pt nanoparticles, compared to the larger nanoparticles, presumably due to the mean free path of hot carriers. Based on these results, we conclude that the flow of hot carriers generated at the Pt-GaN interface during light irradiation is responsible for the change in catalytic activity on the Pt nanoparticles.

  7. Epitaxial MoS2/GaN structures to enable vertical 2D/3D semiconductor heterostructure devices

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; Zhang, K.; Stan, G.; Kalanyan, B.; Eichfeld, S.; Burke, R.; Shah, P.; O'Regan, T.; Crowne, F.; Birdwell, A. G.; Robinson, J.; Davydov, A.; Ivanov, T.

    MoS2/GaN structures are investigated as a building block for vertical 2D/3D semiconductor heterostructure devices that utilize a 3D substrate (GaN) as an active component of the semiconductor device without the need of mechanical transfer of the 2D layer. Our CVD-grown monolayer MoS2 has been shown to be epitaxially aligned to the GaN lattice which is a pre-requisite for high quality 2D/3D interfaces desired for efficient vertical transport and large area growth. The MoS2 coverage is nearly 50 % including isolated triangles and monolayer islands. The GaN template is a double-layer grown by MOCVD on sapphire and allows for measurement of transport perpendicular to the 2D layer. Photoluminescence, Raman, XPS, Kelvin force probe microscopy, and SEM analysis identified high quality monolayer MoS2. The MoS2/GaN structures electrically conduct in the out-of-plane direction and across the van der Waals gap, as measured with conducting AFM (CAFM). The CAFM current maps and I-V characteristics are analyzed to estimate the MoS2/GaN contact resistivity to be less than 4 Ω-cm2 and current spreading in the MoS2 monolayer to be approx. 1 μm in diameter. Epitaxial MoS2/GaN heterostructures present a promising platform for the design of energy-efficient, high-speed vertical devices incorporating 2D layered materials with 3D semiconductors.

  8. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  9. Synthesis and characterizations of nanoscale single crystal GaN grown by ion assisted gas source MBE

    NASA Astrophysics Data System (ADS)

    Cui, Bentao; Cohen, P. I.

    2004-03-01

    Nanoscale patterns could be induced by ion bombardment [1, 2]. In this study, an in-situ real time light scattering technique, combined with Reflection High Energy Electron Diffraction (RHEED), were used to study the surface morphology evolution during the ion beam assisted growth of GaN in a gas source MBE system. Ga was provided by a thermal effusion cell. Ammonia was used as the nitrogen source. A hot-filament Kaufman ion source was used to supply sub-KeV ion beams. Sapphire and MOCVD GaN templates were used as the substrates. A custom-designed Desorption Mass Spectrometer (DMS) was used to calibrate the growth temperature and determine the growth rate. Before growing GaN, the sapphire substrates were pretreated in an ion flux and then annealed for cleaning. The sapphire surface was then nitrided in ammonia at 1100K for about 10 min. After nitridation, a thin GaN buffer layer was prepared by a sequence of adsorption and annealing steps. During the growth, the short-range surface morphology and film quality were monitored in situ by RHEED. In a real-time way, the long-range surface morphology was monitored in-situ by light scattering technique. Photodiode array detector and CCD camera were used to record the reflected light scattering intensity and spectra profile respectively. Periodical patterns, such as ripple, have been observed during ion bombardment on GaN with or without growth. A linear theory (from Bradley and Harper 1988 [3]) has been modified to explain the dependence of ripple wavelength on ion species and ion energy. Partially supported by the National Science Foundation and the Air Force Office of Scientific Research. [1]. J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro, Phys. Rev. Lett. 82, 2330 (1998); J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro, Phys. Rev. Lett. 84, 5800 (2000). [2]. S. Facsko, T. Dekorsy, C. Koerdt, C. Trappe, H. Kurz, A. Vogt et al.. Science 285, 1551 (1999). [3]. R. M. Bradley

  10. Gallium nitride nanoneedles grown in extremely non-equilibrium nitrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mangla, O., E-mail: onkarmangla@gmail.com; Physics Department, Hindu College, University of Delhi, Delhi, 110007; Roy, S.

    2016-05-23

    In the present work, gallium nitride (GaN) nanoneedles are grown on quartz substrates using the high fluence ions of GaN produced by hot, dense and extremely non-equlibrium nitrogen plasma in a modified dense plasma focus device. The formation of nanoneedles is obtained from the scanning electron microscopy with mean size of the head of nanoneedles ~ 70 nm. The nanoneedles are found to be poly-crystalline when studied structurally through the X-ray diffraction. The optical properties of nanoneedles studied using absorption spectra which show more absorption for nanoneedles depsoited one shot of ions irradiation. In addition, the band gap of nanoneedles ismore » found to be increased as compared to bulk GaN. The obtained nanoneedles with increased band gap have potential applications in detector systems.« less

  11. Vacancy-type defects in Mg-doped GaN grown by ammonia-based molecular beam epitaxy probed using a monoenergetic positron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uedono, Akira; Malinverni, Marco; Martin, Denis

    Vacancy-type defects in Mg-doped GaN were probed using a monoenergetic positron beam. GaN films with a thickness of 0.5–0.7 μm were grown on GaN/sapphire templates using ammonia-based molecular beam epitaxy and characterized by measuring Doppler broadening spectra. Although no vacancies were detected in samples with a Mg concentration [Mg] below 7 × 10{sup 19 }cm{sup −3}, vacancy-type defects were introduced starting at above [Mg] = 1 × 10{sup 20 }cm{sup −3}. The major defect species was identified as a complex between Ga vacancy (V{sub Ga}) and multiple nitrogen vacancies (V{sub N}s). The introduction of vacancy complexes was found to correlate with a decreasemore » in the net acceptor concentration, suggesting that the defect introduction is closely related to the carrier compensation. We also investigated Mg-doped GaN layers grown using In as the surfactant. The formation of vacancy complexes was suppressed in the subsurface region (≤80 nm). The observed depth distribution of defects was attributed to the thermal instability of the defects, which resulted in the introduction of vacancy complexes during the deposition process.« less

  12. Optical spectroscopy of bulk GaN crystals grown from a Na-Ga melt

    NASA Astrophysics Data System (ADS)

    Skromme, B. J.; Palle, K. C.; Poweleit, C. D.; Yamane, H.; Aoki, M.; DiSalvo, F. J.

    2002-11-01

    Colorless transparent platelet and prismatic GaN crystals up to 3-4 mm, grown from a Na-Ga melt (0.6-0.7 mol fraction of Na) at temperatures of 700-800 °C in a modest (5 MPa) pressure of N2, are characterized using Raman scattering, room and low temperature photoluminescence, and reflectance. They exhibit sharp free and bound exciton luminescence features (down to 0.22 meV full width at half maximum), including multiple excited states. Residual Mg and Zn acceptors and a 33.6 meV donor (possibly ON) are identified. Raman spectra suggest free carrier concentrations down to the low to mid 1016 cm-3 range.

  13. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  14. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  15. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  16. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  17. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  18. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  19. Identification of the primary compensating defect level responsible for determining blocking voltage of vertical GaN power diodes

    DOE PAGES

    King, M. P.; Kaplar, R. J.; Dickerson, J. R.; ...

    2016-10-31

    Electrical performance and characterization of deep levels in vertical GaN P-i-N diodes grown on low threading dislocation density (~10 4 –10 6 cm –2) bulk GaN substrates are investigated. The lightly doped n drift region of these devices is observed to be highly compensated by several prominent deep levels detected using deep level optical spectroscopy at E c-2.13, 2.92, and 3.2 eV. A combination of steady-state photocapacitance and lighted capacitance-voltage profiling indicates the concentrations of these deep levels to be N t = 3 × 10 12, 2 × 10 15, and 5 × 10 14 cm –3, respectively. Themore » E c-2.92 eV level is observed to be the primary compensating defect in as-grown n-type metal-organic chemical vapor deposition GaN, indicating this level acts as a limiting factor for achieving controllably low doping. The device blocking voltage should increase if compensating defects reduce the free carrier concentration of the n drift region. Understanding the incorporation of as-grown and native defects in thick n-GaN is essential for enabling large V BD in the next-generation wide-bandgap power semiconductor devices. Furthermore, controlling the as-grown defects induced by epitaxial growth conditions is critical to achieve blocking voltage capability above 5 kV.« less

  20. Structural and electrical properties of Pb(Zr ,Ti)O3 grown on (0001) GaN using a double PbTiO3/PbO bridge layer

    NASA Astrophysics Data System (ADS)

    Xiao, Bo; Gu, Xing; Izyumskaya, Natalia; Avrutin, Vitaliy; Xie, Jinqiao; Liu, Huiyong; Morkoç, Hadis

    2007-10-01

    Pb(Zr0.52Ti0.48)O3 films were deposited by rf magnetron sputtering on silicon-doped GaN(0001)/c-sapphire with a PbTiO3/PbO oxide bridge layer grown by molecular beam epitaxy. X-ray diffraction data showed the highly (111)-oriented perovskite phase in lead zirconate titanate (PZT) films with PbTiO3/PbO bridge layers, compared to the pyrochlore phase grown directly on GaN. The in-plane epitaxial relationships were found from x-ray pole figures to be PZT[112¯]‖GaN[11¯00] and PZT[11¯0]‖GaN[112¯0]. The polarization-electric field measurements revealed the ferroelectric behavior with remanent polarization of 30-40μC /cm2 and asymmetric hysteresis loops due to the depletion layer formed in GaN under reverse bias which resulted in a high negative coercive electric field (950kV/cm).

  1. Polarization of stacking fault related luminescence in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Pozina, G.; Forsberg, M.; Serban, E. A.; Hsiao, C.-L.; Junaid, M.; Birch, J.; Kaliteevski, M. A.

    2017-01-01

    Linear polarization properties of light emission are presented for GaN nanorods (NRs) grown along [0001] direction on Si(111) substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL) measured at low temperature for a single NR demonstrated an excitonic line at ˜3.48 eV and the stacking faults (SFs) related transition at ˜3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  2. Homogeneous AlGaN/GaN superlattices grown on free-standing (1100) GaN substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Jiayi; Malis, Oana; Physics Department, Purdue University, West Lafayette, Indiana 47907

    Two-dimensional and homogeneous growth of m-plane AlGaN by plasma-assisted molecular beam epitaxy has been realized on free-standing (1100) GaN substrates by implementing high metal-to-nitrogen (III/N) flux ratio. AlN island nucleation, often reported for m-plane AlGaN under nitrogen-rich growth conditions, is suppressed at high III/N flux ratio, highlighting the important role of growth kinetics for adatom incorporation. The homogeneity and microstructure of m-plane AlGaN/GaN superlattices are assessed via a combination of scanning transmission electron microscopy and high resolution transmission electron microscopy (TEM). The predominant defects identified in dark field TEM characterization are short basal plane stacking faults (SFs) bounded by eithermore » Frank-Shockley or Frank partial dislocations. In particular, the linear density of SFs is approximately 5 × 10{sup −5} cm{sup −1}, and the length of SFs is less than 15 nm.« less

  3. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  4. Vertically aligned p-type single-crystalline GaN nanorod arrays on n-type Si for heterojunction photovoltaic cells.

    PubMed

    Tang, Y B; Chen, Z H; Song, H S; Lee, C S; Cong, H T; Cheng, H M; Zhang, W J; Bello, I; Lee, S T

    2008-12-01

    Vertically aligned Mg-doped GaN nanorods have been epitaxially grown on n-type Si substrate to form a heterostructure for fabricating p-n heterojunction photovoltaic cells. The p-type GaN nanorod/n-Si heterojunction cell shows a well-defined rectifying behavior with a rectification ratio larger than 10(4) in dark. The cell has a high short-circuit photocurrent density of 7.6 mAlcm2 and energy conversion efficiency of 2.73% under AM 1.5G illumination at 100 mW/cm2. Moreover, the nanorod array may be used as an antireflection coating for solar cell applications to effectively reduce light loss due to reflection. This study provides an experimental demonstration for integrating one-dimensional nanostructure arrays with the substrate to directly fabricate heterojunction photovoltaic cells.

  5. Ultra High p-doping Material Research for GaN Based Light Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vladimir Dmitriev

    2007-06-30

    providing better understanding of p-type GaN formation for Solid State Lighting community. Grown p-type GaN layers were used as substrates for blue and green InGaN-based LEDs made by HVPE technology at TDI. These results proved proposed technical approach and facilitate fabrication of highly conductive p-GaN materials by low-cost HVPE technology for solid state lighting applications. TDI has started the commercialization of p-GaN epitaxial materials.« less

  6. High active nitrogen flux growth of GaN by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McSkimming, Brian M., E-mail: mcskimming@engineering.ucsb.edu; Speck, James S.; Chaix, Catherine

    2015-09-15

    In the present study, the authors report on a modified Riber radio frequency (RF) nitrogen plasma source that provides active nitrogen fluxes more than 30 times higher than those commonly used for plasma assisted molecular beam epitaxy (PAMBE) growth of gallium nitride (GaN) and thus a significantly higher growth rate than has been previously reported. GaN films were grown using N{sub 2} gas flow rates between 5 and 25 sccm while varying the plasma source's RF forward power from 200 to 600 W. The highest growth rate, and therefore the highest active nitrogen flux, achieved was ∼7.6 μm/h. For optimized growth conditions,more » the surfaces displayed a clear step-terrace structure with an average RMS roughness (3 × 3 μm) on the order of 1 nm. Secondary ion mass spectroscopy impurity analysis demonstrates oxygen and hydrogen incorporation of 1 × 10{sup 16} and ∼5 × 10{sup 17}, respectively. In addition, the authors have achieved PAMBE growth of GaN at a substrate temperature more than 150 °C greater than our standard Ga rich GaN growth regime and ∼100 °C greater than any previously reported PAMBE growth of GaN. This growth temperature corresponds to GaN decomposition in vacuum of more than 20 nm/min; a regime previously unattainable with conventional nitrogen plasma sources. Arrhenius analysis of the decomposition rate shows that samples with a flux ratio below stoichiometry have an activation energy greater than decomposition of GaN in vacuum while samples grown at or above stoichiometry have decreased activation energy. The activation energy of decomposition for GaN in vacuum was previously determined to be ∼3.1 eV. For a Ga/N flux ratio of ∼1.5, this activation energy was found to be ∼2.8 eV, while for a Ga/N flux ratio of ∼0.5, it was found to be ∼7.9 eV.« less

  7. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  8. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  9. (abstract) Transmission Electron Microscopy of Al(sub x)Ga(sub 1-x)N/SiC Multilayer Structures Grown on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Pike, W. T.; George, T.; Khan, M. A.; Kuznia, J. N.

    1994-01-01

    The potential of wide-band-gap III-V nitrides as ultraviolet sensors and light emitters has prompted an increasing amount of work recently, including the fabrication of the first UV sensors from as-deposited single crystal GaN. We have used high resolution transmission electron microscopy (TEM) to study the microstructure of two novel developments of wide-band-gap III-V nitrides: the growth of ultra-short period GaN/AlN superlattices; and the incorporation of SiC layers into Al(sub x)Ga(sub 1-x)N structures. By varying the relative periods in a GaN/AlN superlattice, the band gap of the composite can be tailored to lie between the elemental values of 365 nm for GaN and 200 nm for AlN. The group IV semiconductor, SiC, has a wide band-gap and has a close lattice match (less than 3 %) to Al(sub x)Ga(sub 1-x)N for growth on the basal plane. Demonstration of epitaxial growth for Al(sub x)Ga(sub 1-x)N/SiC multilayers would introduce a wide band-gap analog to the already existing family of III-V and Si(sub 1-x)Ge(sub x) heteroepitaxial growth systems. Although good quality growth of GaN on SiC substrates has been demonstrated, Al(sub x)Ga(sub 1-x)N/SiC multilayer structures have never been grown and the interfacial structure is unknown.

  10. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    NASA Astrophysics Data System (ADS)

    Fenwick, William Edward

    into the subsequent GaN layer was studied in more detail. Several approaches were investigated---for example, transition layers such as Al2O3 and Al xGa1-xN/GaN---to minimize diffusion of these impurities into the GaN layer. Silicon, due to its prevalence, is the most promising material for the development of an inexpensive, large-area substrate technology. The challenge in MOCVD growth of GaN on Si is the tensile strain induced by the lattice and thermal mismatch between GaN and Si and the formation of anti-phase boundaries. Typical approaches to solve these problems involve complicated and multiple buffer layer structures, which lead to relatively slow growth rates. In this work, a thin atomic layer deposition (ALD)-grown Al2O3 interlayer was employed to relieve strain and increase material quality while also simplifying the growth process. While some residual strain was still observed in the GaN material by XRD and PL, the use of this oxide interlayer leads to an improvement in thin film quality as seen by a reduction in both crack density (<1 mm-2) on ALD-Al2O3/Si) and screw dislocation density (from 3x109cm-2 on bare Si to 2x108cm-2 on ALD-Al 2O3/Si) in the GaN films. A side-by-side comparison of GaN-based multiple quantum well LEDs grown on sapphire and on Al2O3/Si shows similar performance characteristic for both device structures. A redshift in peak emission wavelength was also observed on silicon compared to sapphire, and this is attributed to higher indium content due to the slight tensile strain in the layers on silicon. IQE of the devices on silicon is ˜32% as measured by LT-PL, compared to ˜37% on sapphire, but this difference can be assigned to the difference in indium compositions. These results show a great promise toward an inexpensive, large-area, silicon-based substrate technology for MOCVD growth of the next generation of GaN-based optoelectronic devices for SSL and other applications.

  11. Identification of point defects in HVPE-grown GaN by steady-state and time-resolved photoluminescence

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Demchenko, D. O.; Usikov, A.; Helava, H.; Makarov, Yu.

    2015-03-01

    We have investigated point defects in GaN grown by HVPE by using steady-state and time-resolved photoluminescence (PL). Among the most common PL bands in this material are the red luminescence band with a maximum at 1.8 eV and a zero-phonon line (ZPL) at 2.36 eV (attributed to an unknown acceptor having an energy level 1.130 eV above the valence band), the blue luminescence band with a maximum at 2.9 eV (attributed to ZnGa), and the ultraviolet luminescence band with the main peak at 3.27 eV (related to an unknown shallow acceptor). In GaN with the highest quality, the dominant defect-related PL band at high excitation intensity is the green luminescence band with a maximum at about 2.4 eV. We attribute this band to transitions of electrons from the conduction band to the 0/+ level of the isolated CN defect. The yellow luminescence (YL) band, related to transitions via the -/0 level of the same defect, has a maximum at 2.1 eV. Another yellow luminescence band, which has similar shape but peaks at about 2.2 eV, is observed in less pure GaN samples and is attributed to the CNON complex. In semi-insulating GaN, the GL2 band with a maximum at 2.35 eV (attributed to VN) and the BL2 band with a maximum at 3.0 eV and the ZPL at 3.33 eV (attributed to a defect complex involving hydrogen) are observed. We also conclude that the gallium vacancy-related defects act as centers of nonradiative recombination.

  12. Vacancy-hydrogen complexes in ammonothermal GaN

    NASA Astrophysics Data System (ADS)

    Tuomisto, F.; Kuittinen, T.; Zając, M.; Doradziński, R.; Wasik, D.

    2014-10-01

    We have applied positron annihilation spectroscopy to study in-grown vacancy defects in bulk GaN crystals grown by the ammonothermal method. We observe a high concentration of Ga vacancy related defects in n-type samples with varying free electron and oxygen content. The positron lifetimes found in these samples suggest that the Ga vacancies are complexed with hydrogen impurities. The number of hydrogen atoms in each vacancy decreases with increasing free electron concentration and oxygen and hydrogen content. The local vibrational modes observed in infrared absorption support this conclusion. Growth of high-quality ammonothermal GaN single crystals with varying electron concentrations. Identification of defect complexes containing a Ga vacancy and 1 or more hydrogen atoms, and possibly O. These vacancy complexes provide a likely explanation for electrical compensation in ammonothermal GaN.

  13. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  15. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  16. Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Pei-Yu; Posadas, Agham B.; Kwon, Sunah; Wang, Qingxiao; Kim, Moon J.; Demkov, Alexander A.; Ekerdt, John G.

    2017-12-01

    Growth of crystalline Er2O3, a rare earth sesquioxide, on GaN(0001) is described. Ex situ HCl and NH4OH solutions and an in situ N2 plasma are used to remove impurities on the GaN surface and result in a Ga/N stoichiometry of 1.02. Using atomic layer deposition with erbium tris(isopropylcyclopentadienyl) [Er(iPrCp)3] and water, crystalline cubic Er2O3 (C-Er2O3) is grown on GaN at 250 °C. The orientation relationships between the C-Er2O3 film and the GaN substrate are C-Er2O3(222) ǁ GaN(0001), C-Er2O3⟨-440⟩ ǁ GaN ⟨11-20⟩, and C-Er2O3⟨-211⟩ ǁ GaN ⟨1-100⟩. Scanning transmission electron microscopy and electron energy loss spectroscopy are used to examine the microstructure of C-Er2O3 and its interface with GaN. With post-deposition annealing at 600 °C, a thicker interfacial layer is observed, and two transition layers, crystalline GaNwOz and crystalline GaErxOy, are found between GaN and C-Er2O3. The tensile strain in the C-Er2O3 film is studied with x-ray diffraction by changes in both out-of-plane and in-plane d-spacing. Fully relaxed C-Er2O3 films on GaN are obtained when the film thickness is around 13 nm. Additionally, a valence band offset of 0.7 eV and a conduction band offset of 1.2 eV are obtained using x-ray photoelectron spectroscopy.

  17. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  18. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  19. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  20. Effect of screw threading dislocations and inverse domain boundaries in GaN on the shape of reciprocal-space maps.

    PubMed

    Barchuk, Mykhailo; Motylenko, Mykhaylo; Lukin, Gleb; Pätzold, Olf; Rafaja, David

    2017-04-01

    The microstructure of polar GaN layers, grown by upgraded high-temperature vapour phase epitaxy on [001]-oriented sapphire substrates, was studied by means of high-resolution X-ray diffraction and transmission electron microscopy. Systematic differences between reciprocal-space maps measured by X-ray diffraction and those which were simulated for different densities of threading dislocations revealed that threading dislocations are not the only microstructure defect in these GaN layers. Conventional dark-field transmission electron microscopy and convergent-beam electron diffraction detected vertical inversion domains as an additional microstructure feature. On a series of polar GaN layers with different proportions of threading dislocations and inversion domain boundaries, this contribution illustrates the capability and limitations of coplanar reciprocal-space mapping by X-ray diffraction to distinguish between these microstructure features.

  1. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  2. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    PubMed

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  3. High Quality, Low Cost Bulk Gallium Nitride Substrates Grown by the Electrochemical Solution Growth Method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seacrist, Michael

    The objective of this project was to develop the Electrochemical Solution Growth (ESG) method conceived / patented at Sandia National Laboratory into a commercially viable bulk gallium nitride (GaN) growth process that can be scaled to low cost, high quality, and large area GaN wafer substrate manufacturing. The goal was to advance the ESG growth technology by demonstrating rotating seed growth at the lab scale and then transitioning process to prototype commercial system, while validating the GaN material and electronic / optical device quality. The desired outcome of the project is a prototype commercial process for US-based manufacturing of highmore » quality, large area, and lower cost GaN substrates that can drive widespread deployment of energy efficient GaN-based power electronic and optical devices. In year 1 of the project (Sept 2012 – Dec 2013) the overall objective was to demonstrate crystalline GaN growth > 100um on a GaN seed crystal. The development plan included tasks to demonstrate and implement a method for purifying reagent grade salts, develop the reactor 1 process for rotating seed Electrochemical Solution Growth (ESG) of GaN, grow and characterize ESG GaN films, develop a fluid flow and reaction chemistry model for GaN film growth, and design / build an improved growth reactor capable of scaling to 50mm seed diameter. The first year’s project objectives were met in some task areas including salt purification, film characterization, modeling, and reactor 2 design / fabrication. However, the key project objective of the growth of a crystalline GaN film on the seed template was not achieved. Amorphous film growth on the order of a few tenths of a micron has been detected with a film composition including Ga and N, plus several other impurities originating from the process solution and hardware. The presence of these impurities, particularly the oxygen, has inhibited the demonstration of crystalline GaN film growth on the seed template

  4. Impact of extended defects on optical properties of (1-101)GaN grown on patterned Si

    NASA Astrophysics Data System (ADS)

    Okur, S.; Izyumskaya, N.; Zhang, F.; Avrutin, V.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.; Özgür, Ü.

    2014-03-01

    The optical quality of semipolar (1 101)GaN layers was explored by time- and polarization-resolved photoluminescence spectroscopy. High intensity bandedge emission was observed in +c-wing regions of the stripes as a result of better structural quality, while -c-wing regions were found to be of poorer optical quality due to basal plane and prismatic stacking faults (BSFs and PSFs) in addition to a high density of TDs. The high optical quality region formed on the +cwings was evidenced also from the much slower biexponential PL decays (0.22 ns and 1.70 ns) and an order of magnitude smaller amplitude ratio of the fast decay (nonradiative origin) to the slow decay component (radiative origin) compared to the -c-wing regions. In regard to defect-related emission, decay times for the BSF and PSF emission lines at 25 K (~ 0.80 ns and ~ 3.5 ns, respectively) were independent of the excitation density within the range employed (5 - 420 W/cm2), and much longer than that for the donor bound excitons (0.13 ns at 5 W/cm2 and 0.22 ns at 420 W/cm2). It was also found that the emission from BSFs had lower polarization degree (0.22) than that from donor bound excitons (0.35). The diminution of the polarization degree when photogenerated carriers recombine within the BSFs is another indication of the negative effects of stacking faults on the optical quality of the semipolar (1101)GaN. In addition, spatial distribution of defects in semipolar (1101)-oriented InGaN active region layers grown on stripe patterned Si substrates was investigated using near-field scanning optical microscopy. The optical quality of -c- wing regions was found to be worse compared to +c-wing regions due to the presence of higher density of stacking faults and threading dislocations. The emission from the +c-wings was very bright and relatively uniform across the sample, which is indicative of a homogeneous In distribution.

  5. Ab initio calculations on the initial stages of GaN and ZnO growth on lattice-matched ScAlMgO4 (0001) substrates

    NASA Astrophysics Data System (ADS)

    Guo, Yao; Wang, Yanfei; Li, Chengbo; Li, Xianchang; Niu, Yongsheng; Hou, Shaogang

    2016-12-01

    The initial stages of GaN and ZnO epitaxial growth on lattice-matched ScAlMgO4 substrates have been investigated by ab initio calculation. The geometrical parameters and electronic structure of ScAlMgO4 bulk and (0001) surface have been investigated by density-functional first-principles study. The effects of different surface terminations have been examined through surface energy and relaxation calculations. The O-Mg-O termination is more favorable than other terminations by comparing the calculated surface energies. It should be accepted as the appropriate surface structure in subsequent calculation. The initial stages of GaN and ZnO epitaxial growths are discussed based on the adsorption and diffusion of the adatoms on reconstructed ScAlMgO4 (0001) surface. According to theoretical characterizations, N adatom on the surface is more stable than Ga. O adatom is more favorable than Zn. These observations lead to the formation of GaN and ZnO epilayer and explain experimentally-confirmed in-plane alignment mechanisms of GaN and ZnO on ScAlMgO4 substrates. Furthermore, the polarity of GaN and ZnO surfaces on ScAlMgO4 (0001) at the initial growth stage have been explored by ab initio calculation. Theoretical studies indicate that the predominant growths of Ga-polar GaN and Zn-polar ZnO are determined by the initial growth stage.

  6. Growth of blue GaN LED structures on 150-mm Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Dadgar, A.; Hums, C.; Diez, A.; Bläsing, J.; Krost, A.

    2006-12-01

    Up to 5.4-μm thick GaN on Si light emitting diode (LED) structures were grown by metalorganic chemical vapor phase epitaxy (MOVPE) on 150 mm Si(1 1 1) substrates. In-situ curvature measurements enable monitoring of stress development during growth and the influence of interlayers on strain balancing after cooling. In X-ray diffraction (XRD) ω-scans the GaN (0 0 0 2) reflection is about 380 arcsec and in θ-2 θ measurements the InGaN/GaN MQW interference peaks are well resolved indicating the high quality of the grown structure. In comparison to the growth on 2-in sapphire the wafer curvature after growth is low (>50 m) for the growth on Si and also during MQW growth at low temperatures a homogeneous wafer temperature can be achieved. The standard deviation of the wavelength over the whole 150-mm test wafer (5-mm edge exclusion) is <3.5 nm and reflects the three different heater zones of the MOVPE system used.

  7. Mg incorporation in GaN grown by plasma-assisted molecular beam epitaxy at high temperatures

    NASA Astrophysics Data System (ADS)

    Yang, W. C.; Lee, P. Y.; Tseng, H. Y.; Lin, C. W.; Tseng, Y. T.; Cheng, K. Y.

    2016-04-01

    The influence of growth conditions on the incorporation and activation of Mg in GaN grown by plasma-assisted molecular beam epitaxy at high growth temperature (>700 °C) is presented. It is found that the highest Mg incorporation with optimized electrical properties is highly sensitive both to the Mg/Ga flux ratio and III/V flux ratio. A maximum Mg activation of ~5% can be achieved at a growth temperature of 750 °C. The lowest resistivity achieved is 0.56 Ω-cm which is associated with a high hole mobility of 6.42 cm2/V-s and a moderately high hole concentration of 1.7×1018 cm-3. Although the highest hole concentration achieved in a sample grown under a low III/V flux ratio and a high Mg/Ga flux ratio reaches 7.5×1018 cm-3, the mobility is suffered due to the formation of defects by the excess Mg. In addition, we show that modulated beam growth methods do not enhance Mg incorporation at high growth temperature in contrast to those grown at a low temperature of 500 °C (Appl. Phys. Lett. 93, 172112, Namkoong et al., 2008 [19]).

  8. Resonant Raman and FTIR spectra of carbon doped GaN

    NASA Astrophysics Data System (ADS)

    Ito, S.; Kobayashi, H.; Araki, K.; Suzuki, K.; Sawaki, N.; Yamashita, K.; Honda, Y.; Amano, H.

    2015-03-01

    Intentionally carbon (C) doped (0 0 0 1)GaN was grown using C2H2 on a sapphire substrate by metalorganic vapor phase epitaxy. Optical spectra of the heavily doped samples were investigated at room temperature. In Raman spectra excited by the 325 nm line of a He-Cd laser, multiple LO phonon scattering signals up to 7th order were observed, and the A1(LO) phonon energy was determined to be 737.5 cm-1 (91.45 meV). In infrared reflectance spectra, on the other hand, a local vibration mode was found at 777.5 cm-1, which is attributed to a Ga-C bond in the GaN matrix suggesting that the C sits on an N site (CN). In spite of the strong suggestion of CN, the samples did not show p-type conduction. Possible origin of the carrier compensation is discussed in relation to the enhancement of defect related yellow luminescence in the photoluminescence spectra.

  9. Optical signature of Mg-doped GaN: Transfer processes

    NASA Astrophysics Data System (ADS)

    Callsen, G.; Wagner, M. R.; Kure, T.; Reparaz, J. S.; Bügler, M.; Brunnmeier, J.; Nenstiel, C.; Hoffmann, A.; Hoffmann, M.; Tweedie, J.; Bryan, Z.; Aygun, S.; Kirste, R.; Collazo, R.; Sitar, Z.

    2012-08-01

    Mg doping of high quality, metal organic chemical vapor deposition grown GaN films results in distinct traces in their photoluminescence and photoluminescence excitation spectra. We analyze GaN:Mg grown on sapphire substrates and identify two Mg related acceptor states, one additional acceptor state and three donor states that are involved in the donor-acceptor pair band transitions situated at 3.26-3.29 eV in GaN:Mg. The presented determination of the donor-acceptor pair band excitation channels by photoluminescence excitation spectroscopy in conjunction with temperature-dependent photoluminescence measurements results in a direct determination of the donor and acceptor binding, localization, and activation energies, which is put into a broader context based on Haynes's rule. Furthermore, we analyze the biexponential decay dynamics of the photoluminescence signal of the acceptor and donor bound excitons. As all observed lifetimes scale with the localization energy of the donor and acceptor related bound excitons, defect and complex bound excitons can be excluded as their origin. Detailed analysis of the exciton transfer processes in the close energetic vicinity of the GaN band edge reveals excitation via free and bound excitonic channels but also via an excited state as resolved for the deepest localized Mg related acceptor bound exciton. For the two Mg acceptor states, we determine binding energies of 164 ± 5 and 195 ± 5 meV, which is in good agreement with recent density functional theory results. This observation confirms and quantifies the general dual nature of acceptor states in GaN based on the presented analysis of the photoluminescence and photoluminescence excitation spectra.

  10. Stable and High Piezoelectric Output of GaN Nanowire-Based Lead-Free Piezoelectric Nanogenerator by Suppression of Internal Screening.

    PubMed

    Johar, Muhammad Ali; Hassan, Mostafa Afifi; Waseem, Aadil; Ha, Jun-Seok; Lee, June Key; Ryu, Sang-Wan

    2018-06-14

    A piezoelectric nanogenerator (PNG) that is based on c-axis GaN nanowires is fabricated on flexible substrate. In this regard, c-axis GaN nanowires were grown on GaN substrate using the vapor-liquid-solid (VLS) technique by metal organic chemical vapor deposition. Further, Polydimethylsiloxane (PDMS) was coated on nanowire-arrays then PDMS matrix embedded with GaN nanowire-arrays was transferred on Si-rubber substrate. The piezoelectric performance of nanowire-based flexible PNG was measured, while the device was actuated using a cyclic stretching-releasing agitation mechanism that was driven by a linear motor. The piezoelectric output was measured as a function of actuation frequency ranging from 1 Hz to 10 Hz and a linear tendency was observed for piezoelectric output current, while the output voltages remained constant. A maximum of piezoelectric open circuit voltages and short circuit current were measured 15.4 V and 85.6 nA, respectively. In order to evaluate the feasibility of our flexible PNG for real application, a long term stability test was performed for 20,000 cycles and the device performance was degraded by less than 18%. The underlying reason for the high piezoelectric output was attributed to the reduced free carriers inside nanowires due to surface Fermi-level pinning and insulating metal-dielectric-semiconductor interface, respectively; the former reduced the free carrier screening radially while latter reduced longitudinally. The flexibility and the high aspect ratio of GaN nanowire were the responsible factors for higher stability. Such higher piezoelectric output and the novel design make our device more promising for the diverse range of real applications.

  11. Selective formation of GaN-based nanorod heterostructures on soda-lime glass substrates by a local heating method.

    PubMed

    Hong, Young Joon; Kim, Yong-Jin; Jeon, Jong-Myeong; Kim, Miyoung; Choi, Jun Hee; Baik, Chan Wook; Kim, Sun Il; Park, Sung Soo; Kim, Jong Min; Yi, Gyu-Chul

    2011-05-20

    We report on the fabrication of high-quality GaN on soda-lime glass substrates, heretofore precluded by both the intolerance of soda-lime glass to the high temperatures required for III-nitride growth and the lack of an epitaxial relationship with amorphous glass. The difficulties were circumvented by heteroepitaxial coating of GaN on ZnO nanorods via a local microheating method. Metal-organic chemical vapor deposition of ZnO nanorods and GaN layers using the microheater arrays produced high-quality GaN/ZnO coaxial nanorod heterostructures at only the desired regions on the soda-lime glass substrates. High-resolution transmission electron microscopy examination of the coaxial nanorod heterostructures indicated the formation of an abrupt, semicoherent interface. Photoluminescence and cathodoluminescence spectroscopy was also applied to confirm the high optical quality of the coaxial nanorod heterostructures. Mg-doped GaN/ZnO coaxial nanorod heterostructure arrays, whose GaN shell layers were grown with various different magnesocene flow rates, were further investigated by using photoluminescence spectroscopy for the p-type doping characteristics. The suggested method for fabrication of III-nitrides on glass substrates signifies potentials for low-cost and large-size optoelectronic device applications.

  12. High-fluence ion implantation in silicon carbide for fabrication of a compliant substrate

    NASA Astrophysics Data System (ADS)

    Lioubtchenko, Mikhail

    GaN and related nitrides are promising materials for applications as UV/blue light emitters and in high-power, high-temperature electonic devices. Unfortunately, the vast potential of these materials cannot be realized effectively due to a large density of threading dislocations, arising from large lattice mismatch between GaN and utilized substrates. Therefore, a new approach to the heteroepitaxial growth is desirable, and a compliant substrate might help to remedy the situation. A modified model for the compliant substrate consisting of the compliant membrane glued to a thick handling substrate by a soft layer was proposed. We have chosen 6H-SiC as a starting substrate and ion implantation as a means of creating a buried layer. High fluence ion implantation of different species in 6H-SiC was performed at elevated temperatures and damage removal/accumulation was studied. It was found that temperatures around 1600°C are necessary to successfully recrystallize the radiation damage for Ti, Ga, Si and C implantations, but no damage removal was monitored for In implantation. In order to minimize the damage produced during ion implantation, it was decided to employ a multistep process in which each implantation step was followed by annealing. This approach was realized for 125 keV Ti++ and 300 keV Ga+ implantations up to a total dose of 1.8 x 1017 cm--2. Ti-implanted substrates were shown to retain good quality in the top layer, whereas Ga implantation preserves the quality of the near-surface region only at lower doses. The implanted species concentration was monitored after each step using Rutherford Backscattering (RBS). GaN films were grown on the prepared substrates and a control SiC sample by MOCVD. TEM and photoluminescence measurements have demonstrated that the quality of GaN films improves upon growth on compliant substrates.

  13. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  14. LWIR HgCdTe Detectors Grown on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Vilela, M. F.; Lofgreen, D. D.; Smith, E. P. G.; Newton, M. D.; Venzor, G. M.; Peterson, J. M.; Franklin, J. J.; Reddy, M.; Thai, Y.; Patten, E. A.; Johnson, S. M.; Tidrow, M. Z.

    2008-09-01

    Long-wavelength infrared (LWIR) HgCdTe p-on- n double-layer heterojunctions (DLHJs) for infrared detector applications have been grown on 100 mm Ge (112) substrates by molecular beam epitaxy (MBE). The objective of this current work was to grow our baseline p-on- n DLHJ detector structure (used earlier on Si substrates) on 100 mm Ge substrates in the 10 μm to 11 μm LWIR spectral region, evaluate the material properties, and obtain some preliminary detector performance data. Material characterization techniques included are X-ray rocking curves, etch pit density (EPD) measurements, compositional uniformity determined from Fourier-transform infrared (FTIR) transmission, and doping concentrations determined from secondary-ion mass spectroscopy (SIMS). Detector properties include resistance-area product (RoA), spectral response, and quantum efficiency. Results of LWIR HgCdTe detectors and test structure arrays (TSA) fabricated on both Ge and silicon (Si) substrates are presented and compared. Material properties demonstrated include X-ray full-width of half-maximum (FWHM) as low as 77 arcsec, typical etch pit densities in mid 106 cm-2 and wavelength cutoff maximum/minimum variation <2% across the full wafer. Detector characteristics were found to be nearly identical for HgCdTe grown on either Ge or Si substrates.

  15. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  16. Codoping characteristics of Zn with Mg in GaN

    NASA Astrophysics Data System (ADS)

    Kim, K. S.; Han, M. S.; Yang, G. M.; Youn, C. J.; Lee, H. J.; Cho, H. K.; Lee, J. Y.

    2000-08-01

    The doping characteristics of Mg-Zn codoped GaN films grown by metalorganic chemical vapor deposition are investigated. By means of the concept of Mg-Zn codoping technique, we have grown p-GaN showing a low electrical resistivity (0.72 Ω cm) and a high hole concentration (8.5×1017cm-3) without structural degradation of the film. It is thought that the codoping of Zn atoms with Mg raises the Mg activation ratio by reducing the hydrogen solubility in p-GaN. In addition, the measured specific contact resistance of Mg-Zn codoped GaN film is 5.0×10-4 Ω cm2, which is one order of magnitude lower than that of Mg doped only GaN film (1.9×10-3 Ω cm2).

  17. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  18. Effect of stacking faults on the photoluminescence spectrum of zincblende GaN

    NASA Astrophysics Data System (ADS)

    Church, S. A.; Hammersley, S.; Mitchell, P. W.; Kappers, M. J.; Lee, L. Y.; Massabuau, F.; Sahonta, S. L.; Frentrup, M.; Shaw, L. J.; Wallis, D. J.; Humphreys, C. J.; Oliver, R. A.; Binks, D. J.; Dawson, P.

    2018-05-01

    The photoluminescence spectra of a zincblende GaN epilayer grown via metal-organic chemical vapour deposition upon 3C-SiC/Si (001) substrates were investigated. Of particular interest was a broad emission band centered at 3.4 eV, with a FWHM of 200 meV, which extends above the bandgap of both zincblende and wurtzite GaN. Photoluminescence excitation measurements show that this band is associated with an absorption edge centered at 3.6 eV. Photoluminescence time decays for the band are monoexponential, with lifetimes that reduce from 0.67 ns to 0.15 ns as the recombination energy increases. TEM measurements show no evidence of wurtzite GaN inclusions which are typically used to explain emission in this energy range. However, dense stacking fault bunches are present in the epilayers. A model for the band alignment at the stacking faults was developed to explain this emission band, showing how both electrons and holes can be confined adjacent to stacking faults. Different stacking fault separations can change the carrier confinement energies sufficiently to explain the width of the emission band, and change the carrier wavefunction overlap to account for the variation in decay time.

  19. Droplet heteroepitaxy of zinc-blende vs. wurtzite GaN quantum dots

    NASA Astrophysics Data System (ADS)

    Reese, C.; Jeon, S.; Hill, T.; Jones, C.; Shusterman, S.; Yacoby, Y.; Clarke, R.; Deng, H.; Goldman, Rs

    We have developed a GaN droplet heteroepitaxy process based upon plasma-assisted molecular-beam epitaxy. Using various surface treatments and Ga deposition parameters, we have demonstrated polycrystalline, zinc-blende (ZB), and wurtzite (WZ) GaN quantum dots (QDs) on Si(001), r-Al2O3, Si(111), and c-GaN substrates. For the polar substrates (i.e. Si(111) and c-GaN), high-resolution transmission electron microscopy and coherent Bragg rod analysis reveals the formation of coherent WZ GaN QDs with nitridation-temperature-dependent sizes and densities. For the non-polar substrates (i.e. Si(001) and r-Al2O3) , QDs with strong near-band photoluminescence emission are observed and ZB GaN QD growth on Si(001) is demonstrated for the first time.

  20. Improved field emission properties of carbon nanotubes grown on stainless steel substrate and its application in ionization gauge

    NASA Astrophysics Data System (ADS)

    Li, Detian; Cheng, Yongjun; Wang, Yongjun; Zhang, Huzhong; Dong, Changkun; Li, Da

    2016-03-01

    Vertically aligned carbon nanotube (CNT) arrays were fabricated by chemical vapor deposition (CVD) technique on different substrates. Microstructures and field emission characteristics of the as-grown CNT arrays were investigated systematically, and its application in ionization gauge was also evaluated preliminarily. The results indicate that the as-grown CNT arrays are vertically well-aligned relating to the substrate surfaces, but the CNTs grown on stainless steel substrate are longer and more crystalline than the ones grown on silicon wafer substrate. The field emission behaviors of the as-grown CNT arrays are strongly dependent upon substrate properties. Namely, the CNT array grown on stainless steel substrate has better field emission properties, including lower turn on and threshold fields, better emission stability and repeatability, compared with the one grown on silicon wafer substrate. The superior field emission properties of the CNT array grown on stainless steel substrate are mainly attributed to low contact resistance, high thermal conductivity, good adhesion strength, etc. In addition, the metrological behaviors of ionization gauge with the CNT array grown on stainless steel substrate as an electron source were investigated, and this novel cathode ionization gauge extends the lower limit of linear pressure measurement to 10-8 Pa, which is one order of magnitude lower than the result reported for the same of gauge with CNT cathode.

  1. Detection of deep-level defects and reduced carrier concentration in Mg-ion-implanted GaN before high-temperature annealing

    NASA Astrophysics Data System (ADS)

    Akazawa, Masamichi; Yokota, Naoshige; Uetake, Kei

    2018-02-01

    We report experimental results for the detection of deep-level defects in GaN after Mg ion implantation before high-temperature annealing. The n-type GaN samples were grown on GaN free-standing substrates by metalorganic vapor phase epitaxy. Mg ions were implanted at 50 keV with a small dosage of 1.5×1011 cm-2, which did not change the conduction type of the n-GaN. By depositing Al2O3 and a Ni/Au electrode onto the implanted n-GaN, metal-oxide-semiconductor (MOS) diodes were fabricated and tested. The measured capacitance-voltage (C-V) characteristics showed a particular behavior with a plateau region and a region with an anomalously steep slope. Fitting to the experimental C-V curves by simulation showed the existence of deep-level defects and a reduction of the carrier concentration near the GaN surface. By annealing at 800oC, the density of the deep-level defects was reduced and the carrier concentration partially recovered.

  2. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    NASA Astrophysics Data System (ADS)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  3. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  4. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns

    PubMed Central

    2011-01-01

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials. PMID:22168918

  5. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns.

    PubMed

    Barbagini, Francesca; Bengoechea-Encabo, Ana; Albert, Steven; Martinez, Javier; Sanchez García, Miguel Angel; Trampert, Achim; Calleja, Enrique

    2011-12-14

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials.

  6. Stacking fault related luminescence in GaN nanorods.

    PubMed

    Forsberg, M; Serban, A; Poenaru, I; Hsiao, C-L; Junaid, M; Birch, J; Pozina, G

    2015-09-04

    Optical and structural properties are presented for GaN nanorods (NRs) grown in the [0001] direction on Si(111) substrates by direct-current reactive magnetron sputter epitaxy. Transmission electron microscopy (TEM) reveals clusters of dense stacking faults (SFs) regularly distributed along the c-axis. A strong emission line at ∼3.42 eV associated with the basal-plane SFs has been observed in luminescence spectra. The optical signature of SFs is stable up to room temperatures with the activation energy of ∼20 meV. Temperature-dependent time-resolved photoluminescence properties suggest that the recombination mechanism of the 3.42 eV emission can be understood in terms of multiple quantum wells self-organized along the growth axis of NRs.

  7. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  8. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  9. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  10. Properties of Mg and Zn acceptors in MOVPE GaN as studied by optically detected magnetic resonance

    NASA Astrophysics Data System (ADS)

    Kunzer, M.; Baur, J.; Kaufmann, U.; Schneider, J.; Amano, H.; Akasaki, I.

    1997-02-01

    We have studied the photoluminescence (PL) and optically detected magnetic resonance (ODMR) of undoped, n-doped and p-doped thin wurtzite GaN layers grown by metal-organic chemical vapor deposition on sapphire substrates. The ODMR data obtained for undoped. Mg-doped and Zn-doped GaN layers provide an insight into the recombination mechanisms responsible for the broad yellow (2.25 eV), the violet (3.15 eV) and the blue (2.8 eV) PL bands, respectively. The ODMR results for Mg and Zn also show that these acceptors do not behave effective mass like and indicate that the acceptor hole is mainly localized in the nearest neighbor shell surrounding the acceptor core. In addition concentration effects in heavily doped GaN:Mg have been studied.

  11. Microstructural study of Mg-doped p-type GaN: Correlation between high-resolution electron microscopy and Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Tsen, S.-C. Y.; Smith, David J.; Tsen, K. T.; Kim, W.; Morkoç, H.

    1997-12-01

    A series of Mg-doped GaN films (˜1-1.3 μm) grown by reactive molecular beam epitaxy at substrate temperatures of 750 and 800 °C has been studied by high-resolution electron microscopy (HREM) and Raman spectroscopy. Stacking defects parallel to the substrate surface were observed in samples grown on sapphire substrates at 750 °C with AlN buffer layers (60-70 nm) at low Mg concentration. A transition region with mixed zinc-blende cubic (c) and wurtzite hexagonal (h) phases having the relative orientations of (111)c//(00.1)h and (11¯0)c//(10.0)h was observed for increased Mg concentration. The top surfaces of highly doped samples were rough and assumed a completely zinc-blende phase with some inclined stacking faults. Samples grown with a Mg cell temperature of 350 °C and high doping levels were highly disordered with many small crystals having inclined stacking faults, microtwins, and defective wurtzite and zinc-blende phases. Correlation between HREM and Raman scattering results points towards the presence of compressive lattice distortion along the growth direction which might be attributable to structural defects. The films grown at 800 °C had better quality with less observable defects and less yellow luminescence than samples grown at 750 °C.

  12. Controlling the optical properties of monocrystalline 3C-SiC heteroepitaxially grown on silicon at low temperatures

    NASA Astrophysics Data System (ADS)

    Colston, Gerard; Myronov, Maksym

    2017-11-01

    Cubic silicon carbide (3C-SiC) offers an alternative wide bandgap semiconductor to conventional materials such as hexagonal silicon carbide (4H-SiC) or gallium nitride (GaN) for the detection of UV light and can offer a closely lattice matched virtual substrate for subsequent GaN heteroepitaxy. As 3C-SiC can be heteroepitaxially grown on silicon (Si) substrates its optical properties can be manipulated by controlling the thickness and doping concentrations. The optical properties of 3C-SiC epilayers have been characterized by measuring the transmission of light through suspended membranes. Decreasing the thickness of the 3C-SiC epilayers is shown to shift the absorbance edge to lower wavelengths, a result of the indirect bandgap nature of silicon carbide. This property, among others, can be exploited to fabricate very low-cost, tuneable 3C-SiC based UV photodetectors. This study investigates the effect of thickness and doping concentration on the optical properties of 3C-SiC epilayers grown at low temperatures by a standard Si based growth process. The results demonstrate the potential photonic applications of 3C-SiC and its heterogeneous integration into the Si industry.

  13. Fine structure of the red luminescence band in undoped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reshchikov, M. A., E-mail: mreshchi@vcu.edu; Usikov, A.; Saint-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49 Kronverkskiy Ave., 197101 Saint Petersburg

    2014-01-20

    Many point defects in GaN responsible for broad photoluminescence (PL) bands remain unidentified. Their presence in thick GaN layers grown by hydride vapor phase epitaxy (HVPE) detrimentally affects the material quality and may hinder the use of GaN in high-power electronic devices. One of the main PL bands in HVPE-grown GaN is the red luminescence (RL) band with a maximum at 1.8 eV. We observed the fine structure of this band with a zero-phonon line (ZPL) at 2.36 eV, which may help to identify the related defect. The shift of the ZPL with excitation intensity and the temperature-related transformation of the RLmore » band fine structure indicate that the RL band is caused by transitions from a shallow donor (at low temperature) or from the conduction band (above 50 K) to an unknown deep acceptor having an energy level 1.130 eV above the valence band.« less

  14. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    NASA Astrophysics Data System (ADS)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  15. The Formation and Characterization of GaN Hexagonal Pyramids

    NASA Astrophysics Data System (ADS)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  16. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  17. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2002-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  18. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2000-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  19. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  20. Basic ammonothermal GaN growth in molybdenum capsules

    NASA Astrophysics Data System (ADS)

    Pimputkar, S.; Speck, J. S.; Nakamura, S.

    2016-12-01

    Single crystal, bulk gallium nitride (GaN) crystals were grown using the basic ammonothermal method in a high purity growth environment created using a non-hermetically sealed molybdenum (Mo) capsule and compared to growths performed in a similarly designed silver (Ag) capsule and capsule-free René 41 autoclave. Secondary ion mass spectrometry (SIMS) analysis revealed transition metal free (<1×1017 cm-3) GaN crystals. Anomalously low oxygen concentrations ((2-6)×1018 cm-3) were measured in a {0001} seeded crystal boule grown using a Mo capsule, despite higher source material oxygen concentrations ((1-5)×1019 cm-3) suggesting that molybdenum (or molybdenum nitrides) may act to getter oxygen under certain conditions. Total system pressure profiles from growth runs in a Mo capsule system were comparable to those without a capsule, with pressures peaking within 2 days and slowly decaying due to hydrogen diffusional losses. Measured Mo capsule GaN growth rates were comparable to un-optimized growth rates in capsule-free systems and appreciably slower than in Ag-capsule systems. Crystal quality replicated that of the GaN seed crystals for all capsule conditions, with high quality growth occurring on the (0001) Ga-face. Optical absorption and impurity concentration characterization suggests reduced concentrations of hydrogenated gallium vacancies (VGa-Hx).

  1. Enhanced Ferromagnetism in Nanoscale GaN:Mn Wires Grown on GaN Ridges.

    PubMed

    Cheng, Ji; Jiang, Shengxiang; Zhang, Yan; Yang, Zhijian; Wang, Cunda; Yu, Tongjun; Zhang, Guoyi

    2017-05-02

    The problem of weak magnetism has hindered the application of magnetic semiconductors since their invention, and on the other hand, the magnetic mechanism of GaN-based magnetic semiconductors has been the focus of long-standing debate. In this work, nanoscale GaN:Mn wires were grown on the top of GaN ridges by metalorganic chemical vapor deposition (MOCVD), and the superconducting quantum interference device (SQUID) magnetometer shows that its ferromagnetism is greatly enhanced. Secondary ion mass spectrometry (SIMS) and energy dispersive spectroscopy (EDS) reveal an obvious increase of Mn composition in the nanowire part, and transmission electron microscopy (TEM) and EDS mapping results further indicate the correlation between the abundant stacking faults (SFs) and high Mn doping. When further combined with the micro-Raman results, the magnetism in GaN:Mn might be related not only to Mn concentration, but also to some kinds of built-in defects introduced together with the Mn doping or the SFs.

  2. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  3. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  4. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  5. Ga flux dependence of Er-doped GaN luminescent thin films

    NASA Astrophysics Data System (ADS)

    Lee, D. S.; Steckl, A. J.

    2002-02-01

    Er-doped GaN thin films have been grown on (111) Si substrates with various Ga fluxes in a radio frequency plasma molecular beam epitaxy system. Visible photoluminescence (PL) and electroluminescence (EL) emission at 537/558 nm and infrared (IR) PL emission at 1.5 μm from GaN:Er films exhibited strong dependence on the Ga flux. Both visible and IR PL and visible EL increase with the Ga flux up to the stoichiometric growth condition, as determined by growth rate saturation. Beyond this condition, all luminescence levels abruptly dropped to the detection limit with increasing Ga flux. The Er concentration, measured by secondary ion mass spectroscopy and Rutherford backscattering, decreases with increasing Ga flux under N-rich growth conditions and remains constant above the stoichiometric growth condition. X-ray diffraction indicated that the crystalline quality of the GaN:Er film was improved with increasing Ga flux up to stoichiometric growth condition and then saturated. Er ions in the films grown under N-rich conditions appear much more optically active than those in the films grown under Ga-rich conditions.

  6. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2¯01).

    PubMed

    Kollmannsberger, Sebastian L; Walenta, Constantin A; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-28

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α-H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga 2 O 3 (2¯01) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  7. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  8. GaN transistors on Si for switching and high-frequency applications

    NASA Astrophysics Data System (ADS)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  9. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  10. Experimental evidence of Ga-vacancy induced room temperature ferromagnetic behavior in GaN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roul, Basanta; Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    We have grown Ga deficient GaN epitaxial films on (0001) sapphire substrate by plasma-assisted molecular beam epitaxy and report the experimental evidence of room temperature ferromagnetic behavior. The observed yellow emission peak in room temperature photoluminescence spectra and the peak positioning at 300 cm{sup -1} in Raman spectra confirms the existence of Ga vacancies. The x-ray photoelectron spectroscopic measurements further confirmed the formation of Ga vacancies; since the N/Ga is found to be >1. The ferromagnetism is believed to originate from the polarization of the unpaired 2p electrons of N surrounding the Ga vacancy.

  11. High internal quantum efficiency ultraviolet to green luminescence peaks from pseudomorphic m-plane Al{sub 1−x}In{sub x}N epilayers grown on a low defect density m-plane freestanding GaN substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chichibu, S. F., E-mail: chichibulab@yahoo.co.jp; Hazu, K.; Furusawa, K.

    2014-12-07

    Structural and optical qualities of half-a-μm-thick m-plane Al{sub 1−x}In{sub x}N epilayers grown by metalorganic vapor phase epitaxy were remarkably improved via coherent growth on a low defect density m-plane freestanding GaN substrate prepared by hydride vapor phase epitaxy. All the epilayers unexceptionally suffer from uniaxial or biaxial anisotropic in-plane stress. However, full-width at half-maximum values of the x-ray ω-rocking curves were nearly unchanged as the underlayer values being 80 ∼ 150 arc sec for (101{sup ¯}0) and (101{sup ¯}2) diffractions with both 〈0001〉 and 〈112{sup ¯}0〉 azimuths, as long as pseudomorphic structure was maintained. Such Al{sub 1−x}In{sub x}N epilayers commonly exhibited a broadmore » but predominant luminescence peak in ultraviolet (x ≤ 0.14) to green (x = 0.30) wavelengths. Its equivalent value of the internal quantum efficiency at room temperature was as high as 67% for x = 0.14 and 44% for x = 0.30. Because its high-energy cutoff commonly converged with the bandgap energy, the emission peak is assigned to originate from the extended near-band-edge states with strong carrier localization.« less

  12. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  13. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    NASA Astrophysics Data System (ADS)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  14. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    PubMed

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  15. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  16. InGaN-Based Light-Emitting Diodes Grown on a Micro/Nanoscale Hybrid Patterned Sapphire Substrate.

    PubMed

    Ke, Wen-Cheng; Lee, Fang-Wei; Chiang, Chih-Yung; Liang, Zhong-Yi; Chen, Wei-Kuo; Seong, Tae-Yeon

    2016-12-21

    A hybrid patterned sapphire substrate (hybrid-PSS) was prepared using an anodic aluminum oxide etching mask to transfer nanopatterns onto a conventional patterned sapphire substrate with microscale patterns (bare-PSS). The threading dislocation (TD) suppression of light-emitting diodes (LEDs) grown on a hybrid-PSS (HP-LED) exhibits a smaller reverse leakage current compared with that of LEDs grown on a bare-PSS (BP-LED). The strain-free GaN buffer layer and fully strained InGaN active layer were evidenced by cross-sectional Raman spectra and reciprocal space mapping of the X-ray diffraction intensity for both samples. The calculated piezoelectric fields for both samples are close, implying that the quantum-confined Stark effect was not a dominant mechanism influencing the electroluminescence (EL) peak wavelength under a high injection current. The bandgap shrinkage effect of the InGaN well layer was considered to explain the large red-shifted EL peak wavelength under high injection currents. The estimated LED chip temperatures rise from room temperature to 150 °C and 75 °C for BP-LED and HP-LED, respectively, at a 600-mA injection current. This smaller temperature rise of the LED chip is attributed to the increased contact area between the sapphire and the LED structural layer because of the embedded nanopattern. Although the chip generates more heat at high injection currents, the accumulated heat can be removed to outside the chip effectively. The high diffuse reflection (DR) rate of hybrid-PSS increases the escape probability of photons, resulting in an increase in the viewing angle of the LEDs from 130° to 145°. The efficiency droop was reduced from 46% to 35%, effects which can be attributed to the elimination of TDs and strain relaxation by embedded nanopatterns. In addition, the light output power of HP-LED at 360-mA injection currents exhibits a ∼ 22.3% enhancement, demonstrating that hybrid-PSSs are beneficial to apply in high-power LEDs.

  17. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration wasmore » 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.« less

  18. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    NASA Astrophysics Data System (ADS)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  19. Electron mobility of self-assembled and dislocation free InN nanorods grown on GaN nano wall network template

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tangi, Malleswararao; De, Arpan; Ghatak, Jay

    2016-05-28

    A kinetically controlled two-step growth process for the formation of an array of dislocation free high mobility InN nanorods (NRs) on GaN nanowall network (NWN) by Molecular Beam Epitaxy is demonstrated here. The epitaxial GaN NWN is formed on c-sapphire under nitrogen rich conditions, and then changing the source from Ga to In at appropriate substrate temperature yields the nucleation of a self assembled spontaneous m-plane side faceted-InN NR. By HRTEM, the NRs are shown to be dislocation-free and have a low band gap value of 0.65 eV. Hall measurements are carried out on a single InN NR along with J-Vmore » measurements that yield mobility values as high as ≈4453 cm{sup 2}/V s and the carrier concentration of ≈1.1 × 10{sup 17} cm{sup −3}, which are unprecedented in the literature for comparable InN NR diameters.« less

  20. Specific peptide for functionalization of GaN

    NASA Astrophysics Data System (ADS)

    Estephan, E.; Larroque, C.; Cloitre, T.; Cuisinier, F. J. G.; Gergely, C.

    2008-04-01

    Nanobiotechnology aims to exploit biomolecular recognition and self-assembly capabilities for integrating advanced materials into medicine and biology. However frequent problems are encountered at the interface of substrate-biological molecule, as the direct physical adsorption of biological molecules is dependent of unpredictable non-specific interactions with the surface, often causing their denaturation. Therefore, a proper functionalization of the substrate should avoid a loss of biological activity. In this work we address the functionalization of the semiconductor GaN (0001) for biosensing applications. The basic interest of using III-V class semiconductors is their good light emitting properties and a fair chemical stability that allows various applications of these materials. The technology chosen to elaborate GaN-specific peptides is the combinatorial phage-display method, a biological screening procedure based on affinity selection. An M13 bacteriophage library has been used to screen 10 10 different peptides against the GaN (0001) surface to finally isolate one specific peptide. The preferential attachment of the biotinylated selected peptide onto the GaN (0001), in close proximity to a surface of different chemical and structural composition has been demonstrated by fluorescence microscopy. Further physicochemical studies have been initiated to evaluate the semiconductor-peptide interface and understand the details in the specific recognition of peptides for semiconductor substrates. Fourier Transform Infrared spectroscopy in Attenuated Total Reflection mode (FTIR-ATR) has been employed to prove the presence of peptides on the surface. Our Atomic Force Microscopy (AFM) studies on the morphology of the GaN surface after functionalization revealed a total surface coverage by a very thin, homogeneous peptide layer. Due to its good biocompatibility, functionalized GaN devices might evolve in a new class of implantable biosensors for medical applications.

  1. Observation and discussion of avalanche electroluminescence in GaN p-n diodes offering a breakdown electric field of 3 MV cm‑1

    NASA Astrophysics Data System (ADS)

    Mandal, S.; Kanathila, M. B.; Pynn, C. D.; Li, W.; Gao, J.; Margalith, T.; Laurent, M. A.; Chowdhury, S.

    2018-06-01

    We report on the first observation of avalanche electroluminescence resulting from band-to-band recombination (BTBR) of electron hole pairs at the breakdown limit of Gallium Nitride p-n diodes grown homo-epitaxially on single crystalline GaN substrates. The diodes demonstrated a near ideal breakdown electric field of 3 MV cm‑1 with electroluminescence (EL) demonstrating sharp peaks of emission energies near and at the band gap of GaN. The high critical electric field, near the material limit of GaN, was achieved by generating a smooth curved mesa edge with low plasma damage, using etch engineering without any use of field termination. The superior material quality was critical for such a near-ideal performance. An electric field of 3 MV cm‑1 recorded at the breakdown resulted in impact ionization, confirmed by a positive temperature dependence of the breakdown voltage. The spectral data provided evidence of BTBR of electron hole pairs that were generated by avalanche carrier multiplication in the depletion region.

  2. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2 \\xAF 01 )

    NASA Astrophysics Data System (ADS)

    Kollmannsberger, Sebastian L.; Walenta, Constantin A.; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N.; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-01

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α -H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga2O3(2 ¯ 01 ) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  3. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  4. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  5. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  6. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  7. GaN microcavities: Giant Rabi splitting and optical anisotropy

    NASA Astrophysics Data System (ADS)

    Kavokin, Alexey; Gil, Bernard

    1998-06-01

    Numerical simulation of light reflection from a λ/2 GaN microcavity with Ga0.8Al0.2N/Ga0.5Al0.5N Bragg mirrors grown on the A surface of Al2O3 revealed a Rabi splitting of the order of 50 meV and remarkable optical anisotropy. These effects are originated from the giant exciton oscillator strength in GaN and a pronounced uniaxial strain in the structure.

  8. Sensitivity of Fermi level position at Ga-polar, N-polar, and nonpolar m-plane GaN surfaces to vacuum and air ambient

    NASA Astrophysics Data System (ADS)

    Janicki, Łukasz; Ramírez-López, Manolo; Misiewicz, Jan; Cywiński, Grzegorz; Boćkowski, Michał; Muzioł, Grzegorz; Chèze, Caroline; Sawicka, Marta; Skierbiszewski, Czesław; Kudrawiec, Robert

    2016-05-01

    Ga-polar, N-polar, and nonpolar m-plane GaN UN+ structures have been examined in air and vacuum ambient by contactless electroreflectance (CER). This technique is very sensitive to the surface electric field that varies with the Fermi level position at the surface. For UN+ GaN structures [i.e., GaN (undoped)/GaN (n-type)/substrate], a homogeneous built-in electric field is expected in the undoped GaN layer that is manifested by Franz-Keldysh oscillation (FKO) in CER spectra. A clear change in FKO has been observed in CER spectra for N-polar and nonpolar m-plane structures when changing from air to vacuum ambient. This means that those surfaces are very sensitive to ambient atmosphere. In contrast to that, only a small change in FKO can be seen in the Ga-polar structure. This clearly shows that the ambient sensitivity of the Fermi level position at the GaN surface varies with the crystallographic orientation and is very high for N-polar and nonpolar m-plane surfaces. This feature of the N-polar and nonpolar m-plane surfaces can be very important for GaN-based devices grown on these crystallographic orientations and can be utilized in some of the devices, e.g., sensors.

  9. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Meng; Bhattacharya, Pallab; Guo, Wei; Banerjee, Animesh

    2010-03-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 °C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1×1018 cm-3. The corresponding doping efficiency and hole mobility are ˜4.9% and 3.7 cm2/V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λpeak=529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  10. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  11. Electrical characteristics of n-GaN Schottky contacts on cleaved surfaces of free-standing substrates: Metal work function dependence of Schottky barrier height

    NASA Astrophysics Data System (ADS)

    Imadate, Hiroyoshi; Mishima, Tomoyoshi; Shiojima, Kenji

    2018-04-01

    We report the electrical characteristics of Schottky contacts with nine different metals (Ag, Ti, Cr, W, Mo, Au, Pd, Ni, and Pt) formed on clean m-plane surfaces by cleaving freestanding GaN substrates, compared with these of contacts on Ga-polar c-plane n-GaN surfaces grown on GaN substrates. The n-values from the forward current–voltage (I–V) characteristics are as good as 1.02–1.18 and 1.02–1.09 for the m- and c-plane samples, respectively. We found that the reverse I–V curves of both samples can be explained by the thermionic field emission theory, and that the Schottky barrier height of the cleaved m-plane contacts shows a metal work function dependence.

  12. Deep levels in as-grown and electron-irradiated n-type GaN studied by deep level transient spectroscopy and minority carrier transient spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duc, Tran Thien; School of Engineering Physics, Hanoi University of Science and Technology, 1 Dai Co Viet Road, Hanoi; Pozina, Galia

    2016-03-07

    Development of high performance GaN-based devices is strongly dependent on the possibility to control and understand defects in material. Important information about deep level defects is obtained by deep level transient spectroscopy and minority carrier transient spectroscopy on as-grown and electron irradiated n-type bulk GaN with low threading dislocation density produced by halide vapor phase epitaxy. One hole trap labelled H1 (E{sub V} + 0.34 eV) has been detected on as-grown GaN sample. After 2 MeV electron irradiation, the concentration of H1 increases and at fluences higher than 5 × 10{sup 14 }cm{sup −2}, a second hole trap labelled H2 is observed. Simultaneously, the concentration of twomore » electron traps, labelled T1 (E{sub C} – 0.12 eV) and T2 (E{sub C} – 0.23 eV), increases. By studying the increase of the defect concentration versus electron irradiation fluence, the introduction rate of T1 and T2 using 2 MeV- electrons was determined to be 7 × 10{sup −3 }cm{sup −1} and 0.9 cm{sup −1}, respectively. Due to the low introduction rate of T1, it is suggested that the defect is associated with a complex. The high introduction rate of trap H1 and T2 suggests that the defects are associated with primary intrinsic defects or complexes. Some deep levels previously observed in irradiated GaN layers with higher threading dislocation densities are not detected in present investigation. It is therefore suggested that the absent traps may be related to primary defects segregated around dislocations.« less

  13. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  14. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  15. Highly-efficient GaN-based light-emitting diode wafers on La0.3Sr1.7AlTaO6 substrates

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Gao, Fangliang; Lin, Yunhao; Li, Guoqiang

    2015-01-01

    Highly-efficient GaN-based light-emitting diode (LED) wafers have been grown on La0.3Sr1.7AlTaO6 (LSAT) substrates by radio-frequency molecular beam epitaxy (RF-MBE) with optimized growth conditions. The structural properties, surface morphologies, and optoelectronic properties of as-prepared GaN-based LED wafers on LSAT substrates have been characterized in detail. The characterizations have revealed that the full-width at half-maximums (FWHMs) for X-ray rocking curves of GaN(0002) and GaN(10-12) are 190.1 and 210.2 arcsec, respectively, indicating that high crystalline quality GaN films have been obtained. The scanning electron microscopy and atomic force microscopy measurements have shown the very smooth p-GaN surface with the surface root-mean-square (RMS) roughness of 1.3 nm. The measurements of low-temperature and room-temperature photoluminescence help to calculate the internal quantum efficiency of 79.0%. The as-grown GaN-based LED wafers have been made into LED chips with the size of 300 × 300 μm2 by the standard process. The forward voltage, the light output power and the external quantum efficiency for LED chips are 19.6 W, 2.78 V, and 40.2%, respectively, at a current of 20 mA. These results reveal the high optoelectronic properties of GaN-based LEDs on LSAT substrates. This work brings up a broad future application of GaN-based devices. PMID:25799042

  16. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    NASA Astrophysics Data System (ADS)

    Li, Z. J.; Chen, X. L.; Li, H. J.; Tu, Q. Y.; Yang, Z.; Xu, Y. P.; Hu, B. Q.

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials.

  17. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  18. Predicting plasmonic coupling with Mie-Gans theory in silver nanoparticle arrays

    NASA Astrophysics Data System (ADS)

    Ranjan, M.

    2013-09-01

    Plasmonic coupling is observed in the self-aligned arrays of silver nanoparticles grown on ripple-patterned substrate. Large differences observed in the plasmon resonance wavelength, measured and calculated using Mie-Gans theory, predict that strong plasmonic coupling exists in the nanoparticles arrays. Even though plasmonic coupling exists both along and across the arrays, but it is found to be much stronger along the arrays due to shorter interparticle gap and particle elongation. This effect is responsible for observed optical anisotropy in such arrays. Measured red-shift even in the transverse plasmon resonance mode with the increasing nanoparticles aspect ratio in the arrays, deviate from the prediction of Mie-Gans theory. This essentially means that plasmonic coupling is dominating over the shape anisotropy. Plasmon resonance tuning is presented by varying the plasmonic coupling systematically with nanoparticles aspect ratio and ripple wavelength. Plasmon resonance red-shifts with the increasing aspect ratio along the ripple, and blue-shifts with the increasing ripple wavelength across the ripple. Therefore, reported bottom-up approach for fabricating large area-coupled nanoparticle arrays can be used for various field enhancement-based plasmonic applications.

  19. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  20. Uniformity of dc and rf performance of MBE-grown AlGaN/GaN HEMTS on HVPE-grown buffers

    NASA Astrophysics Data System (ADS)

    Gillespie, J. K.; Fitch, R. C.; Moser, N.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Dabiran, A. M.; Chow, P. P.; Osinsky, A.; Mastro, M. A.; Tsvetkov, D.; Soukhoveev, V.; Usikov, A.; Dmitriev, V.; Luo, B.; Pearton, S. J.; Ren, F.

    2003-10-01

    AlGaN/GaN high electron mobility transistors (HEMTs) were grown by molecular beam epitaxy (MBE) on 2 in. diameter GaN buffer layers grown by hydride vapor epitaxy (HVPE) on sapphire substrates. HEMTs with 1 μm gate length displayed excellent dc and rf performance uniformity with up to 258 separate devices measured for each parameter. The drain-source saturation current was 561 mA with a standard deviation of 1.9% over the 2 in. diameter, with a corresponding transconductance of 118 ± 3.9 mS/mm. The threshold voltage was -5.3 ± 0.07 V. The rf performance uniformity was equally good, with an fT of 8.6 ± 0.8 GHz and fmax of 12.8 ± 2.5 GHz. The results show the excellent uniformity of the MBE technique for producing AlGaN/GaN HEMTs and also the ability of HVPE to provide high quality buffers at low cost.

  1. Conductivity based on selective etch for GaN devices and applications thereof

    DOEpatents

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  2. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  3. Nitrogen-polar core-shell GaN light-emitting diodes grown by selective area metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Wang, Xue; Fündling, Sönke; Erenburg, Milena; Ledig, Johannes; Wei, Jiandong; Wehmann, Hergo H.; Waag, Andreas; Bergbauer, Werner; Mandl, Martin; Strassburg, Martin; Trampert, Achim; Jahn, Uwe; Riechert, Henning; Jönen, Holger; Hangleiter, Andreas

    2012-07-01

    Homogeneous nitrogen-polar GaN core-shell light emitting diode (LED) arrays were fabricated by selective area growth on patterned substrates. Transmission electron microscopy measurements prove the core-shell structure of the rod LEDs. Depending on the growth facets, the InGaN/GaN multi-quantum wells (MQWs) show different dimensions and morphology. Cathodoluminescence (CL) measurements reveal a MQWs emission centered at about 415 nm on sidewalls and another emission at 460 nm from top surfaces. CL line scans on cleaved rod also indicate the core-shell morphology. Finally, an internal quantum efficiency of about 28% at room temperature was determined by an all-optical method on a LED array.

  4. Controlled growth of ordered nanopore arrays in GaN.

    PubMed

    Wildeson, Isaac H; Ewoldt, David A; Colby, Robert; Stach, Eric A; Sands, Timothy D

    2011-02-09

    High-quality, ordered nanopores in semiconductors are attractive for numerous biological, electrical, and optical applications. Here, GaN nanorods with continuous pores running axially through their centers were grown by organometallic vapor phase epitaxy. The porous nanorods nucleate on an underlying (0001)-oriented GaN film through openings in a SiN(x) template that are milled by a focused ion beam, allowing direct placement of porous nanorods. Nanopores with diameters ranging from 20-155 nm were synthesized with crystalline sidewalls.

  5. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  6. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    NASA Astrophysics Data System (ADS)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  7. Formation of spherical-shaped GaN and InN quantum dots on curved SiN/Si surface.

    PubMed

    Choi, Ilgyu; Lee, Hyunjoong; Lee, Cheul-Ro; Jeong, Kwang-Un; Kim, Jin Soo

    2018-08-03

    This paper reports the formation of GaN and InN quantum dots (QDs) with symmetric spherical shapes, grown on SiN/Si(111). Spherical QDs are grown by modulating initial growth behavior via gallium and indium droplets functioning as nucleation sites for QDs. Field-emission scanning electron microscope (FE-SEM) images show that GaN and InN QDs are formed on curved SiN/Si(111) instead of on a flat surface similar to balls on a latex mattress. This is considerably different from the structural properties of In(Ga)As QDs grown on GaAs or InP. In addition, considering the shape of the other III-V semiconductor QDs, the QDs in this study are very close to the ideal shape of zero-dimensional nanostructures. Transmission-electron microscope images show the formation of symmetric GaN and InN QDs with a round shape, agreeing well with the FE-SEM results. Compared to other III-V semiconductor QDs, the unique structural properties of Si-based GaN and InN QDs are strongly related to the modulation in the initial nucleation characteristics due to the presence of droplets, the degree of lattice mismatch between GaN or InN and SiN/Si(111), and the melt-back etching phenomenon.

  8. Size effects in the thermal conductivity of gallium oxide (β-Ga{sub 2}O{sub 3}) films grown via open-atmosphere annealing of gallium nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szwejkowski, Chester J.; Giri, Ashutosh; Donovan, Brian F.

    2015-02-28

    Gallium nitride (GaN) is a widely used semiconductor for high frequency and high power devices due to of its unique electrical properties: a wide band gap, high breakdown field, and high electron mobility. However, thermal management has become a limiting factor regarding efficiency, lifetime, and advancement of GaN devices and GaN-based applications. In this work, we study the thermal conductivity of beta-phase gallium oxide (β-Ga{sub 2}O{sub 3}) thin films, a component of typical gate oxides used in such devices. We use time domain thermoreflectance to measure the thermal conductivity of a variety of polycrystalline β-Ga{sub 2}O{sub 3} films of differentmore » thicknesses grown via open atmosphere annealing of the surfaces of GaN films on sapphire substrates. We show that the measured effective thermal conductivity of these β-Ga{sub 2}O{sub 3} films can span 1.5 orders of magnitude, increasing with an increased film thickness, which is indicative of the relatively large intrinsic thermal conductivity of the β-Ga{sub 2}O{sub 3} grown via this technique (8.8 ± 3.4 W m{sup −1} K{sup −1}) and large mean free paths compared to typical gate dielectrics commonly used in GaN device contacts. By conducting time domain thermoreflectance (TDTR) measurements with different metal transducers (Al, Au, and Au with a Ti wetting layer), we attribute this variation in effective thermal conductivity to a combination of size effects in the β-Ga{sub 2}O{sub 3} film resulting from phonon scattering at the β-Ga{sub 2}O{sub 3}/GaN interface and thermal transport across the β-Ga{sub 2}O{sub 3}/GaN interface. The measured thermal properties of open atmosphere-grown β-Ga{sub 2}O{sub 3} and its interface with GaN set the stage for thermal engineering of gate contacts in high frequency GaN-based devices.« less

  9. Surface potential barrier in m-plane GaN studied by contactless electroreflectance

    NASA Astrophysics Data System (ADS)

    Janicki, Lukasz; Misiewicz, Jan; Cywiński, Grzegorz; Sawicka, Marta; Skierbiszewski, Czeslaw; Kudrawiec, Robert

    2016-02-01

    Contactless electroreflectance (CER) is used to study the surface potential barrier in m-plane GaN UN+ [GaN (d = 20,30,50,70 nm)/GaN:Si] structures grown by using molecular beam epitaxy. Clear bandgap-related transitions followed by Franz-Keldysh oscillations (FKO) have been observed in the CER spectra of all samples at room temperature. The built-in electric fields in the undoped cap layers have been determined from the FKO period. From the built-in electric field and the undoped GaN layer thickness, the Fermi level location at the air-exposed m-plane GaN surface has been estimated as 0.42 ± 0.05 eV below the conduction band.

  10. GaN-Based Light-Emitting Diodes Grown on Nanoscale Patterned Sapphire Substrates with Void-Embedded Cortex-Like Nanostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Sheng; Yeh, J. Andrew

    2011-09-01

    High-efficiency GaN-based light-emitting diodes (LEDs) with an emitting wavelength of 438 nm were demonstrated utilizing nanoscale patterned sapphire substrates with void-embedded cortex-like nanostructures (NPSS-VECN). Unlike the previous nanopatterned sapphire substrates, the presented substrate has a new morphology that can not only improve the crystalline quality of GaN epilayers but also generate a void-embedded nanostructural layer to enhance light extraction. Under a driving current of 20 mA, the external quantum efficiency of an LED with NPSS-VECN is enhanced by 2.4-fold compared with that of the conventional LED. Moreover, the output powers of two devices respectively are 33.1 and 13.9 mW.

  11. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  12. Dielectric properties of highly resistive GaN crystals grown by ammonothermal method at microwave frequencies

    NASA Astrophysics Data System (ADS)

    Krupka, Jerzy; Zajåc, Marcin; Kucharski, Robert; Gryglewski, Daniel

    2016-03-01

    Permittivity, the dielectric loss tangent and conductivity of semi-insulating Gallium Nitride crystals have been measured as functions of frequency from 10 GHz to 50 GHz and temperature from 295 to 560 K employing quasi TE0np mode dielectric resonator technique. Crystals were grown using ammonothermal method. Two kinds of doping were used to obtain high resistivity crystals; one with deep acceptors in form of transition metal ions, and the other with shallow Mg acceptors. The sample compensated with transition metal ions exhibited semi-insulating behavior in the whole temperature range. The sample doped with Mg acceptors remained semi-insulating up to 390 K. At temperatures exceeding 390 K the conductivity term in the total dielectric loss tangent of Mg compensated sample becomes dominant and it increases exponentially with activation energy of 1.14 eV. It has been proved that ammonothermal method with appropriate doping allows growth of high quality, temperature stable semi-insulating GaN crystals.

  13. Structural characterization of bulk GaN crystals grown under high hydrostatic pressure

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Zuzanna; Kisielowski, C.; Ruvimov, S.; Chen, Y.; Washburn, J.; Grzegory, I.; Bockowski, M.; Jun, J.; Porowski, S.

    1996-09-01

    This paper describes TEM characterization of bulk GaN crystals grown at 1500-1800Kin the form of plates from a solution of atomic nitrogen in liquid gallium under high nitrogen pressure (up to 20 kbars). The x-ray rocking curves for these crystals were in the range of 20-30 arc-sec. The plate thickness along the c axis was about 100 times smaller than the nonpolar growth directions. A substantial difference in material quality was observed on the opposite sides of the plates normal to the c direction. On one side the surface was atomically flat, while on the other side the surface was rough, with pyramidal features up to 100 nm high. The polarity of the crystals was determined using convergent-beam electron diffraction. The results showed that, regarding the long bond between Ga and N along the c-axis, Ga atoms were found to be closer to the flat side of the crystal, while N atoms were found to be closer to the rough side. Near the rough side, within 1/10 to 1/4 of the plate thickness, there was a high density of planar defects (stacking faults and dislocation loops decorated by Ga/void precipitates). A model explaining the defect formation is proposed.

  14. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  15. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    NASA Astrophysics Data System (ADS)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  16. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  17. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  18. Investigation on structural, optical and electrical properties of Cp2Mg flow varied p-GaN grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Surender, S.; Pradeep, S.; Ramesh, R.; Baskar, K.

    2016-05-01

    In this work the effect of different concentration of Magnesium doped GaN (p-GaN) were systematically studied. The p-GaN epilayers were grown on c-plane sapphire substrate by horizontal flow Metal Organic Chemical Vapor Deposition (MOCVD) with various flow rates of 100 sccm to 300 sccm using bis-(cyclopentadienyl) - magnesium (Cp2Mg) precursor. The samples were subjected to structural, optical, morphological and electrical studies using High Resolution X-ray diffraction (HRXRD), room temperature photoluminescence (PL), Atomic Force Microscopy (AFM) and Hall measurement respectively. Results indicated that the Mg doped GaN of 200 sccm Cp2Mg has the root mean square (rms) roughness of about 0.3 nm for a scan area of 5×5 µm2 which has good two dimensional growth. Moreover, Hall measurements results shows that (200 sccm Cp2Mg) Mg-doped GaN possess the highest hole concentration of 5.4×1017cm-3 and resistivity of 1.7 Ωcm at room temperature.

  19. Improved output power of GaN-based light-emitting diodes grown on a nanopatterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Chan, Chia-Hua; Hou, Chia-Hung; Tseng, Shao-Ze; Chen, Tsing-Jen; Chien, Hung-Ta; Hsiao, Fu-Li; Lee, Chien-Chieh; Tsai, Yen-Ling; Chen, Chii-Chang

    2009-07-01

    This letter describes the improved output power of GaN-based light-emitting diodes (LEDs) formed on a nanopatterned sapphire substrate (NPSS) prepared through etching with a self-assembled monolayer of 750-nm-diameter SiO2 nanospheres used as the mask. The output power of NPSS LEDs was 76% greater than that of LEDs on a flat sapphire substrate. Three-dimensional finite-difference time-domain calculation predicted a 40% enhancement in light extraction efficiency of NPSS LEDs. In addition, the reduction of full widths at half maximum in the ω-scan rocking curves for the (0 0 2) and (1 0 2) planes of GaN on NPSS suggested improved crystal quality.

  20. Effects of substrate conductivity on cell morphogenesis and proliferation using tailored, atomic layer deposition-grown ZnO thin films

    PubMed Central

    Choi, Won Jin; Jung, Jongjin; Lee, Sujin; Chung, Yoon Jang; Yang, Cheol-Soo; Lee, Young Kuk; Lee, You-Seop; Park, Joung Kyu; Ko, Hyuk Wan; Lee, Jeong-O

    2015-01-01

    We demonstrate that ZnO films grown by atomic layer deposition (ALD) can be employed as a substrate to explore the effects of electrical conductivity on cell adhesion, proliferation, and morphogenesis. ZnO substrates with precisely tunable electrical conductivity were fabricated on glass substrates using ALD deposition. The electrical conductivity of the film increased linearly with increasing duration of the ZnO deposition cycle (thickness), whereas other physical characteristics, such as surface energy and roughness, tended to saturate at a certain value. Differences in conductivity dramatically affected the behavior of SF295 glioblastoma cells grown on ZnO films, with high conductivity (thick) ZnO films causing growth arrest and producing SF295 cell morphologies distinct from those cultured on insulating substrates. Based on simple electrostatic calculations, we propose that cells grown on highly conductive substrates may strongly adhere to the substrate without focal-adhesion complex formation, owing to the enhanced electrostatic interaction between cells and the substrate. Thus, the inactivation of focal adhesions leads to cell proliferation arrest. Taken together, the work presented here confirms that substrates with high conductivity disturb the cell-substrate interaction, producing cascading effects on cellular morphogenesis and disrupting proliferation, and suggests that ALD-grown ZnO offers a single-variable method for uniquely tailoring conductivity. PMID:25897486

  1. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  2. III-nitrides on oxygen- and zinc-face ZnO substrates

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Burnham, Shawn; Lee, Kyoung-Keun; Trybus, Elaissa; Doolittle, W. Alan; Losurdo, Maria; Capezzuto, Pio; Bruno, Giovanni; Nemeth, Bill; Nause, Jeff

    2005-10-01

    The characteristics of III-nitrides grown on zinc- and oxygen-face ZnO by plasma-assisted molecular beam epitaxy were investigated. The reflection high-energy electron diffraction pattern indicates formation of a cubic phase at the interface between III-nitride and both Zn- and O-face ZnO. The polarity indicates that Zn-face ZnO leads to a single polarity, while O-face ZnO forms mixed polarity of III-nitrides. Furthermore, by using a vicinal ZnO substrate, the terrace-step growth of GaN was realized with a reduction by two orders of magnitude in the dislocation-related etch pit density to ˜108cm-2, while a dislocation density of ˜1010cm-2 was obtained on the on-axis ZnO substrates.

  3. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  4. Thermal quenching of the yellow luminescence in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Albarakati, N. M.; Monavarian, M.; Avrutin, V.; Morkoç, H.

    2018-04-01

    We observed varying thermal quenching behavior of the yellow luminescence band near 2.2 eV in different GaN samples. In spite of the different behavior, the yellow band in all the samples is caused by the same defect—the YL1 center. In conductive n-type GaN, the YL1 band quenches with exponential law, and the Arrhenius plot reveals an ionization energy of ˜0.9 eV for the YL1 center. In semi-insulating GaN, an abrupt and tunable quenching of the YL1 band is observed, where the apparent activation energy in the Arrhenius plot is not related to the ionization energy of the defect. In this case, the ionization energy can be found by analyzing the shift of the characteristic temperature of PL quenching with excitation intensity. We conclude that only one defect, namely, the YL1 center, is responsible for the yellow band in undoped and doped GaN samples grown by different techniques.

  5. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  6. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Lan, Ding; Chen, Yu; Hu, Qiang; Lu, Hongxi; Li, Jinmin

    2014-02-01

    Self-assembly SiO2 nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, the external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.

  7. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  8. M-plane core-shell InGaN/GaN multiple-quantum-wells on GaN wires for electroluminescent devices.

    PubMed

    Koester, Robert; Hwang, Jun-Seok; Salomon, Damien; Chen, Xiaojun; Bougerol, Catherine; Barnes, Jean-Paul; Dang, Daniel Le Si; Rigutti, Lorenzo; de Luna Bugallo, Andres; Jacopin, Gwénolé; Tchernycheva, Maria; Durand, Christophe; Eymery, Joël

    2011-11-09

    Nonpolar InGaN/GaN multiple quantum wells (MQWs) grown on the {11-00} sidewalls of c-axis GaN wires have been grown by organometallic vapor phase epitaxy on c-sapphire substrates. The structural properties of single wires are studied in detail by scanning transmission electron microscopy and in a more original way by secondary ion mass spectroscopy to quantify defects, thickness (1-8 nm) and In-composition in the wells (∼16%). The core-shell MQW light emission characteristics (390-420 nm at 5 K) were investigated by cathodo- and photoluminescence demonstrating the absence of the quantum Stark effect as expected due to the nonpolar orientation. Finally, these radial nonpolar quantum wells were used in room-temperature single-wire electroluminescent devices emitting at 392 nm by exploiting sidewall emission.

  9. Study of 3D-growth conditions for selective area MOVPE of high aspect ratio GaN fins with non-polar vertical sidewalls

    NASA Astrophysics Data System (ADS)

    Hartmann, Jana; Steib, Frederik; Zhou, Hao; Ledig, Johannes; Nicolai, Lars; Fündling, Sönke; Schimpke, Tilman; Avramescu, Adrian; Varghese, Tansen; Trampert, Achim; Straßburg, Martin; Lugauer, Hans-Jürgen; Wehmann, Hergo-Heinrich; Waag, Andreas

    2017-10-01

    GaN fins are 3D architectures elongated in one direction parallel to the substrate surface. They have the geometry of walls with a large height to width ratio as well as small footprints. When appropriate symmetry directions of the GaN buffer are used, the sidewalls are formed by non-polar {1 1 -2 0} planes, making the fins particularly suitable for many device applications like LEDs, FETs, lasers, sensors or waveguides. The influence of growth parameters like temperature, pressure, V/III ratio and total precursor flow on the fin structures is analyzed. Based on these results, a 2-temperature-step-growth was developed, leading to fins with smooth side and top facets, fast vertical growth rates and good homogeneity along their length as well as over different mask patterns. For the core-shell growth of fin LED heterostructures, the 2-temperature-step-growth shows much smoother sidewalls and less crystal defects in the InGaN QW and p-GaN shell compared to structures with cores grown in just one step. Electroluminescence spectra of the 2-temperature-step-grown fin LED are demonstrated.

  10. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  11. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  12. Molecular beam epitaxy grown long wavelength infrared HgCdTe on compliant Si substrates

    NASA Astrophysics Data System (ADS)

    Wijewarnasuriya, Priyalal S.; Chen, Yuanping; Brill, Gregory; Dhar, Nibir K.; Carmody, Michael; Bailey, Robert; Arias, Jose

    2006-05-01

    At the Army Research Laboratory (ARL), a new ternary semiconductor system CdSe xTe 1-x/Si(211) is being investigated as an alternative substrate to bulk-grown CdZnTe substrates for HgCdTe growth by molecular beam epitaxy. Under optimized conditions, best layers show surface defect density less than 400 cm -2 and full width at half maximum of X-ray double crystal rocking curve as low as 100 arc-sec with excellent uniformity over 3 inch area. LW-HgCdTe layers on these compliant substrates exhibit comparable electrical properties to those grown on bulk CZT substrates. Photovoltaic devices fabricated on these LWIR material shows diffusion limited performance at 78K indicating high quality material. Measured R °A at 78K on λ co = 10 μm material is on the order of 340 Ω-cm II. In addition to single devices, we have fabricated 256x256 2-D arrays with 40 μm pixel pitch on LW-HgCdTe grown on Si compliant substrates. Data shows excellent QE operability of 99% at 78K under a tactical background flux of 6.7x10 15 ph/cm2sec. Most probable dark current at the peak distribution is 5.5 x 10 9 e-/sec and is very much consistent with the measured R °A values from single devices. Initial results indicate NETD of 33 mK for a cut-off wavelength of 10 μm with 40 micron pixels size. This work demonstrates CdSe xTe 1-x/Si(211) substrates provides a potential road map to more affordable, robust 3 rd generation FPAs.

  13. Deep traps in n-type GaN epilayers grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamyczek, P.; Placzek-Popko, E.; Zielony, E.

    2014-01-14

    In this study, we present the results of investigations on Schottky Au-GaN diodes by means of conventional DLTS and Laplace DLTS methods within the temperature range of 77 K–350 K. Undoped GaN layers were grown using the plasma-assisted molecular beam epitaxy technique on commercial GaN/sapphire templates. The quality of the epilayers was studied by micro-Raman spectroscopy (μ-RS) which proved the hexagonal phase and good crystallinity of GaN epilayers as well as a slight strain. The photoluminescence spectrum confirmed a high crystal quality by intense excitonic emission but it also exhibited a blue emission band of low intensity. DLTS signal spectra revealed themore » presence of four majority traps: two high-temperature and two low-temperature peaks. Using the Laplace DLTS method and Arrhenius plots, the apparent activation energy and capture cross sections were obtained. For two high-temperature majority traps, they were equal to E{sub 1} = 0.65 eV, σ{sub 1} = 8.2 × 10{sup −16} cm{sup 2} and E{sub 2} = 0.58 eV, σ{sub 2} = 2.6 × 10{sup −15} cm{sup 2} whereas for the two low-temperature majority traps they were equal to E{sub 3} = 0.18 eV, σ{sub 3} = 9.7 × 10{sup −18} cm{sup 2} and E{sub 4} = 0.13 eV, σ{sub 4} = 9.2 × 10{sup −18} cm{sup 2}. The possible origin of the traps is discussed and the results are compared with data reported elsewhere.« less

  14. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhasker, H. P.; Dhar, S.; Thakur, Varun

    2014-02-21

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close tomore » a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls.« less

  15. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    PubMed

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  16. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE

    NASA Astrophysics Data System (ADS)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-01

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of 80 and 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  17. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  18. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    NASA Astrophysics Data System (ADS)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  19. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  20. Determination of carrier diffusion length in p- and n-type GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  1. Ring resonator optical modes in InGaN/GaN structures grown on micro-cone-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kazanov, D. R.; Pozina, G.; Jmerik, V. N.; Shubina, T. V.

    2018-03-01

    Molecular beam epitaxy (MBE) of III-nitride compounds on specially prepared cone-shaped patterned substrates is being actively developed nowadays, especially for nanophotonic applications. This type of substrates enables the successful growth of hexagonal nanorods (NRs). The insertion of an active quantum-sized region of InGaN inside a GaN NR allows us to enhance the rate of optical transitions by coupling them with resonant optical modes in the NR. However, we have observed the enhancement of emission not only from the NR but also around the circumference region of the cone-shaped base. We have studied this specific feature and demonstrated its impact on the output signal.

  2. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    NASA Astrophysics Data System (ADS)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  3. Properties of Epitaxial GaN on Refractory Metal Substrates

    DTIC Science & Technology

    2007-02-28

    Rowland Aymont Technology, Inc., Scotia, New York 12302 Jihyun Kim College of Engineering, Korea University, Seoul 136-701, South Korea Mohammad Fatemi...M. Li, D. Wang, C. Ahyi, C.-C. Tin, J. Williams, and M. Park , Appl. Phys. Lett. 88, 113509 2001. FIG. 4. I-V characteristic of the GaN film on 111

  4. All-MOCVD-grown BH laser on P-InP substrates

    NASA Astrophysics Data System (ADS)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  5. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  6. Optical design of GaN nanowire arrays for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Winnerl, Julia; Hudeczek, Richard; Stutzmann, Martin

    2018-05-01

    GaN nanowire (NW) arrays are interesting candidates for photocatalytic applications due to their high surface-to-volume ratio and their waveguide character. The integration of GaN NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs. Here, we present a numerical study of the influence of the NW geometries, i.e., the NW diameter, length, and period, and the illumination wavelength on the transmission of GaN NW arrays on transparent substrates. A detailed numerical analysis reveals that the transmission characteristics for large periods are determined by the waveguide character of the single NW, whereas for dense GaN NW arrays inter-wire coupling and diffraction effects originating from the periodic arrangement of the GaN NWs dominate the transmission. The numerically simulated results are confirmed by experimental transmission measurements. We also investigate the influence of a dielectric NW shell and of the surrounding medium on the transmission characteristics of a GaN NW array.

  7. Responsivity drop due to conductance modulation in GaN metal-semiconductor-metal Schottky based UV photodetectors on Si(111)

    NASA Astrophysics Data System (ADS)

    Ravikiran, L.; Radhakrishnan, K.; Dharmarasu, N.; Agrawal, M.; Wang, Zilong; Bruno, Annalisa; Soci, Cesare; Lihuang, Tng; Kian Siong, Ang

    2016-09-01

    GaN Schottky metal-semiconductor-metal (MSM) UV photodetectors were fabricated on a 600 nm thick GaN layer, grown on 100 mm Si (111) substrate using an ammonia-MBE growth technique. In this report, the effect of device dimensions, applied bias and input power on the linearity of the GaN Schottky-based MSM photodetectors on Si substrate were investigated. Devices with larger interdigitated spacing, ‘S’ of 9.0 μm between the fingers resulted in good linearity and flat responsivity characteristics as a function of input power with an external quantum efficiency (EQE) of ˜33% at an applied bias of 15 V and an input power of 0.8 W m-2. With the decrease of ‘S’ to 3.0 μm, the EQE was found to increase to ˜97%. However, devices showed non linearity and drop in responsivity from flatness at higher input power. Moreover, the position of dropping from flatter responsivity was found to shift to lower powers with increased bias. The drop in the responsivity was attributed to the modulation of conductance in the MSM due to the trapping of electrons at the dislocations, resulting in the formation of depletion regions around them. In devices with lower ‘S’, both the image force reduction and the enhanced collection efficiency increased the photocurrent as well as the charging of the dislocations. This resulted in the increased depletion regions around the dislocations leading to the modulation of conductance and non-linearity.

  8. Strain engineering of atomic and electronic structures of few-monolayer-thick GaN

    NASA Astrophysics Data System (ADS)

    Kolobov, A. V.; Fons, P.; Saito, Y.; Tominaga, J.; Hyot, B.; André, B.

    2017-07-01

    Two-dimensional (2D) semiconductors possess the potential to ultimately minimize the size of devices and concomitantly drastically reduce the corresponding energy consumption. In addition, materials in their atomic-scale limit often possess properties different from their bulk counterparts paving the way to conceptually novel devices. While graphene and 2D transition-metal dichalcogenides remain the most studied materials, significant interest also exists in the fabrication of atomically thin structures from traditionally 3D semiconductors such as GaN. While in the monolayer limit GaN possesses a graphenelike structure and an indirect band gap, it was recently demonstrated that few-layer GaN acquires a Haeckelite structure in the direction of growth with an effectively direct gap. In this work, we demonstrate the possibility of strain engineering of the atomic and electronic structure of few-monolayer-thick GaN structures, which opens new avenues for their practical application in flexible nanoelectronics and nano-optoelectronics. Our simulations further suggest that due to the weak van der Waals-like interaction between a substrate and an overlayer, the use of a MoS2 substrate may be a promising route to fabricate few-monolayer Haeckelite GaN experimentally.

  9. Strong geometrical effects in submillimeter selective area growth and light extraction of GaN light emitting diodes on sapphire

    DOE PAGES

    Tanaka, Atsunori; Chen, Renjie; Jungjohann, Katherine L.; ...

    2015-11-27

    Advanced semiconductor devices often utilize structural and geometrical effects to tailor their characteristics and improve their performance. Our detailed understanding of such geometrical effects in the epitaxial selective area growth of GaN on sapphire substrates is reported here, and we utilize them to enhance light extraction from GaN light emitting diodes. Systematic size and spacing effects were performed side-by-side on a single 2” sapphire substrate to minimize experimental sampling errors for a set of 144 pattern arrays with circular mask opening windows in SiO 2. We show that the mask opening diameter leads to as much as 4 times increasemore » in the thickness of the grown layers for 20 μm spacings and that spacing effects can lead to as much as 3 times increase in thickness for a 350 μm dot diameter. We also observed that the facet evolution in comparison with extracted Ga adatom diffusion lengths directly influences the vertical and lateral overgrowth rates and can be controlled with pattern geometry. Lastly, such control over the facet development led to 2.5 times stronger electroluminescence characteristics from well-faceted GaN/InGaN multiple quantum well LEDs compared to non-faceted structures.« less

  10. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  11. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    NASA Astrophysics Data System (ADS)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  12. Spin reorientations in Tb-Fe films grown on polyimide substrates

    NASA Astrophysics Data System (ADS)

    Maneesh, K. Sai; Arout Chelvane, J.; Talapatra, A.; Basumatary, Himalay; Mohanty, J.; Kamat, S. V.

    2018-02-01

    This paper reports the effect of film thickness and rapid thermal annealing on the spin reorientations in Tb-Fe films grown on flexible polyimide substrates. Magnetization studies indicated that the spins reorient from in-plane to out-of-plane direction with increase in film thicknesses. This was confirmed by magnetic force microscopy studies which showed weak featureless contrast for films deposited with lower thickness and a strong out-of-plane contrast for films grown with higher thicknesses. On subsequent rapid thermal annealing all the Tb-Fe films exhibited in-plane magnetic anisotropy. The results were explained based on competition between uniaxial and shape anisotropies, nature of residual stresses as well as nucleation of crystalline Fe phase in an amorphous Tb-Fe matrix on rapid thermal annealing.

  13. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  14. High free carrier concentration in p-GaN grown on AlN substrates

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mita, Seiji; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Tweedie, James; Bryan, Isaac; Bryan, Zachary; Kirste, Ronny; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko

    2017-07-01

    A high free hole concentration in III-nitrides is important for next generation optoelectronic and high power electronic devices. The free hole concentration exceeding 1018 cm-3 and resistivity as low as 0.7 Ω cm are reported for p-GaN layers grown by metalorganic vapor phase epitaxy on single crystal AlN substrates. Temperature dependent Hall measurements confirmed a much lower activation energy, 60-80 mV, for p-GaN grown on AlN as compared to sapphire substrates; the lowering of the activation energy was due to screening of Coulomb potential by free carriers. It is also shown that a higher doping density (more than 5 × 1019 cm-3) can be achieved in p-GaN/AlN without the onset of self-compensation.

  15. Electrochemically deposited gallium oxide nanostructures on silicon substrates

    PubMed Central

    2014-01-01

    We report a synthesis of β-Ga2O3 nanostructures on Si substrate by electrochemical deposition using a mixture of Ga2O3, HCl, NH4OH, and H2O. The presence of Ga3+ ions contributed to the deposition of Ga2O3 nanostructures on the Si surface with the assistance of applied potentials. The morphologies of the grown structures strongly depended on the molarity of Ga2O3 and pH level of electrolyte. β-Ga2O3 nanodot-like structures were grown on Si substrate at a condition with low molarity of Ga2O3. However, Ga2O3 nanodot structures covered with nanorods on top of their surfaces were obtained at higher molarity, and the densities of nanorods seem to increase with the decrease of pH level. High concentration of Ga3+ and OH- ions may promote the reaction of each other to produce Ga2O3 nanorods in the electrolyte. Such similar nature of Ga2O3 nanorods was also obtained by using hydrothermal process. The grown structures seem to be interesting for application in electronic and optoelectronic devices as well as to be used as a seed structure for subsequent chemical synthesis of GaN by thermal transformation method. PMID:24629107

  16. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  17. Gallium nitride vertical power devices on foreign substrates: a review and outlook

    NASA Astrophysics Data System (ADS)

    Zhang, Yuhao; Dadgar, Armin; Palacios, Tomás

    2018-07-01

    Vertical gallium nitride (GaN) power devices have attracted increased attention due to their superior high-voltage and high-current capacity as well as easier thermal management than lateral GaN high electron mobility transistors. Vertical GaN devices are promising candidates for next-generation power electronics in electric vehicles, data centers, smart grids and renewable energy process. The use of low-cost foreign substrates such as silicon (Si) substrates, instead of the expensive free-standing GaN substrates, could greatly trim material cost and enable large-diameter wafer processing while maintaining high device performance. This review illustrates recent progress in material epitaxy, device design, device physics and processing technologies for the development of vertical GaN power devices on low-cost foreign substrates. Although the device technologies are still at the early stage of development, state-of-the-art vertical GaN-on-Si power diodes have already shown superior Baliga’s figure of merit than commercial SiC and Si power devices at the voltage classes beyond 600 V. Furthermore, we unveil the design space of vertical GaN power devices on native and different foreign substrates, from the analysis of the impact of dislocation and defects on device performance. We conclude by identifying the application space, current challenges and exciting research opportunities in this very dynamic research field.

  18. Investigation on structural, optical and electrical properties of Cp2Mg flow varied p-GaN grown by MOCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surender, S.; Pradeep, S.; Ramesh, R.

    2016-05-23

    In this work the effect of different concentration of Magnesium doped GaN (p-GaN) were systematically studied. The p-GaN epilayers were grown on c-plane sapphire substrate by horizontal flow Metal Organic Chemical Vapor Deposition (MOCVD) with various flow rates of 100 sccm to 300 sccm using bis-(cyclopentadienyl) - magnesium (Cp2Mg) precursor. The samples were subjected to structural, optical, morphological and electrical studies using High Resolution X-ray diffraction (HRXRD), room temperature photoluminescence (PL), Atomic Force Microscopy (AFM) and Hall measurement respectively. Results indicated that the Mg doped GaN of 200 sccm Cp2Mg has the root mean square (rms) roughness of about 0.3more » nm for a scan area of 5×5 µm{sup 2} which has good two dimensional growth. Moreover, Hall measurements results shows that (200 sccm Cp2Mg) Mg-doped GaN possess the highest hole concentration of 5.4×10{sup 17}cm{sup −3} and resistivity of 1.7 Ωcm at room temperature.« less

  19. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  20. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  1. Evaluation of Mycelium Based Acoustic Absorbers Grown on Select Agricultural Byproduct Substrates

    USDA-ARS?s Scientific Manuscript database

    This research examines the use of a novel new renewable resource in acoustic absorption applications. The material under test is based on the fruiting body of fungi, a mushroom, in the phylum of Basidiomycetes, which are grown on semi-hydrophobic substrates such as cotton byproducts, leaves, sticks ...

  2. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  3. Investigation on nonlinear optical properties of MoS2 nanoflake, grown on silicon and quartz substrates

    NASA Astrophysics Data System (ADS)

    Bayesteh, S.; Mortazavi, S. Z.; Reyhani, A.

    2018-03-01

    In this study, MoS2 was directly synthesized by one-step thermal chemical vapour deposition (TCVD), on different substrates including Si/SiO2 and quartz, using MoO3 and sulfide powders as precursor. The XRD patterns demonstrate the high crystallinity of MoS2 on Si/SiO2 and quartz substrates. SEM confirmed the formation of MoS2 grown on both substrates. According to line width and frequency difference between the E1 2g and A1g in Raman spectroscopy, it is inferred that the MoS2 grown on Si/SiO2 substrate is monolayer and the MoS2 grown on quartz substrate is multilayer. Moreover, by assessment of MoS2 nanoflake band gap via UV-visible analysis, it verified the formation of few layer structures. In addition, the open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the synthesized MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as light source. The monolayer MoS2 synthesized on Si/SiO2, display considerable two-photon absorption. However, the multilayer MoS2 synthesized on quartz displayed saturable absorption (SA). It is noticeable that both samples demonstrate obvious self-defocusing behaviour.

  4. MOCVD growth of gallium nitride with indium surfactant

    NASA Astrophysics Data System (ADS)

    Won, Dong Jin

    In this thesis research, the effect of indium surfactant on Ga-polar and N-polar GaN films grown at 950 °C by MOCVD on various substrates such as Si-face SiC, bulk GaN, Si(111), and C-face SiC was studied to investigate the stress relaxation mechanism, structural, and optical properties of GaN films which were modified by the indium surfactant. The effect of indium surfactant on GaN films grown on SiC was studied first. In the 1.8 microm thick Ga-polar GaN films grown on lattice-mismatched Si-face SiC substrates utilizing indium surfactant at 950 °C, inverted hexagonal pyramid surface defects, so-called V-defects which consist of six (1011) planes, formed at threading dislocations on the GaN surface, which gave rise to the relaxation of compressive misfit stress in an elastic way. Simultaneously, enhanced surface mobility of Ga and N adatoms with indium surfactant lead to improved 2D growth, which may be contradictory to the formation of surface defects like V-defects. In order to find the driving force for V-defect formation in the presence of indium, a nucleation and growth model was developed, taking into consideration the strain, surface, and dislocation energies modified by indium surfactant. This model found that the V-defect formation can be energetically preferred since indium reduces the surface energy of the (1011) plane, which gives rise to the V-defect formation and growth that can overcome the energy barrier at the critical radius of the V-defect. These Ga-polar GaN films were found to be unintentionally doped with Si. Thus, an investigation into the effect of intentional Si doping at a constant TMIn flow rate on GaN films was also performed. Si turned out to be another important factor in the generation of V-defects because Si may be captured at the threading dislocation cores by forming Si -- N bonds, acting as a mask to locally prevent GaN growth. This behavior appeared to assist the initiation of the V-defect which enables V-defects to easily

  5. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  6. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  7. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  8. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  9. Laser-induced local activation of Mg-doped GaN with a high lateral resolution for high power vertical devices

    NASA Astrophysics Data System (ADS)

    Kurose, Noriko; Matsumoto, Kota; Yamada, Fumihiko; Roffi, Teuku Muhammad; Kamiya, Itaru; Iwata, Naotaka; Aoyagi, Yoshinobu

    2018-01-01

    A method for laser-induced local p-type activation of an as-grown Mg-doped GaN sample with a high lateral resolution is developed for realizing high power vertical devices for the first time. As-grown Mg-doped GaN is converted to p-type GaN in a confined local area. The transition from an insulating to a p-type area is realized to take place within about 1-2 μm fine resolution. The results show that the technique can be applied in fabricating the devices such as vertical field effect transistors, vertical bipolar transistors and vertical Schottkey diode so on with a current confinement region using a p-type carrier-blocking layer formed by this technique.

  10. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Wang, Junxi

    2014-02-15

    Self-assembly SiO{sub 2} nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, themore » external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.« less

  11. Control of Defects in Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates

    DTIC Science & Technology

    2013-02-01

    Nord, J.; Albe, K.; Erhart, P.; Nordlund, K. Modelling of Compound Semiconductors: Analytical Bond-order Potential for Gallium , Nitrogen and Gallium ...Control of Defects in Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates by Iskander G. Batyrev, Chi-Chin Wu...Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates Iskander G. Batyrev and N. Scott Weingarten Weapons and

  12. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  13. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  14. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  15. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  16. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  17. Stress related aspects of GaN technology physics

    NASA Astrophysics Data System (ADS)

    Suhir, Ephraim

    2015-03-01

    Simple, easy-to-use and physically meaningful analytical models have been developed for the assessment of the combined effect of the lattice and thermal mismatch on the induced stresses in an elongated bi-material assembly, as well as on the thermal mismatch on the thermal stresses in a tri-material assembly, in which the lattice mismatched stresses are eliminated in one way or another. This could be done, e.g., by using a polished or an etched substrate. The analysis is carried out in application to Gallium Nitride (GaN)-Silicon Carbide (SiC) and GaN-diamond (C) filmsubstrate assemblies. The calculated data are obtained, assuming that no annealing or other stress reduction means is applied. The data agree reasonably well with the reported (available) in-situ measurements. The most important conclusion from the computed data is that even if a reasonably good lattice match takes place (as, e.g., in the case of a GaN film fabricated on a SiC substrate, when the mismatch strain is only about 3%) and, in addition, the temperature change (from the fabrication/growth temperature to the operation temperature) is significant (as high as 1000 °C), the thermal stresses are still considerably lower than the lattice-mismatch stresses. Although there are structural and technological means for further reduction of the lattice-mismatch stresses (e.g., by high temperature annealing or by providing one or more buffering layers, or by using patterned or porous substrates), there is still a strong incentive to eliminate completely the lattice mismatch stresses. This seems to be indeed possible, if polished or otherwise flattened (e.g., chemically etched) substrates and sputter deposited GaN film is employed. In such a case only thermal stresses remain, but even these could be reduced, if necessary, by using compliant buffering layers, including layers of variable compliance, or by introducing variable compliance into the properly engineered substrate. In any event, it is expected

  18. Selective-area growth of GaN nanocolumns on Si(111) substrates for application to nanocolumn emitters with systematic analysis of dislocation filtering effect of nanocolumns

    NASA Astrophysics Data System (ADS)

    Kishino, Katsumi; Ishizawa, Shunsuke

    2015-06-01

    The growth of highly uniform arrays of GaN nanocolumns with diameters from 122 to 430 nm on Si (111) substrates was demonstrated. The employment of GaN film templates with flat surfaces (root mean square surface roughness of 0.84 nm), which were obtained using an AlN/GaN superlattice (SL) buffer on Si, contributed to the high-quality selective-area growth of nanocolumns using a thin Ti mask of 5 nm thickness by rf-plasma-assisted molecular beam epitaxy. Although the GaN template included a large number of dislocations (dislocation density ˜1011 cm-2), the dislocation filtering effect of nanocolumns was enhanced with decreasing nanocolumn diameters (D). Systematic transmission electron microscopy (TEM) observation enabled us to explain the dependence of the dislocation propagation behavior in nanocolumns on the nanocolumn diameter for the first time. Plan-view TEM analysis was performed for nanocolumns with D = 120-324 nm by slicing the nanocolumns horizontally at a height of ˜300 nm above their bottoms and dislocation propagation through the nanocolumns was analyzed by the cross-sectional TEM observation of nanocolumns with D ˜ 200 nm. It was clarified that dislocations were effectively filtered in the bottom 300 nm region of the nanocolumns, the dislocation density of the nanocolumns decreased with decreasing D, and for narrow nanocolumns with D < 200 nm, dislocation-free crystals were obtained in the upper part of the nanocolumns. The dramatic improvement in the emission properties of GaN nanocolumns observed with decreasing diameter is discussed in relation to the decreased dislocation density. The laser action of InGaN/GaN-based nanocolumn arrays with a nanocolumn diameter of 170 nm and a period of 200 nm on Si under optical excitation was obtained with an emission wavelength of 407 nm. We also fabricated red-emitting InGaN-based nanocolumn light-emitting diodes on Si that operated at a wavelength of 652 nm, demonstrating vertical conduction through the Al

  19. Influence of surface hydroxylation on 3-aminopropyltriethoxysilane growth mode during chemical functionalization of GaN Surfaces: an angle-resolved X-ray photoelectron spectroscopy Study.

    PubMed

    Arranz, A; Palacio, C; García-Fresnadillo, D; Orellana, G; Navarro, A; Muñoz, E

    2008-08-19

    A comparative study of the chemical functionalization of undoped, n- and p-type GaN layers grown on sapphire substrates by metal-organic chemical vapor deposition was carried out. Both types of samples were chemically functionalized with 3-aminopropyltriethoxysilane (APTES) using a well-established silane-based approach for functionalizing hydroxylated surfaces. The untreated surfaces as well as those modified by hydroxylation and APTES deposition were analyzed using angle-resolved X-ray photoelectron spectroscopy. Strong differences were found between the APTES growth modes on n- and p-GaN surfaces that can be associated with the number of available hydroxyl groups on the GaN surface of each sample. Depending on the density of surface hydroxyl groups, different mechanisms of APTES attachment to the GaN surface take place in such a way that the APTES growth mode changes from a monolayer to a multilayer growth mode when the number of surface hydroxyl groups is decreased. Specifically, a monolayer growth mode with a surface coverage of approximately 78% was found on p-GaN, whereas the formation of a dense film, approximately 3 monolayers thick, was observed on n-GaN.

  20. Synchrotron radiation x-ray topography and defect selective etching analysis of threading dislocations in GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sintonen, Sakari, E-mail: sakari.sintonen@aalto.fi; Suihkonen, Sami; Jussila, Henri

    2014-08-28

    The crystal quality of bulk GaN crystals is continuously improving due to advances in GaN growth techniques. Defect characterization of the GaN substrates by conventional methods is impeded by the very low dislocation density and a large scale defect analysis method is needed. White beam synchrotron radiation x-ray topography (SR-XRT) is a rapid and non-destructive technique for dislocation analysis on a large scale. In this study, the defect structure of an ammonothermal c-plane GaN substrate was recorded using SR-XRT and the image contrast caused by the dislocation induced microstrain was simulated. The simulations and experimental observations agree excellently and themore » SR-XRT image contrasts of mixed and screw dislocations were determined. Apart from a few exceptions, defect selective etching measurements were shown to correspond one to one with the SR-XRT results.« less

  1. Piezo-generator integrating a vertical array of GaN nanowires.

    PubMed

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  2. Composition and Band Gap Tailoring of Crystalline (GaN)1- x(ZnO) x Solid Solution Nanowires for Enhanced Photoelectrochemical Performance.

    PubMed

    Li, Jing; Liu, Baodan; Wu, Aimin; Yang, Bing; Yang, Wenjin; Liu, Fei; Zhang, Xinglai; An, Vladimir; Jiang, Xin

    2018-05-07

    Photoelectrochemical water splitting has emerged as an effective artificial photosynthesis technology to generate clean energy of H 2 from sunlight. The core issue in this reaction system is to develop a highly efficient photoanode with a large fraction of solar light absorption and greater active surface area. In this work, we take advantage of energy band engineering to synthesize (GaN) 1- x (ZnO) x solid solution nanowires with ZnO contents ranging from 10.3% to 47.6% and corresponding band gap tailoring from 3.08 to 2.77 eV on the basis of the Au-assisted VLS mechanism. The morphology of nanowires directly grown on the conductive substrate facilitates the charge transfer and simultaneously improves the surface reaction sites. As a result, a photocurrent approximately 10 times larger than that for a conventional powder-based photoanode is obtained, which indicates the potential of (GaN) 1- x (ZnO) x nanowires in the preparation of superior photoanodes for enhanced water splitting. It is anticipated that the water-splitting capability of (GaN) 1- x (ZnO) x nanowire can be further increased through alignment control for enhanced visible light absorption and reduction of charge transfer resistance.

  3. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE PAGES

    Parameshwaran, Vijay; Clemens, Bruce

    2016-08-17

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  4. Morphological Control of GaN and Its Effect within Electrochemical Heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parameshwaran, Vijay; Clemens, Bruce

    With morphological control through a solid source chemical vapor deposition process, GaN polycrystalline films, single-crystal nanowires, and mixed film/wires are grown on silicon to form a heterojunction that is a basis for III-V nitride device development. By contacting the GaN/Si structure to the CoCp 2 0/ + redox pair and performing impedance spectroscopy measurements, the band diagram of this junction is built for these three configurations. This serves as a basis for understanding the electrical nature of III-V nitride/Si interfaces that exist in several photonic device technologies, especially in context of using GaN nanomaterials grown on silicon for various applications.more » When these junctions are exposed to low-power UV illumination in contact with the Fc/Fc + redox pair, photocurrents of 18, 110, and 482 nA/cm 2 are generated for the nanowires, mixed film/wires, and films respectively. These currents, along with the electrostatics investigated through the impedance spectroscopy, show the trends of photoconversion with GaN morphology in this junction. Furthermore, they suggest that the mixed film/wires are a promising design for solar-based applications such as photovoltaics and water splitting electrodes.« less

  5. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  7. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  8. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  9. Influence of the AlN nucleation layer on the properties of AlGaN/GaN heterostructure on Si (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu

    2018-07-01

    AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.

  10. Radiation Damage Formation And Annealing In Mg-Implanted GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whelan, Sean; Kelly, Michael J.; Yan, John

    2005-06-30

    We have implanted GaN with Mg ions over an energy range of 200keV to 1MeV at substrate temperatures of -150 (cold) and +300 deg. C (hot). The radiation damage formation in GaN was increased for cold implants when compared to samples implanted at elevated temperatures. The increase in damage formation is due to a reduction in the dynamic defect annealing during ion irradiation. The dopant stopping in the solid also depends upon the implant temperature. For a fixed implant energy and dose, Mg ions have a shorter range in GaN for cold implants when compared to hot implants which ismore » caused by the increase in scattering centres (disorder)« less

  11. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  12. The 2018 GaN power electronics roadmap

    NASA Astrophysics Data System (ADS)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  13. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  14. ZnO nanostructures directly grown on paper and bacterial cellulose substrates without any surface modification layer.

    PubMed

    Costa, Saionara V; Gonçalves, Agnaldo S; Zaguete, Maria A; Mazon, Talita; Nogueira, Ana F

    2013-09-21

    In this report, hierarchical ZnO nano- and microstructures were directly grown for the first time on a bacterial cellulose substrate and on two additional different papers by hydrothermal synthesis without any surface modification layer. Compactness and smoothness of the substrates are two important parameters that allow the growth of oriented structures.

  15. High kappa Dielectrics on InGaAs and GaN: Growth, Interfacial Structural Studies, and Surface Fermi Level Unpinning

    DTIC Science & Technology

    2010-12-24

    nano-thick Al2O3, HfO2, and Ga2O3 (Gd2O3)/ InGaAs (and GaN) using high-resolution x-ray reflectivity using in-situ/ex-situ high-resolution synchrotron...aligned inversion-channel In0.75Ga0.25As MOSFETs using MBE- grown Al2O3/ Ga2O3 (Gd2O3) Chips integrating high κ’s/InGaAs and /Ge onto Si substrates have...using molecular beam epitaxy (MBE)-Al2O3/ Ga2O3 (Gd2O3) [GGO] and atomic layer deposited (ALD)-Al2O3, with gate lengths (LG) of 1 μm and 0.4 μm

  16. Free-carrier mobility in GaN in the presence of dislocation walls

    NASA Astrophysics Data System (ADS)

    Farvacque, J.-L.; Bougrioua, Z.; Moerman, I.

    2001-03-01

    The free-carrier mobility versus carrier density in n-type GaN grown by low-pressure metal-organic vapor- phase epitaxy on a sapphire substrate experiences a particular behavior that consists of the appearance of a sharp transition separating a low- from a high-mobility regime. This separation appears as soon as the carrier density exceeds a critical value that depends on the growth process. Using low-field electrical transport simulations, we show that this particular mobility behavior cannot be simply interpreted in terms of dislocation scattering or trapping mechanisms, but that it is also controlled by the collective effect of dislocation walls (the columnar structure). As the free-carrier density increases, the more efficient screening properties result in the transition from a barrier-controlled mobility regime to a pure-diffusion-process-controlled mobility regime. The model permits us to reproduce the experimental mobility collapse quantitatively.

  17. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  18. Highly crystalline MoS{sub 2} thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Serrao, Claudy R.; You, Long; Gadgil, Sushant

    2015-02-02

    Highly crystalline thin films of MoS{sub 2} were prepared over large area by pulsed laser deposition down to a single monolayer on Al{sub 2}O{sub 3} (0001), GaN (0001), and SiC-6H (0001) substrates. X-ray diffraction and selected area electron diffraction studies show that the films are quasi-epitaxial with good out-of-plane texture. In addition, the thin films were observed to be highly crystalline with rocking curve full width half maxima of 0.01°, smooth with a RMS roughness of 0.27 nm, and uniform in thickness based on Raman spectroscopy. From transport measurements, the as-grown films were found to be p-type.

  19. Fabrication of GaN doped ZnO nanocrystallines by laser ablation.

    PubMed

    Gopalakrishnan, N; Shin, B C; Bhuvana, K P; Elanchezhiyan, J; Balasubramanian, T

    2008-08-01

    Here, we present the fabrication of pure and GaN doped ZnO nanocrystallines on Si(111) substrates by KrF excimer laser. The targets for the ablation have been prepared by conventional ceramic method. The fabricated nanocrystallines have been investigated by X-ray diffraction, photoluminescence and atomic force microscopy. The X-ray diffraction analysis shows that the crystalline size of pure ZnO is 36 nm and it is 41 nm while doped with 0.8 mol% of GaN due to best stoichiometry between Zn and O. Photoluminescence studies reveal that intense deep level emissions have been observed for pure ZnO and it has been suppressed for the GaN doped ZnO structures. The images of atomic force microscope show that the rms surface roughness is 27 nm for pure ZnO and the morphology is improved with decrease in rms roughness, 18 nm with fine crystallines while doped with 1 mol% GaN. The improved structural, optical and morphological properties of ZnO nanocrystalline due to GaN dopant have been discussed in detail.

  20. Ga/1-x/Al/x/As LED structures grown on GaP substrates.

    NASA Technical Reports Server (NTRS)

    Woodall, J. M.; Potemski, R. M.; Blum, S. E.; Lynch, R.

    1972-01-01

    Ga(1-x)Al(x)As light-emitting diode structures have been grown on GaP substrates by the liquid-phase-epitaxial method. In spite of the large differences in lattice constants and thermal-expansion coefficients, room-temperature efficiencies up to 5.5% in air have been observed for a peak emission of 8500 A. Using undoped GaP substrates, which are transparent to the infrared and red portions of the spectrum, thin structures of Ga(1-x)Al(x)As with large external efficiencies can now be made.

  1. Energy bands and acceptor binding energies of GaN

    NASA Astrophysics Data System (ADS)

    Xia, Jian-Bai; Cheah, K. W.; Wang, Xiao-Liang; Sun, Dian-Zhao; Kong, Mei-Ying

    1999-04-01

    The energy bands of zinc-blende and wurtzite GaN are calculated with the empirical pseudopotential method, and the pseudopotential parameters for Ga and N atoms are given. The calculated energy bands are in agreement with those obtained by the ab initio method. The effective-mass theory for the semiconductors of wurtzite structure is established, and the effective-mass parameters of GaN for both structures are given. The binding energies of acceptor states are calculated by solving strictly the effective-mass equations. The binding energies of donor and acceptor are 24 and 142 meV for the zinc-blende structure, 20 and 131, and 97 meV for the wurtzite structure, respectively, which are consistent with recent experimental results. It is proposed that there are two kinds of acceptor in wurtzite GaN. One kind is the general acceptor such as C, which substitutes N, which satisfies the effective-mass theory. The other kind of acceptor includes Mg, Zn, Cd, etc., the binding energy of these acceptors is deviated from that given by the effective-mass theory. In this report, wurtzite GaN is grown by the molecular-beam epitaxy method, and the photoluminescence spectra were measured. Three main peaks are assigned to the donor-acceptor transitions from two kinds of acceptors. Some of the transitions were identified as coming from the cubic phase of GaN, which appears randomly within the predominantly hexagonal material.

  2. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Usami, Shigeyoshi; Ando, Yuto; Tanaka, Atsushi; Nagamatsu, Kentaro; Deki, Manato; Kushimoto, Maki; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi; Sugawara, Yoshihiro; Yao, Yong-Zhao; Ishikawa, Yukari

    2018-04-01

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that 1c pure screw dislocations are related to the reverse leakage in vertical p-n diodes.

  3. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  4. Miscut dependent surface evolution in the process of N-polar GaN(000 1 bar) growth under N-rich condition

    NASA Astrophysics Data System (ADS)

    Krzyżewski, Filip; Załuska-Kotur, Magdalena A.; Turski, Henryk; Sawicka, Marta; Skierbiszewski, Czesław

    2017-01-01

    The evolution of surface morphology during the growth of N-polar (000 1 bar) GaN under N-rich conditions is studied by kinetic Monte Carlo (kMC) simulations for two substrates miscuts 2° and 4°. The results are compared with experimentally observed surface morphologies of (000 1 bar) GaN layers grown by plasma-assisted molecular beam epitaxy. The proposed kMC two-component model of GaN(000 1 bar) surface where both types of atoms, nitrogen and gallium, attach to the surface and diffuse independently shows that at relatively high rates of the step flow (miscut angle < 2 °) the low mobility of gallium adatoms causes surface instabilities and leads to experimentally observed roughening while for low rates of the step flow (miscut 4°), smooth surface can be obtained. In the presence of almost immobile nitrogen atoms under N-rich conditions crystal growth is realized by the process of two-dimensional island nucleation and coalescence. Larger crystal miscut, lower growth rate or higher temperature results in similar effect of the surface smoothening. We show that the surface also smoothens for the growth conditions with very high N-excess. In the presence of large number of nitrogen atoms the mobility of gallium atoms changes locally thus providing easier coalescence of separated island.

  5. Growth of high-quality InGaN/GaN LED structures on (1 1 1) Si substrates with internal quantum efficiency exceeding 50%

    NASA Astrophysics Data System (ADS)

    Lee, JaeWon; Tak, Youngjo; Kim, Jun-Youn; Hong, Hyun-Gi; Chae, Suhee; Min, Bokki; Jeong, Hyungsu; Yoo, Jinwoo; Kim, Jong-Ryeol; Park, Youngsoo

    2011-01-01

    GaN-based light-emitting-diodes (LEDs) on (1 1 1) Si substrates with internal quantum efficiency (IQE) exceeding 50% have been successfully grown by metal organic vapor phase epitaxy (MOVPE). 3.5 μm thick crack-free GaN epitaxial layers were grown on the Si substrates by the re-growth method on patterned templates. Series of step-graded Al xGa 1- xN epitaxial layers were used as the buffer layers to compensate thermal tensile stresses produced during the post-growth cooling process as well as to reduce the density of threading dislocations (TDs) generated due to the lattice mismatches between III-nitride layers and the silicon substrates. The light-emitting region consisted of 1.8 μm thick n-GaN, 3 periods of InGaN/GaN superlattice, InGaN/GaN multiple quantum wells (MQWs) designed for a peak wavelength of about 455 nm, an electron blocking layer (EBL), and p-GaN. The full-widths at half-maximum (FWHM) of (0 0 0 2) and (1 0 -1 2) ω-rocking curves of the GaN epitaxial layers were 410 and 560 arcsec, respectively. Cross-sectional transmission electron microscopy (TEM) investigation revealed that the propagation of the threading dislocations was mostly limited to the interface between the last Al xGa 1- xN buffer and n-GaN layers. The density of the threading dislocations induced pits of n-GaN, as estimated by atomic force microscopy (AFM), was about 5.5×10 8 cm -2. Temperature dependent photoluminescence (PL) measurements with a relative intensity integration method were carried out to estimate the internal quantum efficiency (IQE) of the light-emitting structures grown on Si, which reached up to 55%.

  6. Linearly polarized photoluminescence of InGaN quantum disks embedded in GaN nanorods.

    PubMed

    Park, Youngsin; Chan, Christopher C S; Nuttall, Luke; Puchtler, Tim J; Taylor, Robert A; Kim, Nammee; Jo, Yongcheol; Im, Hyunsik

    2018-05-25

    We have investigated the emission from InGaN/GaN quantum disks grown on the tip of GaN nanorods. The emission at 3.21 eV from the InGaN quantum disk doesn't show a Stark shift, and it is linearly polarized when excited perpendicular to the growth direction. The degree of linear polarization is about 39.3% due to the anisotropy of the nanostructures. In order to characterize a single nanostructure, the quantum disks were dispersed on a SiO 2 substrate patterned with a metal reference grid. By rotating the excitation polarization angle from parallel to perpendicular relative to the nanorods, the variation of overall PL for the 3.21 eV peak was recorded and it clearly showed the degree of linear polarization (DLP) of 51.5%.

  7. Improved light extraction efficiency of GaN-based flip-chip light-emitting diodes with an antireflective interface layer

    NASA Astrophysics Data System (ADS)

    Wu, Dongxue; Ma, Ping; Liu, Boting; Zhang, Shuo; Wang, Junxi; Li, Jinmin

    2016-05-01

    GaN-based flip-chip light-emitting diodes (FC-LEDs) grown on nanopatterned sapphire substrates (NPSS) are fabricated using self-assembled SiO2 nanospheres as masks during inductively coupled plasma etching. By controlling the pattern spacing, epitaxial GaN can be grown from the top or bottom of patterns to obtain two different GaN/substrate interfaces. The optoelectronic characteristics of FC-LED chips with different GaN/sapphire interfaces are studied. The FC-LED with an antireflective interface layer consisting of a NPSS with GaN in the pattern spacings demonstrates better optical properties than the FC-LED with an interface embedded with air voids. Our study indicates that the two types of FC-LEDs grown on NPSS show higher crystal quality and improved electrical and optical characteristics compared with those of FC-LEDs grown on conventional planar sapphire substrates.

  8. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  9. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  10. Phonon conduction in GaN-diamond composite substrates

    NASA Astrophysics Data System (ADS)

    Cho, Jungwan; Francis, Daniel; Altman, David H.; Asheghi, Mehdi; Goodson, Kenneth E.

    2017-02-01

    The integration of strongly contrasting materials can enable performance benefits for semiconductor devices. One example is composite substrates of gallium nitride (GaN) and diamond, which promise dramatically improved conduction cooling of high-power GaN transistors. Here, we examine phonon conduction in GaN-diamond composite substrates fabricated using a GaN epilayer transfer process through transmission electron microscopy, measurements using time-domain thermoreflectance, and semiclassical transport theory for phonons interacting with interfaces and defects. Thermoreflectance amplitude and ratio signals are analyzed at multiple modulation frequencies to simultaneously extract the thermal conductivity of GaN layers and the thermal boundary resistance across GaN-diamond interfaces at room temperature. Uncertainties in the measurement of these two properties are estimated considering those of parameters, including the thickness of a topmost metal transducer layer, given as an input to a multilayer thermal model, as well as those associated with simultaneously fitting the two properties. The volume resistance of an intermediate, disordered SiN layer between the GaN and diamond, as well as a presence of near-interfacial defects in the GaN and diamond, dominates the measured GaN-diamond thermal boundary resistances as low as 17 m2 K GW-1. The GaN thermal conductivity data are consistent with the semiclassical phonon thermal conductivity integral model that accounts for the size effect as well as phonon scattering on point defects at concentrations near 3 × 1018 cm-3.

  11. Magnesium acceptor in gallium nitride. I. Photoluminescence from Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Ghimire, P.; Demchenko, D. O.

    2018-05-01

    Defect-related photoluminescence (PL) is analyzed in detail for n -type, p -type, and semi-insulating Mg-doped GaN grown by different techniques. The ultraviolet luminescence (UVL) band is the dominant PL band in conductive n -type and p -type GaN:Mg samples grown by hydride vapor phase epitaxy (HVPE) and molecular beam epitaxy. The UVL band in undoped and Mg-doped GaN samples is attributed to the shallow M gGa acceptor with the ionization energy of 223 meV. In semi-insulating GaN:Mg samples, very large shifts of the UVL band (up to 0.6 eV) are observed with variation of temperature or excitation intensity. The shifts are attributed to diagonal transitions, likely due to potential fluctuations or near-surface band bending. The blue luminescence (B LMg ) band is observed only in GaN:Mg samples grown by HVPE or metalorganic chemical vapor deposition when the concentration of Mg exceeds 1019c m-3 . The B LMg band is attributed to electron transitions from an unknown deep donor to the shallow M gGa acceptor. Basic properties of the observed PL are explained with a phenomenological model.

  12. Weed Growth and Efficacy of Pre-Applied Herbicides in Alternative Rooting Substrates Used in Container-Grown Nursery Crops

    USDA-ARS?s Scientific Manuscript database

    Container-grown nursery crops in the Southeastern United States are typically grown in a rooting substrate comprised primarily of the ground bark of pine trees. However pine bark is becoming less available and more costly due to changes in production and marketed practices within Southeastern pine f...

  13. High power blue laser diodes on semipolar (202¯1¯) GaN substrates

    NASA Astrophysics Data System (ADS)

    Pourhashemi, Seyed Arash

    High power blue laser didoes (LDs), among other applications, show the promise of realizing efficient and reliable solid state lighting systems. Since first GaN optoelectronic devices were demonstrated in early 1990s, GaN LDs were traditionally fabricated on polar c-plane. However in recent years there has been a growing interest in nonpolar and semipolar planes. Nonpolar and semipolar devices offer the prospect of achieving higher efficiencies though elimination or reduction of polarization-related electric fields. In this project I investigated semipolar (202 ¯1 ¯) plane of GaN for blue LDs fabrication. Results include blue LD (Lambda=450 nm) with highest output power, differential quantum efficiency (?d) and external quantum efficiency (EQE) reported for a GaN LD on a semipolar plane to date. Output power of 2.52 W, etad=50% and EQE=39% were achieved in pulsed mode and output power of 1.71 W was achieved in true CW mode. Moreover, use of indium tin oxide (ITO) as cladding layer in order to reduce the thickness of Mg-doped p-GaN layer was investigated. Blue LDs with ITO cladding were demonstrated in this work with highest output power, etad and EQE reported for a GaN LD with transparent conducting oxide (TCO) cladding layer to date. The lack of any natural cleavage plane orthogonal to the in-plane projection of the c-axis on semipolar planes has made Cl2-based dry etch processes the most common way to form mirror facets for semipolar LDs. However, mirror facets fabricated by dry etching can be inclined or rough. For this work, mechanical polishing was used to form LD mirror facets. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high power CW semipolar LDs.

  14. The investigation of Al0.29Ga0.71N/GaN/AlN and AlN/GaN/AlN thin films grown on Si (111) by RF plasma-assisted MBE

    NASA Astrophysics Data System (ADS)

    Yusoff, Mohd Zaki Mohd; Mahyuddin, Azzafeerah; Hassan, Zainuriah; Hassan, Haslan Abu; Abdullah, Mat Johar

    2012-06-01

    Recently, gallium nitride (GaN) and its related compounds involving Al and In have attracted much attention because of their potential to be used as high-efficiency UV light emitting devices, and as high frequency and high power electronic devices. Consequently, the growth and physics of GaN-based materials have attracted remarkable scientific attention. In this work, the growth and characterization of epitaxial Al0.29Ga0.71N and AlN layers grown on Si (111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. For AlN/GaN/AlN sample, the maximum Raman intensity at 521.53 cm-1 is attributed to crystalline silicon. It was found that the allowed Raman optical phonon mode of GaN, the E1 (high) is clearly visible, which is located at 570.74 cm-1. Photoluminscence (PL) spectrums of both samples have shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing good crystal quality of the samples have been successfully grown on Si substrate.

  15. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  16. Synthesis and excellent field emission properties of three-dimensional branched GaN nanowire homostructures

    NASA Astrophysics Data System (ADS)

    Li, Enling; Sun, Lihe; Cui, Zhen; Ma, Deming; Shi, Wei; Wang, Xiaolin

    2016-10-01

    Three-dimensional branched GaN nanowire homostructures have been synthesized on the Si substrate via a two-step approach by chemical vapor deposition. Structural characterization reveals that the single crystal GaN nanowire trunks have hexagonal wurtzite characteristics and grow along the [0001] direction, while the homoepitaxial single crystal branches grow in a radial direction from the six-sided surfaces of the trunks. The field emission measurements demonstrate that the branched GaN nanowire homostructures have excellent field emission properties, with low turn-on field at 2.35 V/μm, a high field enhancement factor of 2938, and long emission current stability. This indicates that the present branched GaN nanowire homostructures will become valuable for practical field emission applications.

  17. Comparison of as-grown and annealed GaN/InGaN : Mg samples

    NASA Astrophysics Data System (ADS)

    Deng, Qingwen; Wang, Xiaoliang; Xiao, Hongling; Wang, Cuimei; Yin, Haibo; Chen, Hong; Lin, Defeng; Jiang, Lijuan; Feng, Chun; Li, Jinmin; Wang, Zhanguo; Hou, Xun

    2011-08-01

    Mg-doped InGaN was grown on unintentionally doped GaN layer, and Mg and defect behaviours in both GaN and InGaN : Mg were investigated through photoluminescence measurement at 7 K. Mg acceptor was found in unintentionally doped GaN after thermal annealing in N2 ambient, and Mg activation energy was estimated to be 200 meV and 110 meV for GaN and InGaN, respectively. Particularly, the ultraviolet band (3.0-3.2 eV) in the GaN layer was infrequently observed in the unannealed sample but quenched in the annealed sample; this band may be associated with oxygen-substituted nitrogen defects. Moreover, the measurement errors of photoluminescence and x-ray diffraction originated from strain were taken into account.

  18. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    NASA Astrophysics Data System (ADS)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  19. Method of growing GaN films with a low density of structural defects using an interlayer

    DOEpatents

    Bourret-Courchesne, Edith D.

    2003-01-01

    A dramatic reduction of the dislocation density in GaN was obtained by insertion of a single thin interlayer grown at an intermediate temperature (IT-IL) after the growth of an initial grown at high temperature. A description of the growth process is presented with characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend near the interlayer and do not propagate into the top layer which grows at higher temperature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the epitaxial lateral overgrowth process, however a notable difference is the absence of coalescence boundaries.

  20. Mechanics of graded glass composites and zinc oxide thin films grown at 90 degrees Celsius in water

    NASA Astrophysics Data System (ADS)

    Fillery, Scott Pierson

    2007-06-01

    The purpose of this research was to study the mechanical stability of two different material systems. The glass laminate system, exhibiting a threshold strength when placed under an applied load and ZnO thin films grown on GaN buffered Al2O3 substrates, exhibiting variations in film stability with changes to the Lateral Epitaxial Overgrowth architecture. The glass laminates were fabricated to contain periodic thin layers containing biaxial compressive stresses using ion exchange treatments to create residual compressive stresses at the surface of soda lime silicate glass sheets. Wafer direct bonding of the ion exchanged glass sheets resulted in the fabrication of glass laminates with thin layers of compressive stress adjacent to the glass interfaces. The threshold flexural strength of the ion exchanged glass laminates was determined to be 112 MPa after the introduction of indentation cracks with indent loads ranging from 1kg to 5kg and the laminates were found to exhibit a threshold strength, i.e., a stress below which failure will not occur. Contrary to similar ceramic laminates where cracks either propagate across the compressive layer or bifurcate within the compressive layer, the cracks in the glass laminates were deflected along the interface between the bonded sheets. ZnO films were grown on (0001) GaN buffered Al2O3 substrates by aqueous solution routes at 90°C. The films were found to buckle under compressive residual stresses at film thicknesses greater than 4mum. Lateral epitaxial overgrowth techniques using hexagonal hole arrays showed an increasing film stability with larger array spacing, resulting in film thicknesses up to 92mum. Stress determinations using Raman spectroscopy indicated that stress relaxation at the free surface during film growth played a major role in film stability. Investigations using Finite Element Analysis and Raman spectroscopy demonstrated that the strain energy within the film/substrate system decreased with increasing array

  1. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  2. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  3. Zinc oxide films chemically grown onto rigid and flexible substrates for TFT applications

    NASA Astrophysics Data System (ADS)

    Suchea, M.; Kornilios, N.; Koudoumas, E.

    2010-10-01

    This contribution presents some preliminary results regarding the use of a chemical route for the growth of good quality ZnO thin films that can be used for the fabrication of thin film transistors (TFTs). The films were grown at rather low temperature (60 °C) on glass and PET substrates using non-aqueous (zinc acetate dihydrate in methanol) precursor solution and their surface morphology, crystalline structure, optical transmittance and electrical characteristics were studied. The study indicated that good quality films with desirable ZnO structure onto rigid and flexible substrates can be obtained, using a simple, cheap, low temperature chemical growth method.

  4. Mid-infrared intersubband absorption from p-Ge quantum wells grown on Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gallacher, K.; Millar, R. W.; Paul, D. J., E-mail: Douglas.Paul@glasgow.ac.uk

    2016-02-29

    Mid-infrared intersubband absorption from p-Ge quantum wells with Si{sub 0.5}Ge{sub 0.5} barriers grown on a Si substrate is demonstrated from 6 to 9 μm wavelength at room temperature and can be tuned by adjusting the quantum well thickness. Fourier transform infra-red transmission and photoluminescence measurements demonstrate clear absorption peaks corresponding to intersubband transitions among confined hole states. The work indicates an approach that will allow quantum well intersubband photodetectors to be realized on Si substrates in the important atmospheric transmission window of 8–13 μm.

  5. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  6. Nano-indentation used to study pyramidal slip in GaN single crystals

    NASA Astrophysics Data System (ADS)

    Krimsky, E.; Jones, K. A.; Tompkins, R. P.; Rotella, P.; Ligda, J.; Schuster, B. E.

    2018-02-01

    The nucleation and structure of dislocations created by the nano-indentation of GaN samples with dislocation densities ≈103, 106 or 109 ⊥/cm2 were studied in the interest of learning how dislocations can be created to relieve the mismatch strain in ternary nitride films grown on (0001) oriented binary nitride substrates. Using transmission electron microscopy and stress analyses to assist in interpreting the nano-indentation data, we determined that the pop-ins in the indenter load vs. penetration depth curves are created by an avalanche process at stresses well above the typical yield stress. The process begins by the homogeneous formation of a basal plane screw dislocation that triggers the formation of pyramidal and other basal plane dislocations that relieve the excess stored elastic energy. It appears that pyramidal slip can occur on either the {1122} or {0111} planes, as there is little resistance to the cross slip of screw dislocations.

  7. Large-k exciton dynamics in GaN epilayers: Nonthermal and thermal regimes

    NASA Astrophysics Data System (ADS)

    Vinattieri, Anna; Bogani, Franco; Cavigli, Lucia; Manzi, Donatella; Gurioli, Massimo; Feltin, Eric; Carlin, Jean-François; Martin, Denis; Butté, Raphaël; Grandjean, Nicolas

    2013-02-01

    We present a detailed investigation performed at low temperature (T<50 K) concerning the exciton dynamics in GaN epilayers grown on c-plane sapphire substrates, focusing on the exciton formation and the transition from the nonthermal to the thermal regime. The time-resolved kinetics of longitudinal-optical-phonon replicas is used to address the energy relaxation in the excitonic band. From picosecond time-resolved spectra, we bring evidence for a long lasting nonthermal excitonic distribution, which accounts for the first 50 ps. Such a behavior is confirmed in different experimental conditions when both nonresonant and resonant excitations are used. At low excitation power density, the exciton formation and their subsequent thermalization are dominated by impurity scattering rather than by acoustic phonon scattering. The estimate of the average energy of the excitons as a function of delay after the excitation pulse provides information on the relaxation time, which describes the evolution of the exciton population to the thermal regime.

  8. Refractive index of erbium doped GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alajlouni, S.; Sun, Z. Y.; Li, J.

    2014-08-25

    GaN is an excellent host for erbium (Er) to provide optical emission in the technologically important as well as eye-safe 1540 nm wavelength window. Er doped GaN (GaN:Er) epilayers were synthesized on c-plane sapphire substrates using metal organic chemical vapor deposition. By employing a pulsed growth scheme, the crystalline quality of GaN:Er epilayers was significantly improved over those obtained by conventional growth method of continuous flow of reaction precursors. X-ray diffraction rocking curve linewidths of less than 300 arc sec were achieved for the GaN (0002) diffraction peak, which is comparable to the typical results of undoped high quality GaN epilayers andmore » represents a major improvement over previously reported results for GaN:Er. Spectroscopic ellipsometry was used to determine the refractive index of the GaN:Er epilayers in the 1540 nm wavelength window and a linear dependence on Er concentration was found. The observed refractive index increase with Er incorporation and the improved crystalline quality of the GaN:Er epilayers indicate that low loss GaN:Er optical waveguiding structures are feasible.« less

  9. First-principles Study of Hydrogen depassivation of Mg acceptor by Be in GaN

    NASA Astrophysics Data System (ADS)

    Zhang, Qiming; Wang, Xiao; Wang, Chihsiang

    2010-03-01

    The process of hydrogen depassivation of the acceptor by can convert the as-grown high-resistivity -doped into a - conducting material. A first-principles study on the process will be presented. The formation energies of various complex of impurities and point defects have been calculated and compared. The diffusion barriers of the hydrogen atom in the doped GaN have been obtained by the Nudge-Elastic-Band method. The results explain successfully the experimental observation that the hole concentration has been significantly enhanced in a Be-implanted Mg-doped GaN.

  10. Mg doping of GaN by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lieten, R. R.; Motsnyi, V.; Zhang, L.; Cheng, K.; Leys, M.; Degroote, S.; Buchowicz, G.; Dubon, O.; Borghs, G.

    2011-04-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% < Mg : Ga < 5.0%. A lowest resistivity of 0.98 Ω cm was obtained for optimized growth conditions. The p-type GaN layer then showed a hole concentration of 4.3 × 1017 cm-3 and a mobility of 15 cm2 V-1 s-1. Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 × 1017 cm-3. The corresponding Mg concentration is 5 × 1019 cm-3, indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 °C or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 °C.

  11. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  12. Exciton emission from bare and hybrid plasmonic GaN nanorods

    NASA Astrophysics Data System (ADS)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  13. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    NASA Astrophysics Data System (ADS)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  14. An evaluation study of mycelium based acoustic absorbers grown on agricultural by-product substrates

    USDA-ARS?s Scientific Manuscript database

    This research examines the use of a novel new renewable resource in acoustic absorption applications. The material under test is based on the fruiting body of fungi, a mushroom, in the phylum of Basidiomycetes, which are grown on semi-hydrophobic substrates such as cotton by-products, leaves, sticks...

  15. Preferential orientation of NV defects in CVD diamond films grown on (113)-oriented substrates

    NASA Astrophysics Data System (ADS)

    Lesik, M.; Plays, T.; Tallaire, A.; Achard, J.; Brinza, O.; William, L.; Chipaux, M.; Toraille, L.; Debuisschert, T.; Gicquel, A.; Roch, J. F.; Jacques, V.

    2015-06-01

    Thick CVD diamond layers were successfully grown on (113)-oriented substrates. They exhibited smooth surface morphologies and a crystalline quality comparable to (100) electronic grade material, and much better than (111)-grown layers. High growth rates (15-50 {\\mu}m/h) were obtained while nitrogen doping could be achieved in a fairly wide range without seriously imparting crystalline quality. Electron spin resonance measurements were carried out to determine NV centers orientation and concluded that one specific orientation has an occurrence probability of 73 % when (100)-grown layers show an equal distribution in the 4 possible directions. A spin coherence time of around 270 {\\mu}s was measured which is equivalent to that reported for material with similar isotopic purity. Although a higher degree of preferential orientation was achieved with (111)-grown layers (almost 100 %), the ease of growth and post-processing of the (113) orientation make it a potentially useful material for magnetometry or other quantum mechanical applications.

  16. GaN microwires as optical microcavities: whispering gallery modes Vs Fabry-Perot modes.

    PubMed

    Coulon, Pierre-Marie; Hugues, Maxime; Alloing, Blandine; Beraudo, Emmanuel; Leroux, Mathieu; Zuniga-Perez, Jesus

    2012-08-13

    GaN microwires grown by metalorganic vapour phase epitaxy and with radii typically on the order of 1-5 micrometers exhibit a number of resonances in their photoluminescence spectra. These resonances include whispering gallery modes and transverse Fabry-Perot modes. A detailed spectroscopic study by polarization-resolved microphotoluminescence, in combination with electron microscopy images, has enabled to differentiate both kinds of modes and determined their main spectral properties. Finally, the dispersion of the ordinary and extraordinary refractive indices of strain-free GaN in the visible-UV range has been obtained thanks to the numerical simulation of the observed modes.

  17. Study of Spin Splitting in GaN/AlGaN Quantum Wells

    DTIC Science & Technology

    2009-05-11

    plasma-assisted molecular - beam epitaxy ”, Jap. J. Appl. Phys. 47, 891 (2008), we have grown M-plane GaN films with self-assembled C-plane GaN nanopillars...on a γ-LiAlO2 substrate by plasma-assisted molecular - beam epitaxy . The diameters of the basal plane of the nanopillars are about 200 to 900 nm and...Line defects of M-plane GaN grown on γ-LiAlO2 by plasma-assisted molecular beam epitaxy ”, Appl. Phys. Lett. 92 pp.202106 (2008), we studied the

  18. Deep level study of Mg-doped GaN using deep level transient spectroscopy and minority carrier transient spectroscopy

    NASA Astrophysics Data System (ADS)

    Duc, Tran Thien; Pozina, Galia; Amano, Hiroshi; Monemar, Bo; Janzén, Erik; Hemmingsson, Carl

    2016-07-01

    Deep levels in Mg-doped GaN grown by metal organic chemical vapor deposition (MOCVD), undoped GaN grown by MOCVD, and halide vapor phase epitaxy (HVPE)-grown GaN have been studied using deep level transient spectroscopy and minority charge carrier transient spectroscopy on Schottky diodes. One hole trap, labeled HT1, was detected in the Mg-doped sample. It is observed that the hole emission rate of the trap is enhanced by increasing electric field. By fitting four different theoretical models for field-assisted carrier emission processes, the three-dimensional Coulombic Poole-Frenkel (PF) effect, three-dimensional square well PF effect, phonon-assisted tunneling, and one-dimensional Coulombic PF effect including phonon-assisted tunneling, it is found that the one-dimensional Coulombic PF model, including phonon-assisted tunneling, is consistent with the experimental data. Since the trap exhibits the PF effect, we suggest it is acceptorlike. From the theoretical model, the zero field ionization energy of the trap and an estimate of the hole capture cross section have been determined. Depending on whether the charge state is -1 or -2 after hole emission, the zero field activation energy Ei 0 is 0.57 eV or 0.60 eV, respectively, and the hole capture cross section σp is 1.3 ×10-15c m2 or 1.6 ×10-16c m2 , respectively. Since the level was not observed in undoped GaN, it is suggested that the trap is associated with an Mg related defect.

  19. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  20. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  1. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  2. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  3. Micro-Raman investigations of InN-GaN core-shell nanowires on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Sangeetha, P.; Jeganathan, K.; Ramakrishnan, V.

    2013-06-01

    The electron-phonon interactions in InN-GaN core-shell nanowires grown by plasma assisted- molecular beam epitaxy (MBE) on Si (111) substrate have been analysed using micro-Raman spectroscopic technique with the excitation wavelength of 633, 488 and 325 nm. The Raman scattering at 633 nm reveals the characteristic E2 (high) and A1 (LO) phonon mode of InN core at 490 and 590 cm-1 respectively and E2 (high) phonon mode of GaN shell at 573 cm-1. The free carrier concentration of InN core is found to be low in the order ˜ 1016 cm-3 due to the screening of charge carriers by thin GaN shell. Diameter of InN core evaluated using the spatial correlation model is consistent with the transmission electron microscopic measurement of ˜15 nm. The phonon-life time of core-shell nanowire structure is estimated to be ˜0.4 ps. The micro-Raman mapping and its corresponding localised spectra for 325 nm excitation exhibit intense E2 (high) phonon mode of GaN shell at 573 cm-1 as the decrease of laser interaction length and the signal intensity is quenched at the voids due to high spacing of NWs.

  4. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  5. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  6. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  7. Secretomic survey of Trichoderma harzianum grown on plant biomass substrates.

    PubMed

    Gómez-Mendoza, Diana Paola; Junqueira, Magno; do Vale, Luis Henrique Ferreira; Domont, Gilberto Barbosa; Ferreira Filho, Edivaldo Ximenes; Sousa, Marcelo Valle de; Ricart, Carlos André Ornelas

    2014-04-04

    The present work aims at characterizing T. harzianum secretome when the fungus is grown in synthetic medium supplemented with one of the four substrates: glucose, cellulose, xylan, and sugarcane bagasse (SB). The characterization was done by enzymatic assays and proteomic analysis using 2-DE/MALDI-TOF and gel-free shotgun LC-MS/MS. The results showed that SB induced the highest cellulolytic and xylanolytic activities when compared with the other substrates, while remarkable differences in terms of number and distribution of protein spots in 2-DE gels were also observed among the samples. Additionally, treatment of the secretomes with PNGase F revealed that most spot trails in 2-DE gels corresponded to N-glycosylated proteoforms. The LC-MS/MS analysis of the samples identified 626 different protein groups, including carbohydrate-active enzymes and accessory, noncatalytic, and cell-wall-associated proteins. Although the SB-induced secretome displayed the highest cellulolytic and xylanolytic activities, it did not correspond to a higher proteome complexity because CM-cellulose-induced secretome was significantly more diverse. Among the identified proteins, 73% were exclusive to one condition, while only 5% were present in all samples. Therefore, this study disclosed the variation of T. harzianum secretome in response to different substrates and revealed the diversity of the fungus enzymatic toolbox.

  8. Enhanced characteristics of blue InGaN /GaN light-emitting diodes by using selective activation to modulate the lateral current spreading length

    NASA Astrophysics Data System (ADS)

    Lin, Ray-Ming; Lu, Yuan-Chieh; Chou, Yi-Lun; Chen, Guo-Hsing; Lin, Yung-Hsiang; Wu, Meng-Chyi

    2008-06-01

    We have studied the characteristics of blue InGaN /GaN multiquantum-well light-emitting diodes (LEDs) after reducing the length of the lateral current path through the transparent layer through formation of a peripheral high-resistance current-blocking region in the Mg-doped GaN layer. To study the mechanism of selective activation in the Mg-doped GaN layer, we deposited titanium (Ti), gold (Au), Ti /Au, silver, and copper individually onto the Mg-doped GaN layer and investigated their effects on the hole concentration in the p-GaN layer. The Mg-doped GaN layer capped with Ti effectively depressed the hole concentration in the p-GaN layer by over one order of magnitude relative to that of the as-grown layer. This may suggest that high resistive regions are formed by diffusion of Ti and depth of high resistive region from the p-GaN surface depends on the capped Ti film thickness. Selective activation of the Mg-doped GaN layer could be used to modulate the length of the lateral current path. Furthermore, the external quantum efficiency of the LEDs was improved significantly after reducing the lateral current spreading length. In our best result, the external quantum efficiency was 52.3% higher (at 100mA) than that of the as-grown blue LEDs.

  9. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  10. Method to grow group III-nitrides on copper using passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  11. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  12. Luminescence properties of defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, Michael A.; Morkoç, Hadis

    2005-03-01

    Gallium nitride (GaN) and its allied binaries InN and AIN as well as their ternary compounds have gained an unprecedented attention due to their wide-ranging applications encompassing green, blue, violet, and ultraviolet (UV) emitters and detectors (in photon ranges inaccessible by other semiconductors) and high-power amplifiers. However, even the best of the three binaries, GaN, contains many structural and point defects caused to a large extent by lattice and stacking mismatch with substrates. These defects notably affect the electrical and optical properties of the host material and can seriously degrade the performance and reliability of devices made based on these nitride semiconductors. Even though GaN broke the long-standing paradigm that high density of dislocations precludes acceptable device performance, point defects have taken the center stage as they exacerbate efforts to increase the efficiency of emitters, increase laser operation lifetime, and lead to anomalies in electronic devices. The point defects include native isolated defects (vacancies, interstitial, and antisites), intentional or unintentional impurities, as well as complexes involving different combinations of the isolated defects. Further improvements in device performance and longevity hinge on an in-depth understanding of point defects and their reduction. In this review a comprehensive and critical analysis of point defects in GaN, particularly their manifestation in luminescence, is presented. In addition to a comprehensive analysis of native point defects, the signatures of intentionally and unintentionally introduced impurities are addressed. The review discusses in detail the characteristics and the origin of the major luminescence bands including the ultraviolet, blue, green, yellow, and red bands in undoped GaN. The effects of important group-II impurities, such as Zn and Mg on the photoluminescence of GaN, are treated in detail. Similarly, but to a lesser extent, the effects of

  13. AlGaN materials for semiconductor sensors and emitters in 200- to 365-nm range

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander S.; Shapvalova, Elizaveta V.; Melnik, Yuri V.; Ivantsov, Vladimir A.; Dmitriev, Vladimir A.; Collins, Charles J.; Sampath, Anand V.; Garrett, Gregory A.; Shen, Paul H.; Wraback, Michael

    2004-12-01

    In this paper we report on the fabrication and characterization of GaN, AlGaN, and AlN layers grown by hydride vapor phase epitaxy (HVPE). The layers were grown on 2-inch and 4-inch sapphire and 2-inch silicon carbide substrates. Thickness of the GaN layers was varied from 2 to 80 microns. Surface roughness, Rms, for the smoothest GaN layers was less than 0.5 nm, as measured by AFM using 10 μm x 10 μm scans. Background Nd-Na concentration for undoped GaN layers was less than 1x1016 cm-3. For n-type GaN layers doped with Si, concentration Nd-Na was controlled from 1016 to 1019 cm-3. P-type GaN layers were fabricated using Mg doping with concentration Na-Nd ranging from 4x1016 to 3x1018 cm-3, for various samples. Zn doping also resulted in p-type GaN formation with concnetration ND-NA in the 1017 cm-3 range. UV transmission, photoluminescence, and crystal structure of AlGaN layers with AlN concentration up to 85 mole.% were studied. Dependence of optical band gap on AlGaN alloy composition was measured for the whole composition range. Thick (up to 75 microns) crack-free AlN layers were grown on SiC substrates. Etch pit density for such thick AlN layers was in the 107 cm-2 range.

  14. Growth Temperature Dependence of Morphology of GaN Single Crystals in the Na-Li-Ca Flux Method

    NASA Astrophysics Data System (ADS)

    Wu, Xi; Hao, Hangfei; Li, Zhenrong; Fan, Shiji; Xu, Zhuo

    2018-02-01

    In this paper, the effect of growth temperature on the morphology and transparency of the GaN crystals obtained by the Li-Ca-added Na Flux method was studied. Addition of Li-Ca was attempted to control the growth habit and further improve transparency of GaN crystals. The samples with wurtzite structure of GaN were confirmed by the x-ray powder diffraction analysis. GaN single crystal with maximum size of about 6 mm was grown at 750°C. As the growth temperature was increased from 700°C to 850°C, the morphology of the crystals changed from pyramid to prism, and their surfaces became smooth. It was found that high growth temperature was beneficial to obtain a transparent crystal, but the evaporation of sodium would suppress its further growth. The E 2 (high) mode in the Raman spectra was at 568 cm-1, and the full-width at half-maximum values of this peak for the crystals obtained at 700°C, 750°C, 800°C, and 850°C were 7.5 cm-1, 10.3 cm-1, 4.4 cm-1, and 4.0 cm-1, respectively. It indicates that all the crystals are stress free and the transparent crystal grown at high temperature has high structural quality or low impurity concentrations.

  15. Chemical lift-off and direct wafer bonding of GaN/InGaN P-I-N structures grown on ZnO

    NASA Astrophysics Data System (ADS)

    Pantzas, K.; Rogers, D. J.; Bove, P.; Sandana, V. E.; Teherani, F. H.; El Gmili, Y.; Molinari, M.; Patriarche, G.; Largeau, L.; Mauguin, O.; Suresh, S.; Voss, P. L.; Razeghi, M.; Ougazzaden, A.

    2016-02-01

    p-GaN/i-InGaN/n-GaN (PIN) structures were grown epitaxially on ZnO-buffered c-sapphire substrates by metal organic vapor phase epitaxy using the industry standard ammonia precursor for nitrogen. Scanning electron microscopy revealed continuous layers with a smooth interface between GaN and ZnO and no evidence of ZnO back-etching. Energy Dispersive X-ray Spectroscopy revealed a peak indium content of just under 5 at% in the active layers. The PIN structure was lifted off the sapphire by selectively etching away the ZnO buffer in an acid and then direct bonded onto a glass substrate. Detailed high resolution transmission electron microscoy and grazing incidence X-ray diffraction studies revealed that the structural quality of the PIN structures was preserved during the transfer process.

  16. Free-standing GaN grating couplers and rib waveguide for planar photonics at telecommunication wavelength

    NASA Astrophysics Data System (ADS)

    Liu, Qifa; Wang, Wei

    2018-01-01

    Gallium Nitride (GaN) free-standing planar photonic device at telecommunication wavelength based on GaN-on-silicon platform was presented. The free-standing structure was realized by particular double-side fabrication process, which combining GaN front patterning, Si substrate back releasing and GaN slab etching. The actual device parameters were identified via the physical characterizations employing scanning electron microscope (SEM), atomic force microscope (AFM) and reflectance spectra testing. High coupling efficiency and good light confinement properties of the gratings and rib waveguide at telecommunication wavelength range were verified by finite element method (FEM) simulation. This work illustrates the potential of new GaN photonic structure which will enable new functions for planar photonics in communication and sensing applications, and is favorable for the realization of integrated optical circuit.

  17. Radiation sensors based on GaN microwires

    NASA Astrophysics Data System (ADS)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  18. Very thin, high Ge content Si 0.3Ge 0.7 relaxed buffer grown by MBE on SOI(0 0 1) substrate

    NASA Astrophysics Data System (ADS)

    Myronov, M.; Shiraki, Y.

    2007-04-01

    Growth procedure and excellent properties of very thin 240 nm thick, 95% relaxed, high Ge content Si 0.3Ge 0.7 buffer grown on SOI(0 0 1) substrate are demonstrated. All epilayers of the newly developed Si 0.3Ge 0.7/SOI(0 0 1) variable-temperature virtual substrate were grown in a single process by solid-source molecular beam epitaxy. Surface analysis of grown samples revealed smooth, cross-hatch free surface with low root mean square surface roughness of 0.9 nm and low threading dislocations density of 5×10 4 cm -2.

  19. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  20. Thermal stability of isolated and complexed Ga vacancies in GaN bulk crystals

    NASA Astrophysics Data System (ADS)

    Saarinen, K.; Suski, T.; Grzegory, I.; Look, D. C.

    2001-12-01

    We have applied positron annihilation spectroscopy to show that 2-MeV electron irradiation at 300 K creates primary Ga vacancies in GaN with an introduction rate of 1 cm-1. The Ga vacancies recover in long-range migration processes at 500-600 K with an estimated migration energy of 1.5 (2) eV. Since the native Ga vacancies in as-grown GaN survive up to much higher temperatures (1300-1500 K), we conclude that they are stabilized by forming complexes with oxygen impurities. The estimated binding energy of 2.2 (4) eV of such complexes is in good agreement with the results of theoretical calculations.