Sample records for hafnium dioxide hfo2

  1. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  2. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  3. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  4. Electronic structure and relative stability of the coherent and semi-coherent HfO2/III-V interfaces

    NASA Astrophysics Data System (ADS)

    Lahti, A.; Levämäki, H.; Mäkelä, J.; Tuominen, M.; Yasir, M.; Dahl, J.; Kuzmin, M.; Laukkanen, P.; Kokko, K.; Punkkinen, M. P. J.

    2018-01-01

    III-V semiconductors are prominent alternatives to silicon in metal oxide semiconductor devices. Hafnium dioxide (HfO2) is a promising oxide with a high dielectric constant to replace silicon dioxide (SiO2). The potentiality of the oxide/III-V semiconductor interfaces is diminished due to high density of defects leading to the Fermi level pinning. The character of the harmful defects has been intensively debated. It is very important to understand thermodynamics and atomic structures of the interfaces to interpret experiments and design methods to reduce the defect density. Various realistic gap defect state free models for the HfO2/III-V(100) interfaces are presented. Relative energies of several coherent and semi-coherent oxide/III-V semiconductor interfaces are determined for the first time. The coherent and semi-coherent interfaces represent the main interface types, based on the Ga-O bridges and As (P) dimers, respectively.

  5. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  6. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  7. Cathodoluminescence Study of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Purcell, Emily; Hengehold, Robert; McClory, John

    2011-10-01

    Hafnium dioxide (HfO2) is increasingly being used in place of silicon oxide as a gate insulator in field effect transistors. This is primarily due to its high dielectric constant, κ, of 25. Samples of HfO2 were grown by either atomic layer deposition (ALD) or pulsed laser deposition (PLD), with the PLD samples having assorted substrate temperatures during deposition (300 C, 500 C, and 750 C). Cathodoluminescence (CL) was chosen as the technique used for studying these HfO2 samples. The CL system used was capable of beam energies ranging from 1 keV to 20 keV and beam currents ranging from 10 μA to 50 μA. A Monte Carlo calculation using CASINO software was performed in order to determine the beam energy for the desired depth of penetration. Measurements were taken at sample temperatures ranging from 7K (closed cycled cryostat) to 300K (room temperature), as well as at various beam energies and beam currents. Comparison will be made between the PLD and ALD spectra.

  8. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Treesearch

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  9. Etude de la nitruration carbothermique du dioxyde de hafnium par diffraction X à haute température

    NASA Astrophysics Data System (ADS)

    Pialoux, A.

    1993-03-01

    The carbothermal reduction of hafnium dioxide under atmospheric level nitrogen pressure has been investigated using a graphite resistance high temperature X-ray diffractometer up to around 2300 K. A carbon transfer reaction through the gaseous phase (N 2, CO/CO 2) is shown to precede, then to compete the direct reduction of the hafnium oxide by the graphite in pure nitrogen. A complex mechanism has been found that accounts for the formation of hafnium dioxynitride and possibly of three other hafnium oxynitrides, then of hafnium mononitride and hafnium monocarbonitride, along two different steps between 1613 and 1923 K. An evaluation has been made concerning the composition of these γ 1- HfO 2-xN x/2□ x/2 (CaF 2-type structure), γ 2- Hf 7O 11N 2, γ 3- Hf 7O 8N 4 (rhombohedral), γ 4- Hf 2ON 2 (Mn 2O 3-type structure), HfN and HfN 1-zC z (NaCl-type structure) phases, considering the variations of their lattice parameters and the available data in the literature, especially on the isomorphous compounds of zirconium. It must be emphasized the new γ 1- HfO 2-xN x/2 phase, the dilatation of which is linear ( overlineα = 12×10 -6K -1), shows a constant composition from 2158 down to 1473 K (x ≈ 0,2). But under 1473 K, inevitably, the hafnium dioxynitride disappears, and poorly crystallized monoclinic αHfO 2 and rhombohedral γ 2- Hf 7O 11N 2 are formed.

  10. Effect of native defects and Co doping on ferromagnetism in HfO2: first-principles calculations.

    PubMed

    Han, Chong; Yan, Shi-Shen; Lin, Xue-Ling; Hu, Shu-Jun; Zhao, Ming-Wen; Yao, Xin-Xin; Chen, Yan-Xue; Liu, Guo-Lei; Mei, Liang-Mo

    2011-05-01

    First-principles calculations of undoped HfO(2) and cobalt-doped HfO(2) have been carried out to study the magnetic properties of the dielectric material. In contrast to previous reports, it was found that the native defects in HfO(2) could not induce strong ferromagnetism. However, the cobalt substituting hafnium is the most stable defect under oxidation condition, and the ferromagnetic (FM) coupling between the cobalt substitutions is favorable in various configurations. We found that the FM coupling is mediated by the threefold-coordinated oxygen atoms in monoclinic HfO(2) and could be further enhanced in electron-rich condition. Copyright © 2010 Wiley Periodicals, Inc.

  11. Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles

    NASA Astrophysics Data System (ADS)

    Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh

    2017-07-01

    In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.

  12. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  13. Passivation of InP heterojunction bipolar transistors by strain controlled plasma assisted electron beam evaporated hafnium oxide

    NASA Astrophysics Data System (ADS)

    Driad, R.; Sah, R. E.; Schmidt, R.; Kirste, L.

    2012-01-01

    We present structural, stress, and electrical properties of plasma assisted e-beam evaporated hafnium dioxide (HfO2) layers on n-type InP substrates. These layers have subsequently been used for surface passivation of InGaAs/InP heterostructure bipolar transistors either alone or in combination with plasma enhanced chemical vapor deposited SiO2 layers. The use of stacked HfO2/SiO2 results in better interface quality with InGaAs/InP heterostructures, as illustrated by smaller leakage current and improved breakdown voltage. These improvements can be attributed to the reduced defect density and charge trapping at the dielectric-semiconductor interface. The deposition at room temperature makes these films suitable for sensitive devices.

  14. Thermochemistry of amorphous and crystalline zirconium and hafnium silicates.

    NASA Astrophysics Data System (ADS)

    Ushakov, S.; Brown, C. E.; Navrotsky, Alexandra; Boatner, L. A.; Demkov, A. A.; Wang, C.; Nguyen, B.-Y.

    2003-03-01

    Calorimetric investigation of amorphous and crystalline zirconium and hafnium silicates was performed as part of a research program on thermochemistry of alternative gate dielectrics. Amorphous hafnium and zirconium silicates with varying SiO2 content were synthesized by a sol-gel process. Crystalline zirconium and hafnium silicates (zircon and hafnon) were synthesized by solid state reaction at 1450 °C from amorphous gels and grown as single crystals from flux. High temperature oxide melt solution calorimetry in lead borate (2PbO.B2O3) solvent at 800 oC was used to measure drop solution enthalpies for amorphous and crystalline zirconium and hafnium silicates and corresponding oxides. Applying appropriate thermochemical cycles, formation enthalpy of crystalline ZrSiO4 (zircon) from binary oxides (baddeleite and quartz) at 298 K was calculated as -23 +/-2 kJ/mol and enthalpy difference between amorphous and crystalline zirconium silicate (vitrification enthalpy) was found to be 61 +/-3 kJ/mol. Crystallization onset temperatures of amorphous zirconium and hafnium silicates, as measured by differential scanning calorimetry (DSC), increased with silica content. The resulting crystalline phases, as characterized by X-ray diffraction (XRD), were tetragonal HfO2 and ZrO2. Critical crystallite size for tetragonal to monoclinic transformation of HfO2 in the gel was estimated as 6 +/-2 nm from XRD data Crystallization enthalpies per mole of hafnia and zirconia in gels decrease slightly together with crystallite size with increasing silica content, for example from -22 to -15 +/-1 kJ per mol of HfO2 crystallized at 740 and 1006 °C from silicates with 10 and 70 mol Applications of thermal analyses and solution calorimetry techniques together with first-principles density functional calculations to estimate interface and surface energies are discussed.

  15. Electrical properties of radio-frequency sputtered HfO2 thin films for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Sarkar, Pranab Kumar; Roy, Asim

    2015-08-01

    The Hafnium oxide (HfO2) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO2 film have been studied employing Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO2 film is obtained as 23,7.57×1011eV-1 cm-2 and 2.7×10-5 Acm-2, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  16. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  17. Slow DNA Transport through Nanopores in Hafnium Oxide Membranes

    PubMed Central

    Bell, David C.; Cohen-Karni, Tzahi; Rosenstein, Jacob K.; Wanunu, Meni

    2016-01-01

    We present a study of double- and single-stranded DNA transport through nanopores fabricated in ultrathin (2–7 nm thick) free-standing hafnium oxide (HfO2) membranes. The high chemical stability of ultrathin HfO2 enables long-lived experiments with <2 nm diameter pores that last several hours, in which we observe >50 000 DNA translocations with no detectable pore expansion. Mean DNA velocities are slower than velocities through comparable silicon nitride pores, providing evidence that HfO2 nanopores have favorable physicochemical interactions with nucleic acids that can be leveraged to slow down DNA in a nanopore. PMID:24083444

  18. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  19. Electrical properties of HfO2 high- k thin-film MOS capacitors for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Khairnar, A. G.; Patil, L. S.; Salunke, R. S.; Mahajan, A. M.

    2015-11-01

    We deposited the hafnium dioxide (HfO2) thin films on p-Si (100) substrates. The thin films were deposited with deposition time variations, viz 2, 4, 7 and 20 min using RF-sputtering technique. The thickness and refractive index of the films were measured using spectroscopic ellipsometer. The thicknesses of the films were measured to be 13.7, 21.9, 35.38 and 92.2 nm and refractive indices of 1.90, 1.93, 1.99 and 1.99, respectively, of the films deposited for 2, 4, 7 and 20 min deposition time. The crystal structures of the deposited HfO2 thin films were determined using XRD spectra and showed the monoclinic structure, confirmed with the ICDD card no 34-0104. Aluminum metallization was carried to form the Al/HfO2/ p-Si MOS structures by using thermal evaporation system with electrode area of 12.56 × 10-4 cm2. Capacitance voltage and current voltage measurements were taken to know electrical behavior of these fabricated MOS structures. The electrical parameters such as dielectric constant, flat-band shift and interface trap density determined through CV measurement were 7.99, 0.11 V and 6.94 × 1011 eV-1 cm-2, respectively. The low leakage current density was obtained from IV measurement of fabricated MOS structure at 1.5 V is 4.85 × 10-10 Acm-2. Aforesaid properties explored the suitability of the fabricated HfO2 high- k-based MOS capacitors for advanced CMOS technology.

  20. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  1. Facing-target mid-frequency magnetron reactive sputtered hafnium oxide film: Morphology and electrical properties

    NASA Astrophysics Data System (ADS)

    Zhang, Yu; Xu, Jun; Wang, You-Nian; Choi, Chi Kyu; Zhou, Da-Yu

    2016-03-01

    Amorphous hafnium dioxide (HfO2) film was prepared on Si (100) by facing-target mid-frequency reactive magnetron sputtering under different oxygen/argon gas ratio at room temperature with high purity Hf target. 3D surface profiler results showed that the deposition rates of HfO2 thin film under different O2/Ar gas ratio remain unchanged, indicating that the facing target midfrequency magnetron sputtering system provides effective approach to eliminate target poisoning phenomenon which is generally occurred in reactive sputtering procedure. X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) demonstrated that the gradual reduction of oxygen vacancy concentration and the densification of deposited film structure with the increase of oxygen/argon (O2/Ar) gas flow ratio. Atomic force microscopy (AFM) analysis suggested that the surface of the as-deposited HfO2 thin film tends to be smoother, the root-meansquare roughness (RMS) reduced from 0.876 nm to 0.333 nm while O2/Ar gas flow ratio increased from 1/4 to 1/1. Current-Voltage measurements of MOS capacitor based on Au/HfO2/Si structure indicated that the leakage current density of HfO2 thin films decreased by increasing of oxygen partial pressure, which resulted in the variations of pore size and oxygen vacancy concentration in deposited thin films. Based on the above characterization results the leakage current mechanism for all samples was discussed systematically.

  2. Ferroelectric memory based on molybdenum disulfide and ferroelectric hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Xia, Qiangfei; Zhu, Wenjuan

    Recently, ferroelectric hafnium oxide (HfO2) was discovered as a new type of ferroelectric material with the advantages of high coercive field, excellent scalability (down to 2.5 nm), and good compatibility with CMOS processing. In this work, we demonstrate, for the first time, 2D ferroelectric memories with molybdenum disulfide (MoS2) as the channel material and aluminum doped HfO2 as the ferroelectric gate dielectric. A 16 nm thick layer of HfO2, doped with 5.26% aluminum, was deposited via atomic layer deposition (ALD), then subjected to rapid thermal annealing (RTA) at 1000 °C, and the polarization-voltage characteristics of the resulting metal-ferroelectric-metal (MFM) capacitors were measured, showing a remnant polarization of 0.6 μC/cm2. Ferroelectric memories with embedded ferroelectric hafnium oxide stacks and monolayer MoS2 were fabricated. The transfer characteristics after program and erase pulses revealed a clear ferroelectric memory window. In addition, endurance (up to 10,000 cycles) of the devices were tested and effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, were observed. This research can potentially lead to advances of 2D materials in low-power logic and memory applications.

  3. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  4. Finite element analysis of hollow out-of-plane HfO2 microneedles for transdermal drug delivery applications.

    PubMed

    Zhang, Yong-Hua; A Campbell, Stephen; Karthikeyan, Sreejith

    2018-02-17

    Transdermal drug delivery (TDD) based on microneedles is an excellent approach due to its advantages of both traditional transdermal patch and hypodermic syringes. In this paper, the fabrication method of hollow out-of-layer hafnium oxide (HfO 2 ) microneedles mainly based on deep reactive ion etching of silicon and atomic layer deposition of HfO 2  is described, and the finite element analysis of the microneedles based on ANSYS software is also presented. The fabrication process is simplified by using a single mask. The finite element analysis of a single microneedle shows that the flexibility of the microneedles can be easily adjusted for various applications. The finite element analysis of a 3 × 3 HfO 2 microneedle array applied on the skin well explains the "bed of nail" effect, i.e., the skin is not liable to be pierced when the density of microneedles in array increases. The presented research work here provides useful information for design optimization of HfO 2 microneedles used for TDD applications.

  5. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    NASA Astrophysics Data System (ADS)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  6. Hafnium transistor process design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2009-01-01

    A design methodology is presented that uses 1-D process simulations of Metal Insulator Semiconductor (MIS) structures to design the threshold voltage of hafnium oxide based transistors used for neural recording. The methodology is comprised of 1-D analytical equations for threshold voltage specification, and doping profiles, and 1-D MIS Technical Computer Aided Design (TCAD) to design a process to implement a specific threshold voltage, which minimized simulation time. The process was then verified with a 2-D process/electrical TCAD simulation. Hafnium oxide films (HfO) were grown and characterized for dielectric constant and fixed oxide charge for various annealing temperatures, two important design variables in threshold voltage design.

  7. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  8. Intrinsic Defect Ferromagnetism: The case of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Das Pemmaraju, Chaitanya

    2005-03-01

    In view of the recent experimental reports of intrinsic ferromagnetism in Hafnium Oxide (HfO2) thin film systems ootnotetextM. Venkatesan, C. B. Fitzgerald, J. M. D. Coey Nature 430, 630 (2004) Brief Communications, we carried out first principles investigations to look for magnetic structure in HfO2 possibly brought about by the presence of small concentrations of intrinsic point defects. Ab initio electronic structure calculations using Density Functional Theory (DFT) show that isolated cation vacancy sites in HfO2 lead to the formation of high spin defect states which couple ferromagnetically to each other. Interestingly, these high spin states are observed in the low symmetry monoclinic and tetragonal phases while the highly symmetric cubic flourite phase exhibits a non-magnetic ground state. Detailed studies of the electronic structure of cation vacancies in the three crystalline phases of Hafnia show that symmetry leading to orbitally degenerate defect levels is not a pre-requsite for ferromagnetism and that the interplay between Kinetic, Coulomb and Exchange energy together with favourable coupling to the Crystalline environment can lead to high spin ferromagnetic ground states even in extreme low symmetry systems like monoclinic HfO2. These findings open up a much wider class of systems to the possibility of intrinsic defect ferromagnetism.

  9. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  10. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    PubMed

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  11. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    PubMed

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  12. Electron holography on HfO2/HfO2-x bilayer structures with multilevel resistive switching properties

    NASA Astrophysics Data System (ADS)

    Niu, G.; Schubert, M. A.; Sharath, S. U.; Zaumseil, P.; Vogel, S.; Wenger, C.; Hildebrandt, E.; Bhupathi, S.; Perez, E.; Alff, L.; Lehmann, M.; Schroeder, T.; Niermann, T.

    2017-05-01

    Unveiling the physical nature of the oxygen-deficient conductive filaments (CFs) that are responsible for the resistive switching of the HfO2-based resistive random access memory (RRAM) devices represents a challenging task due to the oxygen vacancy related defect nature and nanometer size of the CFs. As a first important step to this goal, we demonstrate in this work direct visualization and a study of physico-chemical properties of oxygen-deficient amorphous HfO2-x by carrying out transmission electron microscopy electron holography as well as energy dispersive x-ray spectroscopy on HfO2/HfO2-x bilayer heterostructures, which are realized by reactive molecular beam epitaxy. Furthermore, compared to single layer devices, Pt/HfO2/HfO2-x /TiN bilayer devices show enhanced resistive switching characteristics with multilevel behavior, indicating their potential as electronic synapses in future neuromorphic computing applications.

  13. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  14. Silicon doped hafnium oxide (HSO) and hafnium zirconium oxide (HZO) based FeFET: A material relation to device physics

    NASA Astrophysics Data System (ADS)

    Ali, T.; Polakowski, P.; Riedel, S.; Büttner, T.; Kämpfe, T.; Rudolph, M.; Pätzold, B.; Seidel, K.; Löhr, D.; Hoffmann, R.; Czernohorsky, M.; Kühnel, K.; Thrun, X.; Hanisch, N.; Steinke, P.; Calvo, J.; Müller, J.

    2018-05-01

    The recent discovery of ferroelectricity in thin film HfO2 materials renewed the interest in ferroelectric FET (FeFET) as an emerging nonvolatile memory providing a potential high speed and low power Flash alternative. Here, we report more insight into FeFET performance by integrating two types of ferroelectric (FE) materials and varying their properties. By varying the material type [HfO2 (HSO) versus hafnium zirconium oxide (HZO)], optimum content (Si doping/mixture ratio), and film thickness, a material relation to FeFET device physics is concluded. As for the material type, an improved FeFET performance is observed for HZO integration with memory window (MW) comparable to theoretical values. For different Si contents, the HSO based FeFET exhibited a MW trend with different stabilized phases. Similarly, the HZO FeFET shows MW dependence on the Hf:Zr mixture ratio. A maximized MW is obtained with cycle ratios of 16:1 (HfO2:Si) and 1:1 (Hf:Zr) as measured on HSO and HZO based FeFETs, respectively. The thickness variation shows a trend of increasing MW with the increased FE layer thickness confirming early theoretical predictions. The FeFET material aspects and stack physics are discussed with insight into the interplay factors, while optimum FE material parameters are outlined in relation to performance.

  15. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    NASA Astrophysics Data System (ADS)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  16. Study of Direct-Contact HfO2/Si Interfaces

    PubMed Central

    Miyata, Noriyuki

    2012-01-01

    Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs) but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs. PMID:28817060

  17. Photovoltaic Enhancement with Ferroelectric HfO2Embedded in the Structure of Solar Cells

    NASA Astrophysics Data System (ADS)

    Eskandari, Rahmatollah; Malkinski, Leszek

    Enhancing total efficiency of the solar cells is focused on the improving one or all of the three main stages of the photovoltaic effect: absorption of the light, generation of the carriers and finally separation of the carriers. Ferroelectric photovoltaic designs target the last stage with large electric forces from polarized ferroelectric films that can be larger than band gap of the material and the built-in electric fields in semiconductor bipolar junctions. In this project we have fabricated very thin ferroelectric HfO2 films ( 10nm) doped with silicon using RF sputtering method. Doped HfO2 films were capped between two TiN layers ( 20nm) and annealed at temperatures of 800ºC and 1000ºC and Si content was varied between 6-10 mol. % using different size of mounted Si chip on hafnium target. Piezoforce microscopy (PFM) method proved clear ferroelectric properties in samples with 6 mol. % of Si that were annealed at 800ºC. Ferroelectric samples were poled in opposite directions and embedded in the structure of a cell and an enhancement in photovoltaic properties were observed on the poled samples vs unpoled ones with KPFM and I-V measurements. The current work is funded by the NSF EPSCoR LA-SiGMA project under award #EPS-1003897.

  18. Microstructure and properties of hard and optically transparent HfO2 films prepared by high-rate reactive high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Patterson, Burkley Delesdernier

    Hafnium Dioxide (HfO2) has an extraordinary high bulk modulus, high hardness, high chemical stability, high melting point and high thermal stability. This material can be used as protective coatings for application involving high temperature environments. HfO2 films were fabricated on Si using high-rate reactive high-power impulse magnetron sputtering (HiPIMS) using different deposition-averaged target power density and voltage pulse durations t1. Five HfO2 films were prepared with (1) t1 = 25 mus, =7.6 Wcm-2 (T25S7), (2) t 1 = 100 mus, =7.2 Wcm-2 (T100S7), (3) t1 = 200 mus, =7.3 Wcm-2 (T200S7), (4) t1 = 200 mus, =18 Wcm-2 (T200S18) and (5) t1 = 200 mus, =54 Wcm-2 (T200S54). Atomic force microscopy (AFM) images of the T200S54, T200S18 and T200S7 films exhibit a coarser granular structure with a similar grain size varying from 25 nm to 120 nm in diameter and an average grain size of ˜70 nm. AFM images of the T25S7 and T100S7 films show smaller granular structures compared to the other three films. Transmission electron microscopy (TEM) studies show that all films are composed of an interlayer next to the Si interface followed by a nano-columnar structure layer. The interlayer structure of the films consists of a population of lower density nanoscale regions. A reduction in t1 and in films T200S54, T200S18, T200S7 and T100S7 caused an increase in the interlayer thickness and a decrease in the width of the nano-columnar structures from ˜46 nm to ˜21 nm. This microstructural change was accompanied by a concomitant change of the grain boundary structure from tight and interlocking in films T200S54 and T200S18, to rough and thicker (˜1 nm) boundaries in films T200S7 and T100S7. Film T25S7 exhibited an entirely different microstructure composed of a multilayered interlayer (˜3 nm) and nano-columnar (˜15 nm) structure. Films prepared with large t1 (200 mus) have a monoclinic HfO 2 structure and that with small t1 (25 mus) an orthorhombic HfO 2 structure. Film

  19. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  20. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    NASA Astrophysics Data System (ADS)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  1. Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.

    PubMed

    Ferrari, S; Fanciulli, M

    2006-08-03

    We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.

  2. Atomic layer deposition of hafnium oxide: A detailed reaction mechanism from first principles

    NASA Astrophysics Data System (ADS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-08-01

    Atomic layer deposition (ALD) of hafnium oxide (HfO2) using HfCl4 and H2O as precursors is studied using density functional theory. The mechanism consists of two deposition half-reactions: (1) HfCl4 with Hf-OH sites, and (2) H2O with Hf-Cl sites. Both half-reactions exhibit stable intermediates with energies lower than those of the final products. We show that increasing the temperature reduces the stability of the complex. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors. Both half-reactions are qualitatively similar to the corresponding reactions of ZrO2 ALD using ZrCl4 and H2O.

  3. Real-time atomistic observation of structural phase transformations in individual hafnia nanorods

    DOE PAGES

    Hudak, Bethany M.; Depner, Sean W.; Waetzig, Gregory R.; ...

    2017-05-12

    High-temperature phases of hafnium dioxide have exceptionally high dielectric constants and large bandgaps, but quenching them to room temperature remains a challenge. Scaling the bulk form to nanocrystals, while successful in stabilizing the tetragonal phase of isomorphous ZrO 2, has produced nanorods with a twinned version of the room temperature monoclinic phase in HfO 2. Here we use in situ heating in a scanning transmission electron microscope to observe the transformation of an HfO 2 nanorod from monoclinic to tetragonal, with a transformation temperature suppressed by over 1000°C from bulk. When the nanorod is annealed, we observe with atomic-scale resolutionmore » the transformation from twinned-monoclinic to tetragonal, starting at a twin boundary and propagating via coherent transformation dislocation; the nanorod is reduced to hafnium on cooling. Unlike the bulk displacive transition, nanoscale size-confinement enables us to manipulate the transformation mechanism, and we observe discrete nucleation events and sigmoidal nucleation and growth kinetics.« less

  4. Oxygen defect induced photoluminescence of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Ni, Jie; Zhou, Qin; Li, Zhengcao; Zhang, Zhengjun

    2008-07-01

    Amorphous HfO2 films prepared by e-beam deposition exhibited room-temperature photoluminescence (PL) in the visible range, i.e., at ˜620 and 700nm, due to oxygen vacancies involved during deposition. This PL can be enhanced by two orders in intensity by crystallizing the amorphous films in flowing argon, where a large amount of oxygen vacancies were introduced, and can be diminished by removal of the oxygen vacancies by annealing HfO2 films in oxygen. This study could help understand the defect-property relationship and provides ways to tune the PL property of HfO2 films.

  5. Effects of HfO2 encapsulation on electrical performances of few-layered MoS2 transistor with ALD HfO2 as back-gate dielectric.

    PubMed

    Xu, Jingping; Wen, Ming; Zhao, Xinyuan; Liu, Lu; Song, Xingjuan; Lai, Pui-To; Tang, Wing-Man

    2018-08-24

    The carrier mobility of MoS 2 transistors can be greatly improved by the screening role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO 2 annealed in NH 3 is used to replace SiO 2 as the gate dielectric to fabricate back-gated few-layered MoS 2 transistors, and good electrical properties are achieved with field-effect mobility (μ) of 19.1 cm 2 V -1 s -1 , subthreshold swing (SS) of 123.6 mV dec -1 and on/off ratio of 3.76 × 10 5 . Furthermore, enhanced device performance is obtained when the surface of the MoS 2 channel is coated by an ALD HfO 2 layer with different thicknesses (10, 15 and 20 nm), where the transistor with a 15 nm HfO 2 encapsulation layer exhibits the best overall electrical properties: μ = 42.1 cm 2 V -1 s -1 , SS = 87.9 mV dec -1 and on/off ratio of 2.72 × 10 6 . These improvements should be associated with the enhanced screening effect on charged-impurity scattering and protection from absorption of environmental gas molecules by the high-k encapsulation. The capacitance equivalent thickness of the back-gate dielectric (HfO 2 ) is only 6.58 nm, which is conducive to scaling of the MoS 2 transistors.

  6. SIMS study of oxygen diffusion in monoclinic HfO2

    NASA Astrophysics Data System (ADS)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  7. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  8. Memristor and selector devices fabricated from HfO2-xNx

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; McCulloch, D. G.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; Partridge, J. G.

    2016-04-01

    Monoclinic HfO2-xNx has been incorporated into two-terminal devices exhibiting either memristor or selector operation depending on the controlled inclusion/suppression of mobile oxygen vacancies. In HfO2 memristors containing oxygen vacancies, gradual conductance modulation, short-term plasticity, and long-term potentiation were observed using appropriate voltage-spike stimulation, suggesting suitability for artificial neural networks. Passivation of oxygen vacancies, confirmed by X-ray absorption spectroscopy, was achieved in HfO2-xNx films by the addition of nitrogen during growth. Selector devices formed on these films exhibited threshold switching and current controlled negative differential resistance consistent with thermally driven insulator to metal transitions.

  9. Technical Note: A simulation study on the feasibility of radiotherapy dose enhancement with calcium tungstate and hafnium oxide nano- and microparticles.

    PubMed

    Sherck, Nicholas J; Won, You-Yeon

    2017-12-01

    To assess the radiotherapy dose enhancement (RDE) potential of calcium tungstate (CaWO 4 ) and hafnium oxide (HfO 2 ) nano- and microparticles (NPs). A Monte Carlo simulation study was conducted to gauge their respective RDE potentials relative to that of the broadly studied gold (Au) NP. The study was warranted due to the promising clinical and preclinical studies involving both CaWO 4 and HfO 2 NPs as RDE agents in the treatment of various types of cancers. The study provides a baseline RDE to which future experimental RDE trends can be compared to. All three materials were investigated in silico with the software Penetration and Energy Loss of Positrons and Electrons (PENELOPE 2014) developed by Francesc Salvat and distributed in the United States by the Radiation Safety Information Computational Center (RSICC) at Oak Ridge National Laboratory. The work utilizes the extensively studied Au NP as the "gold standard" for a baseline. The key metric used in the evaluation of the materials was the local dose enhancement factor (DEF loc ). An additional metric used, termed the relative enhancement ratio (RER), evaluates material performance at the same mass concentrations. The results of the study indicate that Au has the strongest RDE potential using the DEF loc metric. HfO 2 and CaWO 4 both underperformed relative to Au with lower DEF loc of 2-3 × and 4-100 ×, respectively. The computational investigation predicts the RDE performance ranking to be: Au > HfO 2 > CaWO 4 . © 2017 American Association of Physicists in Medicine.

  10. Single layer of Ge quantum dots in HfO2 for floating gate memory capacitors.

    PubMed

    Lepadatu, A M; Palade, C; Slav, A; Maraloiu, A V; Lazanu, S; Stoica, T; Logofatu, C; Teodorescu, V S; Ciurea, M L

    2017-04-28

    High performance trilayer memory capacitors with a floating gate of a single layer of Ge quantum dots (QDs) in HfO 2 were fabricated using magnetron sputtering followed by rapid thermal annealing (RTA). The layer sequence of the capacitors is gate HfO 2 /floating gate of single layer of Ge QDs in HfO 2 /tunnel HfO 2 /p-Si wafers. Both Ge and HfO 2 are nanostructured by RTA at moderate temperatures of 600-700 °C. By nanostructuring at 600 °C, the formation of a single layer of well separated Ge QDs with diameters of 2-3 nm at a density of 4-5 × 10 15 m -2 is achieved in the floating gate (intermediate layer). The Ge QDs inside the intermediate layer are arranged in a single layer and are separated from each other by HfO 2 nanocrystals (NCs) about 8 nm in diameter with a tetragonal/orthorhombic structure. The Ge QDs in the single layer are located at the crossing of the HfO 2 NCs boundaries. In the intermediate layer, besides Ge QDs, a part of the Ge atoms is segregated by RTA at the HfO 2 NCs boundaries, while another part of the Ge atoms is present inside the HfO 2 lattice stabilizing the tetragonal/orthorhombic structure. The fabricated capacitors show a memory window of 3.8 ± 0.5 V and a capacitance-time characteristic with 14% capacitance decay in the first 3000-4000 s followed by a very slow capacitance decrease extrapolated to 50% after 10 years. This high performance is mainly due to the floating gate of a single layer of well separated Ge QDs in HfO 2 , distanced from the Si substrate by the tunnel oxide layer with a precise thickness.

  11. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  12. Raman Spectra of High-κ Dielectric Layers Investigated with Micro-Raman Spectroscopy Comparison with Silicon Dioxide

    PubMed Central

    Borowicz, P.; Taube, A.; Rzodkiewicz, W.; Latek, M.; Gierałtowska, S.

    2013-01-01

    Three samples with dielectric layers from high-κ dielectrics, hafnium oxide, gadolinium-silicon oxide, and lanthanum-lutetium oxide on silicon substrate were studied by Raman spectroscopy. The results obtained for high-κ dielectrics were compared with spectra recorded for silicon dioxide. Raman spectra suggest the similarity of gadolinium-silicon oxide and lanthanum-lutetium oxide to the bulk nondensified silicon dioxide. The temperature treatment of hafnium oxide shows the evolution of the structure of this material. Raman spectra recorded for as-deposited hafnium oxide are similar to the results obtained for silicon dioxide layer. After thermal treatment especially at higher temperatures (600°C and above), the structure of hafnium oxide becomes similar to the bulk non-densified silicon dioxide. PMID:24072982

  13. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  14. Role of HfO 2/SiO 2 thin-film interfaces in near-ultraviolet absorption and pulsed laser damage

    DOE PAGES

    Papernov, Semyon; Kozlov, Alexei A.; Oliver, James B.; ...

    2016-07-15

    Here, the role of thin-film interfaces in the near-ultraviolet (near-UV) absorption and pulsed laser-induced damage was studied for ion-beam-sputtered and electron-beam-evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and anmore » E-field peak and average intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-UV, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. The results are explained through the similarity of interfacial film structure with structure formed during the codeposition of HfO 2 and SiO 2 materials.« less

  15. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  16. Downscaling ferroelectric field effect transistors by using ferroelectric Si-doped HfO2

    NASA Astrophysics Data System (ADS)

    Martin, Dominik; Yurchuk, Ekaterina; Müller, Stefan; Müller, Johannes; Paul, Jan; Sundquist, Jonas; Slesazeck, Stefan; Schlösser, Till; van Bentum, Ralf; Trentzsch, Martin; Schröder, Uwe; Mikolajick, Thomas

    2013-10-01

    Throughout the 22 nm technology node HfO2 is established as a reliable gate dielectric in contemporary complementary metal oxide semiconductor (CMOS) technology. The working principle of ferroelectric field effect transistors FeFET has also been demonstrated for some time for dielectric materials like Pb[ZrxTi1-x]O3 and SrBi2Ta2O9. However, integrating these into contemporary downscaled CMOS technology nodes is not trivial due to the necessity of an extremely thick gate stack. Recent developments have shown HfO2 to have ferroelectric properties, given the proper doping. Moreover, these doped HfO2 thin films only require layer thicknesses similar to the ones already in use in CMOS technology. This work will show how the incorporation of Si induces ferroelectricity in HfO2 based capacitor structures and finally demonstrate non-volatile storage in nFeFETs down to a gate length of 100 nm. A memory window of 0.41 V can be retained after 20,000 switching cycles. Retention can be extrapolated to 10 years.

  17. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  18. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  19. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    NASA Astrophysics Data System (ADS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-10-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer. In comparison to a memory capacitor with a single HfO2 trapping layer, the erase speed of a memory capacitor with a stacked HfO2/Ta2O5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔVFB = 4 V, the device with a stacked HfO2/Ta2O5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO2/Ta2O5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application.

  20. First-principles study on leakage current caused by oxygen vacancies at HfO2/SiO2/Si interface

    NASA Astrophysics Data System (ADS)

    Takagi, Kensuke; Ono, Tomoya

    2018-06-01

    The relationship between the position of oxygen vacancies in HfO2/SiO2/Si gate stacks and the leakage current is studied by first-principles electronic-structure and electron-conduction calculations. We find that the increase in the leakage current due to the creation of oxygen vacancies in the HfO2 layer is much larger than that in the SiO2 interlayer. According to previous first-principles total energy calculations, the formation energy of oxygen vacancies is smaller in the SiO2 interlayer than that in the HfO2 layer under the same conditions. Therefore, oxygen vacancies will be attracted from the SiO2 interlayer to minimize the energy, thermodynamically justifying the scavenging technique. Thus, the scavenging process efficiently improves the dielectric constant of HfO2-based gate stacks without increasing the number of oxygen vacancies, which cause the dielectric breakdown.

  1. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.

    1956-08-21

    A dilute aqueous solution of zirconyl chloride which is 1N to 2N in HCl is passed through a column of a cation exchange resin in acid form thereby absorbing both zirconium and associated hafnium impurity in the mesin. The cation exchange material with the absorbate is then eluted with aqueous sulfuric acid of a O.8N to 1.2N strength. The first portion of the eluate contains the zirconium substantially free of hafnium.

  2. Analysis of thermal stresses in HfO2/SiO2 high reflective optical coatings for high power laser applications

    NASA Astrophysics Data System (ADS)

    Gao, Chunxue; Zhao, Zhiwei; Zhu, Zhuoya; Li, Shuang; Mi, Changwen

    2015-02-01

    HfO2/SiO2 high reflective optical coatings are widely used in high power laser applications because of their high laser damage resistance and appropriate spectral performance. The residual stresses strongly influence the performance and longevity of the optical coatings. Thermal stresses are the primary components of the residual stresses. In the present work, the distribution of thermal stresses in HfO2/SiO2 high reflective optical coatings was investigated using two different computational methods: finite element method (FEM) and an analytical method based on force and moment balances and classical beam bending theory. The results by these two methods were compared and found to be in agreement with each other, demonstrating that these two methods are effective and accurate ways to predict the thermal stresses in HfO2/SiO2 optical coatings. In addition, these two methods were also used to obtain the thermal stresses in HfO2/SiO2 optical coatings with different layer number to investigate the effect of the layer number on the thermal stresses of the HfO2/SiO2 optical coatings. The results show that with the increase of the layer number, the stresses in the substrate increase, while the stresses in the respective SiO2 and HfO2 layers decrease. Besides, it was also found that the radius of curvature of the coating system decreases as the layer number increases, leading to larger bending curvature in the system.

  3. Distribution of electron traps in SiO2/HfO2 nMOSFET

    NASA Astrophysics Data System (ADS)

    Xiao-Hui, Hou; Xue-Feng, Zheng; Ao-Chen, Wang; Ying-Zhe, Wang; Hao-Yu, Wen; Zhi-Jing, Liu; Xiao-Wei, Li; Yin-He, Wu

    2016-05-01

    In this paper, the principle of discharge-based pulsed I-V technique is introduced. By using it, the energy and spatial distributions of electron traps within the 4-nm HfO2 layer have been extracted. Two peaks are observed, which are located at ΔE ˜ -1.0 eV and -1.43 eV, respectively. It is found that the former one is close to the SiO2/HfO2 interface and the latter one is close to the gate electrode. It is also observed that the maximum discharge time has little effect on the energy distribution. Finally, the impact of electrical stress on the HfO2 layer is also studied. During stress, no new electron traps and interface states are generated. Meanwhile, the electrical stress also has no impact on the energy and spatial distribution of as-grown traps. The results provide valuable information for theoretical modeling establishment, material assessment, and reliability improvement for advanced semiconductor devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61334002, 61106106, and 61474091), the New Experiment Development Funds for Xidian University, China (Grant No. SY1434), and the Scientific Research Foundation for the Returned Overseas Chinese Scholars, State Education Ministry, China (Grant No. JY0600132501).

  4. Chlorine mobility during annealing in N2 in ZrO2 and HfO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Ferrari, S.; Scarel, G.; Wiemer, C.; Fanciulli, M.

    2002-12-01

    Atomic layer deposition (ALD) growth of high-κ dielectric films (ZrO2 and HfO2) was performed using ZrCl4, HfCl4, and H2O as precursors. In this work, we use time of flight secondary ion mass spectrometry to investigate the chlorine distribution in ALD grown ZrO2 and HfO2 films, and its evolution during rapid thermal processes in nitrogen atmosphere. Chlorine outdiffusion is found to depend strongly upon annealing temperature and weakly upon the annealing time. While in ZrO2 chlorine concentration is significantly decreased already at 900 °C, in HfO2 it is extremely stable, even at temperatures as high as 1050 °C.

  5. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  6. Effective Enrichment and Mass Spectrometry Analysis of Phosphopeptides Using Mesoporous Metal Oxide Nanomaterials

    PubMed Central

    Nelson, Cory A.; Szczech, Jeannine R.; Dooley, Chad J.; Xu, Qingge; Lawrence, Matthew J.; Zhu, Haoyue; Jin, Song; Ge, Ying

    2010-01-01

    Mass spectrometry (MS)-based phosphoproteomics remains challenging due to the low abundance of phosphoproteins and substoichiometric phosphorylation. This demands better methods to effectively enrich phosphoproteins/peptides prior to MS analysis. We have previously communicated the first use of mesoporous zirconium oxide (ZrO2) nanomaterials for effective phosphopeptide enrichment. Here we present the full report including the synthesis, characterization, and application of mesoporous titanium dioxide (TiO2), ZrO2, and hafnium oxide (HfO2) in phosphopeptide enrichment and MS analysis. Mesoporous ZrO2 and HfO2 are demonstrated to be superior to TiO2 for phosphopeptide enrichment from a complex mixture with high specificity (>99%), which could almost be considered as “a purification”, mainly because of the extremely large active surface area of mesoporous nanomaterials. A single enrichment and Fourier transform MS analysis of phosphopeptides digested from a complex mixture containing 7% of α-casein identified 21 out of 22 phosphorylation sites for α-casein. Moreover, the mesoporous ZrO2 and HfO2 can be reused after a simple solution regeneration procedure with comparable enrichment performance to that of fresh materials. Mesoporous ZrO2 and HfO2 nanomaterials hold great promise for applications in MS-based phosphoproteomics. PMID:20704311

  7. Role of oxygen vacancies in HfO2-based gate stack breakdown

    NASA Astrophysics Data System (ADS)

    Wu, X.; Migas, D. B.; Li, X.; Bosman, M.; Raghavan, N.; Borisenko, V. E.; Pey, K. L.

    2010-04-01

    We study the influence of multiple oxygen vacancy traps in the percolated dielectric on the postbreakdown random telegraph noise (RTN) digital fluctuations in HfO2-based metal-oxide-semiconductor transistors. Our electrical characterization results indicate that these digital fluctuations are triggered only beyond a certain gate stress voltage. First-principles calculations suggest the oxygen vacancies to be responsible for the formation of a subband in the forbidden band gap region, which affects the triggering voltage (VTRIG) for the RTN fluctuations and leads to a shrinkage of the HfO2 band gap.

  8. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  9. Hafnium radioisotope recovery from irradiated tantalum

    DOEpatents

    Taylor, Wayne A.; Jamriska, David J.

    2001-01-01

    Hafnium is recovered from irradiated tantalum by: (a) contacting the irradiated tantalum with at least one acid to obtain a solution of dissolved tantalum; (b) combining an aqueous solution of a calcium compound with the solution of dissolved tantalum to obtain a third combined solution; (c) precipitating hafnium, lanthanide, and insoluble calcium complexes from the third combined solution to obtain a first precipitate; (d) contacting the first precipitate of hafnium, lanthanide and calcium complexes with at least one fluoride ion complexing agent to form a fourth solution; (e) selectively adsorbing lanthanides and calcium from the fourth solution by cationic exchange; (f) separating fluoride ion complexing agent product from hafnium in the fourth solution by adding an aqueous solution of ferric chloride to obtain a second precipitate containing the hafnium and iron; (g) dissolving the second precipitate containing the hafnium and iron in acid to obtain an acid solution of hafnium and iron; (h) selectively adsorbing the iron from the acid solution of hafnium and iron by anionic exchange; (i) drying the ion exchanged hafnium solution to obtain hafnium isotopes. Additionally, if needed to remove residue remaining after the product is dried, dissolution in acid followed by cation exchange, then anion exchange, is performed.

  10. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  11. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  12. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    PubMed

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  13. Effect of oxygen vacancies and strain on the phonon spectrum of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Gao, Lingyuan; Yalon, Eilam; Chew, Annabel R.; Deshmukh, Sanchit; Salleo, Alberto; Pop, Eric; Demkov, Alexander A.

    2017-06-01

    The effect of strain and oxygen deficiency on the Raman spectrum of monoclinic HfO2 is investigated theoretically using first-principles calculations. 1% in-plane compressive strain applied to a and c axes is found to blue shift the phonon frequencies, while 1% tensile strain does the opposite. The simulations are compared, and good agreement is found with the experimental results of Raman frequencies greater than 110 cm-1 for 50 nm HfO2 thin films. Several Raman modes measured below 110 cm-1 and previously assigned to HfO2 are found to be rotational modes of gases present in air ambient (nitrogen and oxygen). However, localized vibrational modes introduced by threefold-coordinated oxygen (O3) vacancies are identified at 96.4 cm-1 computationally. These results are important for a deeper understanding of vibrational modes in HfO2, which has technological applications in transistors and particularly in resistive random-access memory whose operation relies on oxygen-deficient HfOx.

  14. Surface plasmon-enhanced optical absorption in monolayer MoS2 with one-dimensional Au grating

    NASA Astrophysics Data System (ADS)

    Song, Jinlin; Lu, Lu; Cheng, Qiang; Luo, Zixue

    2018-05-01

    The optical absorption of a composite photonic structure, namely monolayer molybdenum disulfide (MoS2)-covered Au grating, is theoretically investigated using a rigorous coupled-wave analysis algorithm. The enhancement of localized electromagnetic field due to surface plasmon polaritons supported by Au grating can be utilized to enhance the absorption of MoS2. The remarkable enhancement of absorption due to exciton transition can also be realized. When the period of grating is 600 nm, the local absorption of the monolayer MoS2 on Au grating is nearly 7 times higher than the intrinsic absorption due to B exciton transition. A further study reveals that the absorption properties of Au grating can be tailored by altering number of MoS2 layers, changing to a MoS2 nanoribbon array, and inserting a hafnium dioxide (HfO2) spacer. This work will contribute to the design of MoS2-based optical and optoelectronic devices.

  15. Synthesis of High Symmetry Phase of Hafnium Dioxide Thin Films and Nickel Ferrite's Effect on Microstructure in Composite Heterostructure

    NASA Astrophysics Data System (ADS)

    Straka, Weston J.

    Hafnium dioxide has attracted a great deal of attention recently due to its potential use in two different electronic applications: CMOS and FeRAM. In CMOS, the usefulness of hafnia comes in due to its high dielectric constant and compatibility with current IC processing parameters. For FeRAM, hafnia's recent discovery to exhibit ferroelectricity in an orthorhombic phase makes this material attractive for replacement of the ferroelectric material in FeRAM. This study shows the feasibility of depositing thin films of hafnium oxide via chemical solution deposition for integration into these devices. The processing parameters necessary to produce this phase show how non-equilibrium processing plays a role in its synthesis. The temperature necessary to achieve the high symmetry phase was at 725 °C for 3 minutes on sapphire, silicon, and coated silicon substrates. The thermal conductivity of each was viewed as the property that allowed the hafnia formation. The dielectric constant of the hafnia films were between 30 and 32 with low dissipation factors and up to 47 with a poor dissipation factor all at 1 kHz. The formation of this phase was shown to be thickness independent with the high symmetry phase existing up to 300 nm film thickness. Interfacing the hafnia film with nickel ferrite was also studied to identify the possibility of using this composite for non-destructive reading of FeRAM. The magnetic properties showed an unchanged nickel ferrite film but the interface between the two was poor leading to the conclusion that more work must be done to successfully integrate these two films.

  16. Zirconium and hafnium

    USGS Publications Warehouse

    Jones, James V.; Piatak, Nadine M.; Bedinger, George M.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Zirconium and hafnium are corrosion-resistant metals that are widely used in the chemical and nuclear industries. Most zirconium is consumed in the form of the main ore mineral zircon (ZrSiO4, or as zirconium oxide or other zirconium chemicals. Zirconium and hafnium are both refractory lithophile elements that have nearly identical charge, ionic radii, and ionic potentials. As a result, their geochemical behavior is generally similar. Both elements are classified as incompatible because they have physical and crystallochemical properties that exclude them from the crystal lattices of most rock-forming minerals. Zircon and another, less common, ore mineral, baddeleyite (ZrO2), form primarily as accessory minerals in igneous rocks. The presence and abundance of these ore minerals in igneous rocks are largely controlled by the element concentrations in the magma source and by the processes of melt generation and evolution. The world’s largest primary deposits of zirconium and hafnium are associated with alkaline igneous rocks, and, in one locality on the Kola Peninsula of Murmanskaya Oblast, Russia, baddeleyite is recovered as a byproduct of apatite and magnetite mining. Otherwise, there are few primary igneous deposits of zirconium- and hafnium-bearing minerals with economic value at present. The main ore deposits worldwide are heavy-mineral sands produced by the weathering and erosion of preexisting rocks and the concentration of zircon and other economically important heavy minerals, such as ilmenite and rutile (for titanium), chromite (for chromium), and monazite (for rare-earth elements) in sedimentary systems, particularly in coastal environments. In coastal deposits, heavy-mineral enrichment occurs where sediment is repeatedly reworked by wind, waves, currents, and tidal processes. The resulting heavy-mineral-sand deposits, called placers or paleoplacers, preferentially form at relatively low latitudes on passive continental margins and supply 100 percent of

  17. Ferroelectricity and antiferroelectricity of doped thin HfO2-based films.

    PubMed

    Park, Min Hyuk; Lee, Young Hwan; Kim, Han Joon; Kim, Yu Jin; Moon, Taehwan; Kim, Keum Do; Müller, Johannes; Kersch, Alfred; Schroeder, Uwe; Mikolajick, Thomas; Hwang, Cheol Seong

    2015-03-18

    The recent progress in ferroelectricity and antiferroelectricity in HfO2-based thin films is reported. Most ferroelectric thin film research focuses on perovskite structure materials, such as Pb(Zr,Ti)O3, BaTiO3, and SrBi2Ta2O9, which are considered to be feasible candidate materials for non-volatile semiconductor memory devices. However, these conventional ferroelectrics suffer from various problems including poor Si-compatibility, environmental issues related to Pb, large physical thickness, low resistance to hydrogen, and small bandgap. In 2011, ferroelectricity in Si-doped HfO2 thin films was first reported. Various dopants, such as Si, Zr, Al, Y, Gd, Sr, and La can induce ferro-electricity or antiferroelectricity in thin HfO2 films. They have large remanent polarization of up to 45 μC cm(-2), and their coercive field (≈1-2 MV cm(-1)) is larger than conventional ferroelectric films by approximately one order of magnitude. Furthermore, they can be extremely thin (<10 nm) and have a large bandgap (>5 eV). These differences are believed to overcome the barriers of conventional ferroelectrics in memory applications, including ferroelectric field-effect-transistors and three-dimensional capacitors. Moreover, the coupling of electric and thermal properties of the antiferroelectric thin films is expected to be useful for various applications, including energy harvesting/storage, solid-state-cooling, and infrared sensors. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    NASA Astrophysics Data System (ADS)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  19. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    PubMed

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  20. Feature Modeling of HfO2 Atomic Layer Deposition Using HfCl4/H2O

    NASA Astrophysics Data System (ADS)

    Stout, Phillip J.; Adams, Vance; Ventzek, Peter L. G.

    2003-03-01

    A Monte Carlo based feature scale model (Papaya) has been applied to atomic layer deposition (ALD) of HfO2 using HfCl_4/H_20. The model includes physical effects of transport to surface, specular and diffusive reflection within feature, adsorption, surface diffusion, deposition and etching. Discussed will be the 3D feature modeling of HfO2 deposition in assorted features (vias and trenches). The effect of feature aspect ratios, pulse times, cycle number, and temperature on film thickness, feature coverage, and film Cl fraction (surface/bulk) will be discussed. Differences between HfO2 ALD on blanket wafers and in features will be highlighted. For instance, the minimum pulse times sufficient for surface reaction saturation on blanket wafers needs to be increased when depositing on features. Also, HCl products created during the HfCl4 and H_20 pulses are more likely to react within a feature than at the field, reducing OH coverage within the feature (vs blanket wafer) thus limiting the maximum coverage attainable for a pulse over a feature.

  1. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    PubMed

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  2. Ablation Resistant Zirconium and Hafnium Ceramics

    NASA Technical Reports Server (NTRS)

    Bull, Jeffrey (Inventor); White, Michael J. (Inventor); Kaufman, Larry (Inventor)

    1998-01-01

    High temperature ablation resistant ceramic composites have been made. These ceramics are composites of zirconium diboride and zirconium carbide with silicon carbide, hafnium diboride and hafnium carbide with silicon carbide and ceramic composites which contain mixed diborides and/or carbides of zirconium and hafnium. along with silicon carbide.

  3. Effects of substrate heating and post-deposition annealing on characteristics of thin MOCVD HfO2 films

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata

    2018-02-01

    It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.

  4. Thickness scaling of atomic-layer-deposited HfO2 films and their application to wafer-scale graphene tunnelling transistors

    PubMed Central

    Jeong, Seong-Jun; Gu, Yeahyun; Heo, Jinseong; Yang, Jaehyun; Lee, Chang-Seok; Lee, Min-Hyun; Lee, Yunseong; Kim, Hyoungsub; Park, Seongjun; Hwang, Sungwoo

    2016-01-01

    The downscaling of the capacitance equivalent oxide thickness (CET) of a gate dielectric film with a high dielectric constant, such as atomic layer deposited (ALD) HfO2, is a fundamental challenge in achieving high-performance graphene-based transistors with a low gate leakage current. Here, we assess the application of various surface modification methods on monolayer graphene sheets grown by chemical vapour deposition to obtain a uniform and pinhole-free ALD HfO2 film with a substantially small CET at a wafer scale. The effects of various surface modifications, such as N-methyl-2-pyrrolidone treatment and introduction of sputtered ZnO and e-beam-evaporated Hf seed layers on monolayer graphene, and the subsequent HfO2 film formation under identical ALD process parameters were systematically evaluated. The nucleation layer provided by the Hf seed layer (which transforms to the HfO2 layer during ALD) resulted in the uniform and conformal deposition of the HfO2 film without damaging the graphene, which is suitable for downscaling the CET. After verifying the feasibility of scaling down the HfO2 thickness to achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors, we fabricated graphene heterojunction tunnelling transistors with a record-low subthreshold swing value of <60 mV/dec on an 8″ glass wafer. PMID:26861833

  5. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. In-situ growth of HfO2 on clean 2H-MoS2 surface: Growth mode, interface reactions and energy band alignment

    NASA Astrophysics Data System (ADS)

    Chen, Chang Pang; Ong, Bin Leong; Ong, Sheau Wei; Ong, Weijie; Tan, Hui Ru; Chai, Jian Wei; Zhang, Zheng; Wang, Shi Jie; Pan, Ji Sheng; Harrison, Leslie John; Kang, Hway Chuan; Tok, Eng Soon

    2017-10-01

    Room temperature growth of HfO2 thin film on clean 2H-MoS2 via plasma-sputtering of Hf-metal target in an argon/oxygen environment was studied in-situ using x-ray photoelectron spectroscopy (XPS). The deposited film was observed to grow akin to a layer-by-layer growth mode. At the onset of growth, a mixture of sulfate- and sulfite-like species (SOx2- where x = 3, 4), and molybdenum trioxide (MoO3), are formed at the HfO2/MoS2 interface. An initial decrease in binding energies for both Mo 3d and S 2p core-levels of the MoS2 substrate by 0.4 eV was also observed. Their binding energies, however, did not change further with increasing HfO2 thickness. There was no observable change in the Hf4f core-level binding energy throughout the deposition process. With increasing HfO2 deposition, MoO3 becomes buried at the interface while SOx2- was observed to be present in the film. The shift of 0.4 eV for both Mo 3d and S 2p core-levels of the MoS2 substrate can be attributed to a charge transfer from the substrate to the MoO3/SOx2--like interface layer. Consequently, the Type I heterojunction valence band offset (conduction band offset) becomes 1.7 eV (2.9 eV) instead of 1.3 eV (3.3 eV) expected from considering the bulk HfO2 and MoS2 valence band offset (conduction band offset). The formation of these states and its influence on band offsets will need to be considered in their device applications.

  7. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  8. Silver-hafnium braze alloy

    DOEpatents

    Stephens, Jr., John J.; Hosking, F. Michael; Yost, Frederick G.

    2003-12-16

    A binary allow braze composition has been prepared and used in a bonded article of ceramic-ceramic and ceramic-metal materials. The braze composition comprises greater than approximately 95 wt % silver, greater than approximately 2 wt % hafnium and less than approximately 4.1 wt % hafnium, and less than approximately 0.2 wt % trace elements. The binary braze alloy is used to join a ceramic material to another ceramic material or a ceramic material, such as alumina, quartz, aluminum nitride, silicon nitride, silicon carbide, and mullite, to a metal material, such as iron-based metals, cobalt-based metals, nickel-based metals, molybdenum-based metals, tungsten-based metals, niobium-based metals, and tantalum-based metals. A hermetic bonded article is obtained with a strength greater than 10,000 psi.

  9. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  10. Hafnium isotope stratigraphy of ferromanganese crusts

    PubMed

    Lee; Halliday; Hein; Burton; Christensen; Gunther

    1999-08-13

    A Cenozoic record of hafnium isotopic compositions of central Pacific deep water has been obtained from two ferromanganese crusts. The crusts are separated by more than 3000 kilometers but display similar secular variations. Significant fluctuations in hafnium isotopic composition occurred in the Eocene and Oligocene, possibly related to direct advection from the Indian and Atlantic oceans. Hafnium isotopic compositions have remained approximately uniform for the past 20 million years, probably reflecting increased isolation of the central Pacific. The mechanisms responsible for the increase in (87)Sr/(86)Sr in seawater through the Cenozoic apparently had no effect on central Pacific deep-water hafnium.

  11. SEPARATION OF HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Overholser, L.B.; Barton, C.J. Sr.; Ramsey, J.W.

    1960-05-31

    The separation of hafnium impurities from zirconium can be accomplished by means of organic solvent extraction. The hafnium-containing zirconium feed material is dissolved in an aqueous chloride solution and the resulting solution is contacted with an organic hexone phase, with at least one of the phases containing thiocyanate. The hafnium is extracted into the organic phase while zirconium remains in the aqueous phase. Further recovery of zirconium is effected by stripping the onganic phase with a hydrochloric acid solution and commingling the resulting strip solution with the aqueous feed solution. Hexone is recovered and recycled by means of scrubbing the onganic phase with a sulfuric acid solution to remove the hafnium, and thiocyanate is recovered and recycled by means of neutralizing the effluent streams to obtain ammonium thiocyanate.

  12. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  13. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  14. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    NASA Astrophysics Data System (ADS)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  15. The role of film interfaces in near-ultraviolet absorption and pulsed-laser damage in ion-beam-sputtered coatings based on HfO 2/SiO 2 thin-film pairs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ristau, Detlev; Papernov, S.; Kozlov, A. A.

    2015-11-23

    The role of thin-film interfaces in the near-ultraviolet absorption and pulsed-laser–induced damage was studied for ion-beam–sputtered and electron-beam–evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage-threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and an E-field peak and averagemore » intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces, as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-ultraviolet, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. Here, the results are explained through the similarity of interfacial film structure with structure formed during the co-deposition of HfO 2 and SiO 2 materials.« less

  16. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  17. Influence of oxygen vacancies in ALD HfO2-x thin films on non-volatile resistive switching phenomena with a Ti/HfO2-x/Pt structure

    NASA Astrophysics Data System (ADS)

    Sokolov, Andrey Sergeevich; Jeon, Yu-Rim; Kim, Sohyeon; Ku, Boncheol; Lim, Donghwan; Han, Hoonhee; Chae, Myeong Gyoon; Lee, Jaeho; Ha, Beom Gil; Choi, Changhwan

    2018-03-01

    We report a modulation of oxygen vacancies profile in atomic layer deposition (ALD) HfO2-x thin films by reducing oxidant pulse time (0.7 s-0.1 s) and study its effect on resistive switching behavior with a Ti/HfO2-x/Pt structure. Hf 4f spectra of x-ray photoelectron microscopy (XPS) and depth profile confirm varied oxygen vacancies profiles by shifts of binding energies of Hf 4f5/2 and Hf 4f7/2 main peaks and its according HfO2-x sub-oxides for each device. The ultraviolet photoelectron spectroscopy (UPS) confirms different electron affinity (χ) of HfO2 and HfO2-x thin films, implying that barrier height at Ti/oxide interface is reduced. Current transport mechanism is dictated by Ohmic conduction in fully oxidized HfO2 thin films - Device A (0.7 s) and by Trap Filled Space Charge Limited Conduction (TF-SCLC) in less oxidized HfO2-x thin films - Device B (0.3 s) and Device C (0.1 s). A switching mechanism related to the oxygen vacancies modulation in Ti/HfO2-x/Pt based resistive random access memory (RRAM) devices is used to explain carefully notified current transport mechanism variations from device-to-device. A proper endurance and long-time retention characteristics of the devices are also obtained.

  18. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    NASA Astrophysics Data System (ADS)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  19. Hafnium-Based Contrast Agents for X-ray Computed Tomography.

    PubMed

    Berger, Markus; Bauser, Marcus; Frenzel, Thomas; Hilger, Christoph Stephan; Jost, Gregor; Lauria, Silvia; Morgenstern, Bernd; Neis, Christian; Pietsch, Hubertus; Sülzle, Detlev; Hegetschweiler, Kaspar

    2017-05-15

    Heavy-metal-based contrast agents (CAs) offer enhanced X-ray absorption for X-ray computed tomography (CT) compared to the currently used iodinated CAs. We report the discovery of new lanthanide and hafnium azainositol complexes and their optimization with respect to high water solubility and stability. Our efforts culminated in the synthesis of BAY-576, an uncharged hafnium complex with 3:2 stoichiometry and broken complex symmetry. The superior properties of this asymmetrically substituted hafnium CA were demonstrated by a CT angiography study in rabbits that revealed excellent signal contrast enhancement.

  20. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    NASA Astrophysics Data System (ADS)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  1. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  2. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  3. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    NASA Astrophysics Data System (ADS)

    Dai, Yuehua; Pan, Zhiyong; Wang, Feifei; Li, Xiaofeng

    2016-08-01

    The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM) by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  4. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    NASA Astrophysics Data System (ADS)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  5. OH Radical Reaction Rate Coefficients, Infrared Spectrum, and Global Warming Potential of (CF3)2CFCH═CHF (HFO-1438ezy(E)).

    PubMed

    Papadimitriou, Vassileios C; Burkholder, James B

    2016-08-25

    Rate coefficients, k(T), for the OH radical + (E)-(CF3)2CFCH═CHF ((E)-1,3,4,4,4-pentafluoro-3-(trifluoromethyl)-1-butene, HFO-1438ezy(E)) gas-phase reaction were measured using pulsed laser photolysis-laser-induced fluorescence (PLP-LIF) between 214 and 380 K and 50 and 450 Torr (He or N2 bath gas) and with a relative rate method at 296 K between 100 and 400 Torr (synthetic air). Over the range of pressures included in this study, no pressure dependence in k(T) was observed. k(296 K) obtained using the two techniques agreed to within ∼3% with (3.26 ± 0.26) × 10(-13) cm(3) molecule(-1) s(-1) (2σ absolute uncertainty) obtained using the PLP-LIF technique. k(T) displayed non-Arrhenius behavior that is reproduced by (7.34 ± 0.30) × 10(-19)T(2) exp[(481 ± 10)/T) cm(3) molecule(-1) s(-1). With respect to OH reactive loss, the atmospheric lifetime of HFO-1438ezy(E) is estimated to be ∼36 days and HFO-1438ezy(E) is considered a very short-lived substance (VSLS) (the actual lifetime will depend on the time and location of the HFO-1438ezy(E) emission). On the basis of the HFO-1438ezy(E) infrared absorption spectrum measured in this work and its estimated lifetime, a radiative efficiency of 0.306 W m(-2) ppb(-1) (well-mixed gas) was calculated and its 100-year time-horizon global warming potential, GWP100, was estimated to be 8.6. CF3CFO, HC(O)F, and CF2O were identified using infrared spectroscopy as stable end products in the oxidation of HFO-1438ezy(E) in the presence of O2. Two additional fluorinated products were observed and theoretical calculations of the infrared spectra of likely degradation products are presented. The photochemical ozone creation potential of HFO-1438ezy(E) was estimated to be ∼2.15.

  6. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    PubMed Central

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-01-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I–V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later. PMID:28252106

  7. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    NASA Astrophysics Data System (ADS)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  8. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    PubMed

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  9. Hafnium silicate and hafnium silicon oxynitride gate dielectrics for strained Si_xGe_1-x: Interface stability

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    Strained Si_xGe_1-x layers have gained considerable attention due to hole mobility enhancement, and ease of integration with Si-based CMOS technology. The deposition of stable high-κ dielectrics [1] such as hafnium silicate and hafnium silicon oxynitride in direct contact with SiGe would simultaneously improve the capacitance of the gate stack and lower the leakage current for high performance SiGe devices. However, the oxidation of the Si_xGe_1-x substrate either during dielectric deposition or post-deposition processing would degrade device performance due to the thermodynamic instability of germanium oxide [2,3]. Results from XPS, HR-TEM, and C-V, and I-V analyses after various annealing treatments will be presented for hafnium silicate and hafnium silicon oxynitride films deposited on strained Si_xGe_1-x(100), and correlated with dielectric-Si_xGe_1-x(100) interface stability. Implications to the introduction of these oxides as viable gate dielectric candidates for SiGe-based CMOS technology will be discussed. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001) [2] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [3] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995)

  10. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  11. Hafnium(IV) chloride complexes with chelating β-ketiminate ligands: Synthesis, spectroscopic characterization and volatility study

    NASA Astrophysics Data System (ADS)

    Patil, Siddappa A.; Medina, Phillip A.; Antic, Aleks; Ziller, Joseph W.; Vohs, Jason K.; Fahlman, Bradley D.

    2015-09-01

    The synthesis and characterization of four new β-ketiminate hafnium(IV) chloride complexes dichloro-bis[4-(phenylamido)pent-3-en-2-one]-hafnium (4a), dichloro-bis[4-(4-methylphenylamido)pent-3-en-2-one]-hafnium (4b), dichloro-bis[4-(4-methoxyphenylamido)pent-3-en-2-one]-hafnium (4c), and dichloro-bis[4-(4-chlorophenylamido)pent-3-en-2-one]-hafnium (4d) are reported. All the complexes (4a-d) were characterized by spectroscopic methods (1H NMR, 13C NMR, IR), and elemental analysis while the compound 4c was further examined by single-crystal X-ray diffraction, revealing that the complex is monomer with the hafnium center in octahedral coordination environment and oxygens of the chelating N-O ligands are trans to each other and the chloride ligands are in a cis arrangement. Volatile trends are established for four new β-ketiminate hafnium(IV) chloride complexes (4a-d). Sublimation enthalpies (ΔHsub) were calculated from thermogravimetric analysis (TGA) data, which show that, the dependence of ΔHsub on the molecular weight (4a-c) and inductive effects from chlorine (4d).

  12. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  13. Formulation and method for preparing gels comprising hydrous hafnium oxide

    DOEpatents

    Collins, Jack L; Hunt, Rodney D; Montgomery, Frederick C

    2013-08-06

    Formulations useful for preparing hydrous hafnium oxide gels contain a metal salt including hafnium, an acid, an organic base, and a complexing agent. Methods for preparing gels containing hydrous hafnium oxide include heating a formulation to a temperature sufficient to induce gel formation, where the formulation contains a metal salt including hafnium, an acid, an organic base, and a complexing agent.

  14. Thermal Conductivity and Stability of HfO2-Y2O3 and La2Zr2O7 Evaluated for 1650 Deg C Thermal/Environmental Barrier Coating Applications

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Bansal, Narottam P.; Miller, Robert A.

    2003-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal and environmental barrier coating (T/EBC) materials for gas turbine ceramic matrix composite (CMC) combustor applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature stability of hot-pressed and plasma sprayed specimens with representative partially-stabilized and fully-cubic HfO2-Y2O3 compositions and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasmasprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC hexoloy or SiC/SiC CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications are also discussed.

  15. Interactions of hydrogen with amorphous hafnium oxide

    NASA Astrophysics Data System (ADS)

    Kaviani, Moloud; Afanas'ev, Valeri V.; Shluger, Alexander L.

    2017-02-01

    We used density functional theory (DFT) calculations to study the interaction of hydrogen with amorphous hafnia (a -HfO2 ) using a hybrid exchange-correlation functional. Injection of atomic hydrogen, its diffusion towards electrodes, and ionization can be seen as key processes underlying charge instability of high-permittivity amorphous hafnia layers in many applications. Hydrogen in many wide band gap crystalline oxides exhibits negative-U behavior (+1 and -1 charged states are thermodynamically more stable than the neutral state) . Our results show that in a -HfO2 hydrogen is also negative-U, with charged states being the most thermodynamically stable at all Fermi level positions. However, metastable atomic hydrogen can share an electron with intrinsic electron trapping precursor sites [Phys. Rev. B 94, 020103 (2016)., 10.1103/PhysRevB.94.020103] forming a [etr -+O -H ] center, which is lower in energy on average by about 0.2 eV. These electron trapping sites can affect both the dynamics and thermodynamics of the interaction of hydrogen with a -HfO2 and the electrical behavior of amorphous hafnia films in CMOS devices.

  16. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    NASA Astrophysics Data System (ADS)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  17. A comprehensive study on the structural evolution of HfO 2 thin films doped with various dopants

    DOE PAGES

    Park, Min Hyuk; Schenk, Tony; Fancher, Christopher M.; ...

    2017-04-19

    The origin of the unexpected ferroelectricity in doped HfO 2 thin films is now considered to be the formation of a non-centrosymmetric Pca2 1 orthorhombic phase. Due to the polycrystalline nature of the films as well as their extremely small thickness (~10 nm) and mixed orientation and phase composition, structural analysis of doped HfO 2 thin films remains a challenging task. As a further complication, the structural similarities of the orthorhombic and tetragonal phase are difficult to distinguish by typical structural analysis techniques such as X-ray diffraction. To resolve this issue, the changes in the grazing incidence X-ray diffraction (GIXRD)more » patterns of HfO 2 films doped with Si, Al, and Gd are systematically examined. For all dopants, the shift of o111/ t101 diffraction peak is observed with increasing atomic layer deposition (ALD) cycle ratio, and this shift is thought to originate from the orthorhombic to P4 2/ nmc tetragonal phase transition with decreasing aspect ratio (2 a/(b + c) for orthorhombic and c/a for the tetragonal phase). For quantitative phase analysis, Rietveld refinement is applied to the GIXRD patterns. A progressive phase transition from P2 1/c monoclinic to orthorhombic to tetragonal is confirmed for all dopants, and a strong relationship between orthorhombic phase fraction and remanent polarization value is uniquely demonstrated. The concentration range for the ferroelectric properties was the narrowest for the Si-doped HfO 2 films. As a result, the dopant size is believed to strongly affect the concentration range for the ferroelectric phase stabilization, since small dopants can strongly decrease the free energy of the tetragonal phase due to their shorter metal–oxygen bonds.« less

  18. A comprehensive study on the structural evolution of HfO 2 thin films doped with various dopants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Min Hyuk; Schenk, Tony; Fancher, Christopher M.

    The origin of the unexpected ferroelectricity in doped HfO 2 thin films is now considered to be the formation of a non-centrosymmetric Pca2 1 orthorhombic phase. Due to the polycrystalline nature of the films as well as their extremely small thickness (~10 nm) and mixed orientation and phase composition, structural analysis of doped HfO 2 thin films remains a challenging task. As a further complication, the structural similarities of the orthorhombic and tetragonal phase are difficult to distinguish by typical structural analysis techniques such as X-ray diffraction. To resolve this issue, the changes in the grazing incidence X-ray diffraction (GIXRD)more » patterns of HfO 2 films doped with Si, Al, and Gd are systematically examined. For all dopants, the shift of o111/ t101 diffraction peak is observed with increasing atomic layer deposition (ALD) cycle ratio, and this shift is thought to originate from the orthorhombic to P4 2/ nmc tetragonal phase transition with decreasing aspect ratio (2 a/(b + c) for orthorhombic and c/a for the tetragonal phase). For quantitative phase analysis, Rietveld refinement is applied to the GIXRD patterns. A progressive phase transition from P2 1/c monoclinic to orthorhombic to tetragonal is confirmed for all dopants, and a strong relationship between orthorhombic phase fraction and remanent polarization value is uniquely demonstrated. The concentration range for the ferroelectric properties was the narrowest for the Si-doped HfO 2 films. As a result, the dopant size is believed to strongly affect the concentration range for the ferroelectric phase stabilization, since small dopants can strongly decrease the free energy of the tetragonal phase due to their shorter metal–oxygen bonds.« less

  19. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    PubMed

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  20. The demonstration of significant ferroelectricity in epitaxial Y-doped HfO2 film

    PubMed Central

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori; Akama, Akihiro; Konno, Toyohiko J.; Sakata, Osami; Funakubo, Hiroshi

    2016-01-01

    Ferroelectricity and Curie temperature are demonstrated for epitaxial Y-doped HfO2 film grown on (110) yttrium oxide-stabilized zirconium oxide (YSZ) single crystal using Sn-doped In2O3 (ITO) as bottom electrodes. The XRD measurements for epitaxial film enabled us to investigate its detailed crystal structure including orientations of the film. The ferroelectricity was confirmed by electric displacement filed – electric filed hysteresis measurement, which revealed saturated polarization of 16 μC/cm2. Estimated spontaneous polarization based on the obtained saturation polarization and the crystal structure analysis was 45 μC/cm2. This value is the first experimental estimations of the spontaneous polarization and is in good agreement with the theoretical value from first principle calculation. Curie temperature was also estimated to be about 450 °C. This study strongly suggests that the HfO2-based materials are promising for various ferroelectric applications because of their comparable ferroelectric properties including polarization and Curie temperature to conventional ferroelectric materials together with the reported excellent scalability in thickness and compatibility with practical manufacturing processes. PMID:27608815

  1. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  2. A simple spectrophotometric method for determination of zirconium or hafnium in selected molybdenum-base alloys

    NASA Technical Reports Server (NTRS)

    Dupraw, W. A.

    1972-01-01

    A simple analytical procedure is described for accurately and precisely determining the zirconium or hafnium content of molybdenum-base alloys. The procedure is based on the reaction of the reagent Arsenazo III with zirconium or hafnium in strong hydrochloric acid solution. The colored complexes of zirconium or hafnium are formed in the presence of molybdenum. Titanium or rhenium in the alloy have no adverse effect on the zirconium or hafnium complex at the following levels in the selected aliquot: Mo, 10 mg; Re, 10 mg; Ti, 1 mg. The spectrophotometric measurement of the zirconium or hafnium complex is accomplished without prior separation with a relative standard deviation of 1.3 to 2.7 percent.

  3. Hafnia-based resistive switching devices for non-volatile memory applications and effects of gamma irradiation on device performance

    NASA Astrophysics Data System (ADS)

    Arun, N.; Kumar, K. Vinod; Pathak, A. P.; Avasthi, D. K.; Nageswara Rao, S. V. S.

    2018-04-01

    Non-volatile memory (NVM) devices were fabricated as a Metal- Insulator-Metal (MIM) structures by sandwiching Hafnium dioxide (HfO2) thin film in between two metal electrodes. The top and bottom metal electrodes were deposited by using the thermal evaporation, and the oxide layer was deposited by using the RF magnetron sputtering technique. The Resistive Random Access Memory (RRAM) device structures such as Ag/HfO2/Au/Si were fabricated and I-V characteristics for the pristine and gamma-irradiated devices with a dose 24 kGy were measured. Further we have studied the thermal annealing effects, in the range of 100°-400°C in a tubular furnace for the HfO2/Au/Si samples. The X-ray diffraction (XRD), Rutherford Backscattering Spectrometry (RBS), field emission-scanning electron microscopy (FESEM) analysis measurements were performed to determine the thickness, crystallinity and stoichiometry of these films. The electrical characteristics such as resistive switching, endurance, retention time and switching speed were measured by a semiconductor device analyser. The effects of gamma irradiation on the switching properties of these RRAM devices have been studied.

  4. Role of point defects and HfO2/TiN interface stoichiometry on effective work function modulation in ultra-scaled complementary metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Pandey, R. K.; Sathiyanarayanan, Rajesh; Kwon, Unoh; Narayanan, Vijay; Murali, K. V. R. M.

    2013-07-01

    We investigate the physical properties of a portion of the gate stack of an ultra-scaled complementary metal-oxide-semiconductor (CMOS) device. The effects of point defects, such as oxygen vacancy, oxygen, and aluminum interstitials at the HfO2/TiN interface, on the effective work function of TiN are explored using density functional theory. We compute the diffusion barriers of such point defects in the bulk TiN and across the HfO2/TiN interface. Diffusion of these point defects across the HfO2/TiN interface occurs during the device integration process. This results in variation of the effective work function and hence in the threshold voltage variation in the devices. Further, we simulate the effects of varying the HfO2/TiN interface stoichiometry on the effective work function modulation in these extremely-scaled CMOS devices. Our results show that the interface rich in nitrogen gives higher effective work function, whereas the interface rich in titanium gives lower effective work function, compared to a stoichiometric HfO2/TiN interface. This theoretical prediction is confirmed by the experiment, demonstrating over 700 meV modulation in the effective work function.

  5. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  6. Band alignment of 2D WS2/HfO2 interfaces from x-ray photoelectron spectroscopy and first-principles calculations

    NASA Astrophysics Data System (ADS)

    Zhu, H. L.; Zhou, C. J.; Tang, B. S.; Yang, W. F.; Chai, J. W.; Tay, W. L.; Gong, H.; Pan, J. S.; Zou, W. D.; Wang, S. J.; Chi, D. Z.

    2018-04-01

    We report on the growth of two-dimensional (2D) WS2 on high-k HfO2/Si substrates by reactive sputtering deposition. Raman, x-ray photoelectron spectroscopy (XPS), and high-resolution transmission electron microscopy characterizations indicate that the 2D WS2 layers exhibit high-quality crystallinity and exact stoichiometry. Through high-resolution XPS valence spectra, we find a type I alignment at the interface of monolayer WS2/HfO2 with a valence band offset (VBO) of 1.95 eV and a conduction band offset (CBO) of 1.57 eV. The VBO and CBO are also found to increase up to 2.24 eV and 2.09 eV, respectively, with increasing WS2 layers. This is consistent with the results obtained from our first-principles calculations. Our theoretical calculations reveal that the remarkable splitting and shift of the W 5 d z 2 orbital originating from interlayer orbital coupling in thicker WS2 films induce a reduction of its bandgap, leading to an increase in both the VBO and CBO. This observation can be attributed to the asymmetric splitting at different high symmetric k-points caused by the interlayer orbital coupling.

  7. Influence of nitrogen-related defects on optical and electrical behaviour in HfO2-xNx deposited by high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; McCulloch, D. G.; Partridge, J. G.

    2015-09-01

    HfO2-xNx films have been deposited by high-power impulse magnetron sputtering in an Ar-O2-N2 atmosphere with a series of nitrogen partial pressures. X-ray absorption spectroscopy revealed the optimum deposition conditions required to passivate O vacancies in the HfO2-xNx films by nitrogen. Low-mobility interstitial species prevent crystallisation of nitrogen-incorporated films. These effects combine to remove leakage paths resulting in superior breakdown strengths compared to films deposited without nitrogen. The bandgap was maintained at ˜5.9 eV in the films in which nitrogen passivated the oxygen vacancies. This is essential to provide sufficient band offsets for HfO2-xNx films to be used an effective gate dielectric.

  8. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    PubMed Central

    González, Gabriel; Kolosovas-Machuca, Eleazar Samuel; López-Luna, Edgar; Hernández-Arriaga, Heber; González, Francisco Javier

    2015-01-01

    In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers. PMID:25602271

  9. Understanding the Structure of High-K Gate Oxides - Oral Presentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-25

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  10. Understanding the Structure of Amorphous Thin Film Hafnia - Final Paper

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-27

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  11. Hafnium isotope evidence for a transition in the dynamics of continental growth 3.2 Gyr ago.

    PubMed

    Næraa, T; Scherstén, A; Rosing, M T; Kemp, A I S; Hoffmann, J E; Kokfelt, T F; Whitehouse, M J

    2012-05-30

    Earth's lithosphere probably experienced an evolution towards the modern plate tectonic regime, owing to secular changes in mantle temperature. Radiogenic isotope variations are interpreted as evidence for the declining rates of continental crustal growth over time, with some estimates suggesting that over 70% of the present continental crustal reservoir was extracted by the end of the Archaean eon. Patterns of crustal growth and reworking in rocks younger than three billion years (Gyr) are thought to reflect the assembly and break-up of supercontinents by Wilson cycle processes and mark an important change in lithosphere dynamics. In southern West Greenland numerous studies have, however, argued for subduction settings and crust growth by arc accretion back to 3.8 Gyr ago, suggesting that modern-day tectonic regimes operated during the formation of the earliest crustal rock record. Here we report in situ uranium-lead, hafnium and oxygen isotope data from zircons of basement rocks in southern West Greenland across the critical time period during which modern-like tectonic regimes could have initiated. Our data show pronounced differences in the hafnium isotope-time patterns across this interval, requiring changes in the characteristics of the magmatic protolith. The observations suggest that 3.9-3.5-Gyr-old rocks differentiated from a >3.9-Gyr-old source reservoir with a chondritic to slightly depleted hafnium isotope composition. In contrast, rocks formed after 3.2 Gyr ago register the first additions of juvenile depleted material (that is, new mantle-derived crust) since 3.9 Gyr ago, and are characterized by striking shifts in hafnium isotope ratios similar to those shown by Phanerozoic subduction-related orogens. These data suggest a transitional period 3.5-3.2 Gyr ago from an ancient (3.9-3.5 Gyr old) crustal evolutionary regime unlike that of modern plate tectonics to a geodynamic setting after 3.2 Gyr ago that involved juvenile crust generation by plate

  12. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    NASA Astrophysics Data System (ADS)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  13. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric

    PubMed Central

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-01

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS2) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS2 and an ultra-thin HfO2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS2-HfO2 interface is responsible for the generation of interface states with a density (Dit) reaching ~7.03 × 1011 cm−2 eV−1. This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in Dit could be achieved by thermally diffusing S atoms to the MoS2-HfO2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS2 devices with carrier transport enhancement. PMID:28084434

  14. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    PubMed

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  15. Ozone and TFA impacts in North America from degradation of 2,3,3,3-Tetrafluoropropene (HFO-1234yf), a potential greenhouse gas replacement.

    PubMed

    Luecken, Deborah J; L Waterland, Robert; Papasavva, Stella; Taddonio, Kristen N; Hutzell, William T; Rugh, John P; Andersen, Stephen O

    2010-01-01

    We use a regional-scale, three-dimensional atmospheric model to evaluate U.S. air quality effects that would result from replacing HFC-134a in automobile air conditioners in the U.S. with HFO-1234yf. Although HFO-1234yf produces tropospheric ozone, the incremental amount is small, averaging less than 0.01% of total ozone formed during the simulation. We show that this production of ozone could be compensated for by a modest improvement in air conditioner efficiency. Atmospheric decomposition of HFO-1234yf produces trifluoroacetic acid (TFA), which is subject to wet and dry deposition. Deposition and concentrations of TFA are spatially variable due to HFO-1234yf's short atmospheric lifetime, with more localized peaks and less global transport when compared to HFC-134a. Over the 2.5 month simulation, deposition of TFA in the continental U.S. from mobile air conditioners averages 0.24 kg km(-2), substantially higher than previous estimates from all sources of current hydrofluorocarbons. Automobile air conditioning HFO-1234yf emissions are predicted to produce concentrations of TFA in Eastern U.S. rainfall at least double the values currently observed from all sources, natural and man-made. Our model predicts peak concentrations in rainfall of 1264 ng L(-1), a level that is 80x lower than the lowest level considered safe for the most sensitive aquatic organisms.

  16. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  17. 40 CFR 471.90 - Applicability; description of the zirconium-hafnium forming subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... zirconium-hafnium forming subcategory. 471.90 Section 471.90 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Zirconium-Hafnium Forming Subcategory § 471.90 Applicability; description of the zirconium-hafnium forming subcategory. This subpart applies to discharges of pollutants to waters of the...

  18. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    DTIC Science & Technology

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  19. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  20. 40 CFR 421.330 - Applicability: Description of the primary zirconium and hafnium subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... primary zirconium and hafnium subcategory. 421.330 Section 421.330 Protection of Environment ENVIRONMENTAL... CATEGORY Primary Zirconium and Hafnium Subcategory § 421.330 Applicability: Description of the primary zirconium and hafnium subcategory. The provisions of this subpart are applicable to discharges resulting...

  1. Mechanism for atmosphere dependence of laser damage morphology in HfO2/SiO2 high reflective films

    NASA Astrophysics Data System (ADS)

    Pu, Yunti; Ma, Ping; Chen, Songlin; Zhu, Jiliang; Wang, Gang; Pan, Feng; Sun, Ping; Zhu, Xiaohong; Zhu, Jianguo; Xiao, Dingquan

    2012-07-01

    We show in this paper single-shot and multi-shot laser-induced damage thresholds (LIDTs) of HfO2/SiO2 high reflective films (the reflectance = 99.9%) are affected by the presence of a water layer absorbed on the surface of the porous films. When the water layer was removed with the process of pumping, the single-shot LIDT measured in vacuum dropped to ˜48% of that measured in air, while the multi-shot LIDT in vacuum dropped to ˜47% of its atmospheric value for the high reflective films. Typical damage micrographs of the films in air and in vacuum were obtained, showing distinct damage morphologies. Such atmosphere dependence of the laser damage morphology was found to originate from that formation of a water layer on the surface of porous films could cause an increase of horizontal thermal conductivity and a reduction of vertical thermal conductivity. Moreover, laser-induced periodic ripple damages in air were found in the SiO2 layer from the micrographs. A model of deformation kinematics was used to illustrate the occurrence of the periodic ripple damage, showing that it could be attributed to a contraction of the HfO2 layer under irradiation by the 5-ns laser pulses in air.

  2. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer

    NASA Astrophysics Data System (ADS)

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-01

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd)4Ti3O12 films as insulator, and HfO2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO2 defect control layer shows a low leakage current density of 3.1 × 10-9 A/cm2 at a gate voltage of - 3 V.

  3. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  4. Stabilization of the cubic phase of HfO2 by Y addition in films grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Rauwel, E.; Dubourdieu, C.; Holländer, B.; Rochat, N.; Ducroquet, F.; Rossell, M. D.; Van Tendeloo, G.; Pelissier, B.

    2006-07-01

    Addition of yttrium in HfO2 thin films prepared on silicon by metal organic chemical vapor deposition is investigated in a wide compositional range (2.0-99.5at.%). The cubic structure of HfO2 is stabilized for 6.5at.%. The permittivity is maximum for yttrium content of 6.5-10at.%; in this range, the effective permittivity, which results from the contribution of both the cubic phase and silicate phase, is of 22. These films exhibit low leakage current density (5×10-7A /cm2 at -1V for a 6.4nm film). The cubic phase is stable upon postdeposition high temperature annealing at 900°C under NH3.

  5. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  6. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  7. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  8. Alkali metal hafnium oxide scintillators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bourret-Courchesne, Edith; Derenzo, Stephen E.; Taylor, Scott Edward

    The present invention provides for a composition comprising an inorganic scintillator comprising an alkali metal hafnate, optionally cerium-doped, having the formula A 2HfO 3:Ce; wherein A is an alkali metal having a valence of 1, such as Li or Na; and the molar percent of cerium is 0% to 100%. The alkali metal hafnate are scintillators and produce a bright luminescence upon irradiation by a suitable radiation.

  9. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    NASA Astrophysics Data System (ADS)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  10. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  11. Response to comment on "Environmental fate of the next generation refrigerant 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    DOE PAGES

    Im, Jeongdae; Walshe-Langford, Gillian E.; Moon, Ji Won; ...

    2015-06-11

    In this study, refrigerant 2,3,3,3-tetrafluoropropene (HFO-1234yf) has been developed for use in mobile air conditioning systems to replace 1,1,1,2-tetrafluoroethane (HFC-134a), which has a much greater global warming potential.

  12. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer.

    PubMed

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-27

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd) 4 Ti 3 O 12 films as insulator, and HfO 2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO 2 defect control layer shows a low leakage current density of 3.1 × 10 -9  A/cm 2 at a gate voltage of - 3 V.

  13. A force field for 3,3,3-fluoro-1-propenes, including HFO-1234yf.

    PubMed

    Raabe, Gabriele; Maginn, Edward J

    2010-08-12

    The European Union (EU) legislation 2006/40/EC bans from January 2011 the cooperative marketing of new car types that use refrigerants in their heating, ventilation, and air conditioning (HVAC) systems with global warming potentials (GWP) higher than 150. Thus, the phase-out of the presently used tetrafluoroethane refrigerant R134a necessitates the adoption of alternative refrigerants. Fluoropropenes such as 2,3,3,3-tetrafluoro-1-propene (HFO-1234yf) are currently regarded as promising low GWP refrigerants, but the lack of experimental data on their thermophysical properties hampers independent studies on their performance in HVAC systems or in other technical applications. In principle, molecular modeling can be used to predict the relevant properties of refrigerants, but adequate intermolecular potential functions ("force fields") are lacking for fluoropropenes. Thus, we developed a transferable force field for fluoropropenes composed of CF(3)-, -CF=, -CH=, CF(2)=, and CH(2)= groups and applied the force field to study 3,3,3 trifluoro-1-propene (HFO-1243zf), 2,3,3,3-tetrafluoro-1-propene (HFO-1234yf), and hexafluoro-1-propene (HFO-1216). We performed Gibbs ensemble simulations on these three fluoropropenes to compute the vapor pressure, saturated densities, and heats of vaporization. In addition, molecular dynamics simulations were conducted to provide predictions for the density, thermal expansivity, isobaric heat capacity, and transport properties of liquid HFO-1234yf in the temperature range from 263.15 to 310 K and pressures up to 2 MPa. Agreement between simulation results and experimental data and/or correlations (when available) was good, thereby validating the predictive ability of the force field.

  14. Electronic structure of strongly reduced (1 ‾ 1 1) surface of monoclinic HfO2

    NASA Astrophysics Data System (ADS)

    Cheng, YingXing; Zhu, Linggang; Ying, Yile; Zhou, Jian; Sun, Zhimei

    2018-07-01

    Material surface is playing an increasingly important role in electronic devices as their size down to nanoscale. Here, by first-principles calculations we studied the surface oxygen-vacancies (Vos) induced electronic-structure variation of HfO2 , in order to explore its potential applications in surface-controlled electronic devices. Firstly, it is found that single Vo tends to segregate onto the surface and attracts each other as they form pairs, making the formation of vacancies-contained functional surface possible. Then extensive Vo-chains whose formation/rupture can represent the high/low conductivity state are constructed. The electronic states induced by the Vos remain localized in the band-gap region for most of the Vo-chains studied here. A transition to a metallic conductance is found in metastable Vo-chain with formation energy increased by 0.25 eV per Vo. Moreover, we highlight the significance of the Hubbard U correction for density functional theory when studying the electronic-structure based conductance in the oxides. By comprehensive calculations, we find a conductivity-stability dilemma of the Vo-chains, providing guideline for understanding and designing the electronic devices based on HfO2 surface.

  15. Hafnium transistor design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2008-01-01

    A design methodology is presented that uses the EKV model and the g(m)/I(D) biasing technique to design hafnium oxide field effect transistors that are suitable for neural recording circuitry. The DC gain of a common source amplifier is correlated to the structural properties of a Field Effect Transistor (FET) and a Metal Insulator Semiconductor (MIS) capacitor. This approach allows a transistor designer to use a design flow that starts with simple and intuitive 1-D equations for gain that can be verified in 1-D MIS capacitor TCAD simulations, before final TCAD process verification of transistor properties. The DC gain of a common source amplifier is optimized by using fast 1-D simulations and using slower, complex 2-D simulations only for verification. The 1-D equations are used to show that the increased dielectric constant of hafnium oxide allows a higher DC gain for a given oxide thickness. An additional benefit is that the MIS capacitor can be employed to test additional performance parameters important to an open gate transistor such as dielectric stability and ionic penetration.

  16. Microwave digestion-assisted HFO/biochar adsorption to recover phosphorus from swine manure.

    PubMed

    Zhang, Tao; Xu, Haoyu; Li, Huanhuan; He, Xinyue; Shi, Yuanji; Kruse, Andrea

    2018-04-15

    A sustainable management option for dealing with waste straw is to pyrolyze it to create biochar, which can then be used as a sorbent in pollution treatments, such as the recovery of phosphorus (P) from swine manure. However, the inability to directly capture soluble organic P (OP) and sparingly soluble P and the low selectivity of biochar remain key issues in this process. To overcome these, we investigated a microwave (MW) digestion pretreatment with a HFO/biochar adsorption process. The MW digestion-assisted treatment showed good performance for the solubilization of OP and sparingly soluble P. Optimized conditions (temperature=348K, time=45min, H 2 O 2 =3mL/30mL, HCl=0.13%) achieved an inorganic phosphorus (IP) release ratio of 83.98% and a total phosphorus (TP) release ratio of 91.83%. The P adsorption on the HFO/biochar was confirmed to follow pseudo-second-order kinetics, indicating that the P adsorption process was mainly controlled by chemical processes. The Freundlich model offered the best fit to the experimental data. The maximum amount of P adsorbed on HFO/biochar was in the range of 51.71-56.15mg/g. Thermodynamic calculations showed that the P adsorption process was exothermic, spontaneous, and increased the disorder in the system. Saturated adsorbed HFO/biochar was able to continually release P and was most suitable for use in an alkaline soil. The amount of P released from saturated adsorbed HFO/biochar reached 8.16mg/g after five interval extractions. A P mass balance indicated that 8.76% of the TP was available after the solubilization, capture, and recovery processes. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Resistive switching of Sn-doped In2O3/HfO2 core-shell nanowire: geometry architecture engineering for nonvolatile memory.

    PubMed

    Huang, Chi-Hsin; Chang, Wen-Chih; Huang, Jian-Shiou; Lin, Shih-Ming; Chueh, Yu-Lun

    2017-05-25

    Core-shell NWs offer an innovative approach to achieve nanoscale metal-insulator-metal (MIM) heterostructures along the wire radial direction, realizing three-dimensional geometry architecture rather than planar type thin film devices. This work demonstrated the tunable resistive switching characteristics of ITO/HfO 2 core-shell nanowires with controllable shell thicknesses by the atomic layer deposition (ALD) process for the first time. Compared to planar HfO 2 thin film device configuration, ITO/HfO 2 core-shell nanowire shows a prominent resistive memory behavior, including lower power consumption with a smaller SET voltage of ∼0.6 V and better switching voltage uniformity with variations (standard deviation(σ)/mean value (μ)) of V SET and V RESET from 0.38 to 0.14 and from 0.33 to 0.05 for ITO/HfO 2 core-shell nanowire and planar HfO 2 thin film, respectively. In addition, endurance over 10 3 cycles resulting from the local electric field enhancement can be achieved, which is attributed to geometry architecture engineering. The concept of geometry architecture engineering provides a promising strategy to modify the electric-field distribution for solving the non-uniformity issue of future RRAM.

  18. Assessing the impact of atomic oxygen in the damage threshold and stress of Hafnia films grown by ion beam sputter deposition

    NASA Astrophysics Data System (ADS)

    Patel, D.; Wang, Y.; Larotonda, M.; Lovewell, J.; Jensen, J.; Hsiao, K. J.; Krous, E.; Rocca, J. J.; Menoni, C. S.; Tomasel, F.; Kholi, S.; McCurdy, P.

    2007-01-01

    Hafnium oxide (HfO II) is undoubtedly one of the most desirable high-index optical coatings for high power laser applications. One of the key goals in the fabrication of oxide films with high Laser Induced Damage Threshold (LIDT) is to minimize the number of film imperfections, in particular stoichiometric defects. For HfO II films deposited by ion beam (reactive) sputtering (IBS) of a hafnium metal target, stoichiometry is controlled by the injection of molecular oxygen, either close to the substrate or mixed with the sputtering gas or some other combination. Good stoichiometry is important to reduce the density of unoxidized particles buried in the coatings, which affect the LIDT. This work evaluates the potential advantages of using pre-activation of oxygen in the IBS of HfO II, with special emphasis on its impact on LIDT and film stress. For the experiments, oxygen was activated by an independent plasma source and then introduced into a commercial IBS chamber. The optical properties of the films were characterized using spectrophotometry and ellipsometry. Their structural quality and composition were determined from x-ray diffraction and x-ray photoelectron emission spectroscopy. The stress was determined from interferometer measurements. For optimized conditions, 2.5 J/cm2 LIDT was measured on HfO II films at λ=800 nm with 1 ps and 25 mJ pulses from a chirped amplification Ti:Sapphire laser. In the range of oxygen variations under consideration the effects on LIDT are shown to be minimal.

  19. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  20. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    PubMed

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (<5 V) pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  1. DuPont Petition to Exempt HFO from VOC List

    EPA Pesticide Factsheets

    Petition to exclude the chemcial 1, 1, 4, 4-hexafluorobut-2-ene (HFO 1336 mzz-Z) from the definition of volatile organic compound (VOC) and therefore allow it to be used in the US without regulation as a potential precursor to tropospheric ozone.

  2. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  3. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    NASA Astrophysics Data System (ADS)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  4. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  5. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  6. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.; Hutcheon, J.M.

    1956-08-21

    Substantially complete separation of zirconium from hafnium may be obtained by elution of ion exchange material, on which compounds of the elements are adsorbed, with an approximately normal solution of sulfuric acid. Preferably the acid concentration is between 0.8 N amd 1.2 N, amd should not exceed 1.5 N;. Increasing the concentration of sulfate ion in the eluting solution by addition of a soluble sulfate, such as sodium sulfate, has been found to be advantageous. The preferred ion exchange materials are sulfonated polystyrene resins such as Dowex 50,'' and are preferably arranged in a column through which the solutions are passed.

  7. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    PubMed

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  8. Monoclinic to cubic phase transformation and photoluminescence properties in Hf1-xSmxO2 (x = 0-0.12) nanoparticles

    NASA Astrophysics Data System (ADS)

    Kumar, Sandeep; Rai, S. B.; Rath, Chandana

    2018-02-01

    Bulk hafnium oxide (HfO2) exhibits the monoclinic phase at room temperature which transforms to tetragonal and cubic phases at 1700 and 2600 °C, respectively, under ambient conditions. For the first time, we observe a monoclinic to stable cubic phase transformation at room temperature in nanoparticles of HfO2 by incorporating Sm3+ ions up to 12 at. %. Although the monoclinic structure is retained at 1 at. % of Sm, a mixed phase of monoclinic and cubic is observed at intermediate Sm concentration (5-11 at. %). Le-Bail profile refinement of X-ray diffraction patterns confirms the monoclinic to cubic phase transformation with increasing Sm3+ ion concentration. While the significant difference in ionic radii of Sm3+ and Hf4+ ion induces strain in the lattice above 9 at. %, a lower valency of Sm produces oxygen vacancy leading to 8-fold coordination with Sm3+ ion and stabilizes the cubic phase at room temperature. Not only the particle size obtained from transmission electron micrograph (TEM) matches well with the size calculated from the Williamson-Hall plot, the lattice spacing estimated from high resolution TEM also confirms the monoclinic and cubic phases in HfO2 and Hf0.88Sm0.12O2, respectively. Apart from phase transformation induced by Sm3+ ions, photoluminescence studies demonstrate an excellent emission in near green and red regions in Hf1-xSmxO2 nanoparticles. A schematic energy band diagram has been proposed based on the excitation and emission processes involved in HfO2 and Hf0.99Sm0.01O2 nanoparticles.

  9. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  10. Simultaneous determination of tantalum and hafnium in silicates by neutron activation analysis

    USGS Publications Warehouse

    Greenland, L.P.

    1968-01-01

    A neutron activation procedure suitable for the routine determination of tantalum and hafnium in silicates is described. The irradiated sample is fused with sodium peroxide and leached, and the insoluble hydroxides are dissolved in dilute hydrofluoric acid-hydrochloric acid. After LaF3 and AgCl scavenges, tantalum and hafnium are separated by anion exchange. Tantalum is obtained radiochemically pure; 233Pa and 95Zr contaminants in the hafnium fraction are resolved by ??-ray spectrometry. The chemical yield of the procedure is detemined after counting by re-irradiation. Values for the 8 U.S. Geological Survey standard rocks are reported. ?? 1968.

  11. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  12. The Hot-Pressing of Hafnium Carbide (Melting Point, 7030 F)

    NASA Technical Reports Server (NTRS)

    Sanders, William A.; Grisaffe, Salvatore J.

    1960-01-01

    An investigation was undertaken to determine the effects of the hot-pressing variables (temperature, pressure, and time) on the density and grain size of hafnium carbide disks. The purpose was to provide information necessary for the production of high-density test shapes for the determination of physical and mechanical properties. Hot-pressing of -325 mesh hafnium carbide powder was accomplished with a hydraulic press and an inductively heated graphite die assembly. The ranges investigated for each variable were as follows: temperature, 3500 to 4870 F; pressure, 1000 to 6030 pounds per square inch; and time, 5 to 60 minutes. Hafnium carbide bodies of approximately 98 percent theoretical density can be produced under the following minimal conditions: 4230 F, 3500 pounds per square inch, and 15 minutes. Further increases in temperature and time resulted only in greater grain size.

  13. Zirconium and hafnium in the southeastern Atlantic States

    USGS Publications Warehouse

    Mertie, J.B.

    1958-01-01

    The principal source of zirconium and hafnium is zircon, though a minor source is baddeleyite, mined only in Brazil. Zircon is an accessory mineral in igneous, metamorphic, and sedimentary rocks, but rarely occurs in hardrock in minable quantities. The principal sources of zircon are therefore alluvial deposits, which are mined in many countries of five continents. The principal commercial deposits in the United States are in Florida, though others exist elsewhere in the southeastern Coastal Plain. The evidence indicates that conditions for the accumulation of workable deposits of heavy minerals were more favorable during the interglacial stages of the Pleistocene epoch than during Recent time. Therefore detrital ores of large volume and high tenor are more likely to be found in the terrace deposits than along the present beaches. Other concentrations of heavy minerals, however, are possible at favored sites close to the Fall Line where the Tuscaloosa formation rests upon the crystalline rocks of the Piedmont province. A score of heavy and semiheavy minerals occur in the detrital deposits of Florida, but the principal salable minerals are ilmenite, leucoxene, rutile, and zircon, though monazite and staurolite are saved at some mining plants. Commercial deposits of heavy minerals are generally required to have a tenor of 4 percent, though ores with a lower tenor can be mined at a profit if the content of monazite is notably high. The percentages of zircon in the concentrates ranges from 10 to 16 percent, and in eastern Florida from 13 to 15 percent. Thus the tenor in zircon of the ore-bearing sands ranges from 0.4 to 0.6 percent. The content of hafnium in zircon is immaterial for many uses, but for some purposes very high or very low tenors in hafnium are required. Alluvial zircon cannot be separated into such varieties, which, if needed, must be obtained from sources in bedrock. It thus becomes necessary to determine the Hf : Zr ratios in zircon from many kinds of

  14. Effect of cathode cooling efficiency and oxygen plasma gas pressure on the hafnium cathode wall temperature

    NASA Astrophysics Data System (ADS)

    Ashtekar, Koustubh; Diehl, Gregory; Hamer, John

    2012-10-01

    The hafnium cathode is widely used in DC plasma arc cutting (PAC) under an oxygen gas environment to cut iron and iron alloys. The hafnium erosion is always a concern which is controlled by the surface temperature. In this study, the effect of cathode cooling efficiency and oxygen gas pressure on the hafnium surface temperature are quantified. The two layer cathode sheath model is applied on the refractive hafnium surface while oxygen species (O2, O, O+, O++, e-) are considered within the thermal dis-equilibrium regime. The system of non-linear equations comprising of current density balance, heat flux balance at both the cathode surface and the sheath-ionization layer is coupled with the plasma gas composition solver. Using cooling heat flux, gas pressure and current density as inputs; the cathode wall temperature, electron temperature, and sheath voltage drop are calculated. Additionally, contribution of emitted electron current (Je) and ions current (Ji) to the total current flux are estimated. Higher gas pressure usually reduces Ji and increases Je that reduces the surface temperature by thermionic cooling.

  15. Integration of lead-free ferroelectric on HfO2/Si (100) for high performance non-volatile memory applications

    PubMed Central

    Kundu, Souvik; Maurya, Deepam; Clavel, Michael; Zhou, Yuan; Halder, Nripendra N.; Hudait, Mantu K.; Banerji, Pallab; Priya, Shashank

    2015-01-01

    We introduce a novel lead-free ferroelectric thin film (1-x)BaTiO3-xBa(Cu1/3Nb2/3)O3 (x = 0.025) (BT-BCN) integrated on to HfO2 buffered Si for non-volatile memory (NVM) applications. Piezoelectric force microscopy (PFM), x-ray diffraction, and high resolution transmission electron microscopy were employed to establish the ferroelectricity in BT-BCN thin films. PFM study reveals that the domains reversal occurs with 180° phase change by applying external voltage, demonstrating its effectiveness for NVM device applications. X-ray photoelectron microscopy was used to investigate the band alignments between atomic layer deposited HfO2 and pulsed laser deposited BT-BCN films. Programming and erasing operations were explained on the basis of band-alignments. The structure offers large memory window, low leakage current, and high and low capacitance values that were easily distinguishable even after ~106 s, indicating strong charge storage potential. This study explains a new approach towards the realization of ferroelectric based memory devices integrated on Si platform and also opens up a new possibility to embed the system within current complementary metal-oxide-semiconductor processing technology. PMID:25683062

  16. 77 FR 16988 - Protection of Stratospheric Ozone: Amendment to HFO-1234yf SNAP Rule for Motor Vehicle Air...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-03-23

    ... Protection of Stratospheric Ozone: Amendment to HFO-1234yf SNAP Rule for Motor Vehicle Air Conditioning... hydrofluoroolefin (HFO)-1234yf (2,3,3,3-tetrafluoroprop-1-ene), a substitute for ozone- depleting substances (ODSs... EPA's Stratospheric Ozone Web site at http://www.epa.gov/ozone/snap/regs . The full list of SNAP...

  17. Review of anhydrous zirconium-hafnium separation techniques. Information circular/1984

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skaggs, R.L.; Rogers, D.T.; Hunter, D.B.

    1983-12-01

    Sixteen nonaqueous techniques conceived to replace the current aqueous scheme for separating hafnium and zirconium tetrachlorides were reviewed and evaluated by the Bureau of Mines. The methods are divided into two classes: separation by fractional volatilization of the tetrachlorides, which takes advantage of the higher volatility of hafnium tetrachloride; and separation by chemical techniques, based on differences in chemical behavior of the two tetrachlorides. The criteria used to evaluate separation methods were temperature, pressure, separation factor per equilibrium stage, complexity, compatibility with existing technology, and potential for continuous operation. Three processes were selected as being most promising: (1) high-pressure distillation,more » (2) extractive distillation from a molten salt, and (3) preferential reduction of gaseous ZrCl4. Any of the proposed nonaqueous Hf-Zr separation schemes must be supplemented with additional purification to remove trace impurities.« less

  18. Light-Immune pH Sensor with SiC-Based Electrolyte-Insulator-Semiconductor Structure

    NASA Astrophysics Data System (ADS)

    Lin, Yi-Ting; Huang, Chien-Shiang; Chow, Lee; Lan, Jyun-Ming; Yang, Chia-Ming; Chang, Liann-Be; Lai, Chao-Sung

    2013-12-01

    An electrolyte-insulator-semiconductor (EIS) structure with high-band-gap semiconductor of silicon carbide is demonstrated as a pH sensor in this report. Two different sensing membranes, i.e., gadolinium oxide (Gd2O3) and hafnium oxide (HfO2), were investigated. The HfO2 film deposited by atomic layer deposition (ALD) at low temperature shows high pH sensing properties with a sensitivity of 52.35 mV/pH and a low signal of 4.95 mV due to light interference. The EIS structures with silicon carbide can provide better visible light immunity due to its high band gap that allows pH detection in an outdoor environment without degradation of pH sensitivity.

  19. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  20. Separation of Zirconium and Hafnium: A Review

    NASA Astrophysics Data System (ADS)

    Xu, L.; Xiao, Y.; van Sandwijk, A.; Xu, Q.; Yang, Y.

    Zirconium is an ideal material for nuclear reactors due to its low absorption cross-section for thermal neutrons, whereas the typically contained hafnium with strong neutron-absorption is very harmful for zirconium. This paper provides an overview of the processes for separating hafnium from zirconium. The separation processes are roughly classified into hydro- and pyrometallurgical routes. The current dominant zirconium production route involves pyrometallurgical ore cracking, multi-step hydrometallurgical liquid-liquid extraction for hafnium removal and the reduction of zirconium tetrachloride to the pure metal by the Kroll process. The lengthy hydrometallurgical Zr-Hf separation operations leads to high production cost, intensive labour and heavy environmental burden. Using a compact pyrometallurgical separation method can simplify the whole production flowsheet with a higher process efficiency. The known separation methods are discussed based on the following reaction features: redox characteristics, volatility, electrochemical properties and molten salt extraction. The commercially operating extractive distillation process is a significant advance in Zr-Hf separation technology but it suffers from high process maintenance cost. The recently developed new process based on molten salt-metal equilibrium for Zr-Hf separation shows a great potential for industrial application, which is compact for nuclear grade zirconium production starting from crude ore. In the present paper, the available separation technologies are compared. The advantages and disadvantages as well as future directions of research and development for nuclear grade zirconium production are discussed.

  1. Article having an improved platinum-aluminum-hafnium protective coating

    NASA Technical Reports Server (NTRS)

    Nagaraj, Bangalore Aswatha (Inventor); Williams, Jeffrey Lawrence (Inventor)

    2005-01-01

    An article protected by a protective coating has a substrate and a protective coating having an outer layer deposited upon the substrate surface and a diffusion zone formed by interdiffusion of the outer layer and the substrate. The protective coating includes platinum, aluminum, no more than about 2 weight percent hafnium, and substantially no silicon. The outer layer is substantially a single phase.

  2. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  3. A thermodynamic model for the solubility of HfO2(am) in the aqueous K +– HCO 3 -– CO 3 2-–O -–H 2O system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.

    Solubility of HfO2(am) was determined as a function of KHCO3 concentrations ranging from 0.001 mol·kg-1 to 0.1 mol·kg-1. The solubility of HfO2(am) increased dramatically with the increase in KHCO3 concentrations, indicating that Hf(IV) makes strong complexes with carbonate. Thermodynamic equilibrium constants for the formation of Hf-carbonate complexes were determined using both the Pitzer and SIT models. The dramatic increase in Hf concentrations with the increase in KHCO3 concentrations can best be described by the formation of Hf(OH-)2(CO3)22- and Hf(CO3)56-. The log10 K0 values for the reactions [Hf4++2CO32-+2OH-⇌Hf(OH)2(CO3)22-] and [Hf4++5CO32-⇌Hf(CO3)56-], based on the SIT model, were determined to be 44.53±0.46 andmore » 41.53±0.46, respectively, and based on the Pitzer model they were 44.56±0.48 and 40.20±0.48, respectively.« less

  4. High P-T phase transitions and P-V-T equation of state of hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hrubiak, Rostislav; Drozd, Vadym; Karbasi, Ali

    2016-07-29

    We measured the volume of hafnium at several pressures up to 67 GPa and at temperatures between 300 to 780 K using a resistively heated diamond anvil cell with synchrotron x-ray diffraction at the Advanced Photon Source. The measured data allows us to determine the P-V-T equation of state of hafnium. The previously described [Xia et al., Phys. Rev. B 42, 6736-6738 (1990)] phase transition from hcp ({alpha}) to simple hexagonal ({omega}) phase at 38 GPa at room temperature was not observed even up to 51 GPa. The {omega} phase was only observed at elevated temperatures. Our measurements have alsomore » improved the experimental constraint on the high P-T phase boundary between the {omega} phase and high pressure bcc ({beta}) phase of hafnium. Isothermal room temperature bulk modulus and its pressure derivative for the {alpha}-phase of hafnium were measured to be B{sub 0} = 112.9{+-}0.5 GPa and B{sub 0}'=3.29{+-}0.05, respectively. P-V-T data for the {alpha}-phase of hafnium was used to obtain a fit to a thermodynamic P-V-T equation of state based on model by Brosh et al. [CALPHAD 31, 173-185 (2007)].« less

  5. Interplay between ferroelectric and resistive switching in doped crystalline HfO2

    NASA Astrophysics Data System (ADS)

    Max, Benjamin; Pešić, Milan; Slesazeck, Stefan; Mikolajick, Thomas

    2018-04-01

    Hafnium oxide is widely used for resistive switching devices, and recently it has been discovered that ferroelectricity can be established in (un-)doped hafnium oxide as well. Previous studies showed that both switching mechanisms are influenced by oxygen vacancies. For resistive switching, typically amorphous oxide layers with an asymmetric electrode configuration are used to create a gradient of oxygen vacancies. On the other hand, ferroelectric switching is performed by having symmetric electrodes and requires crystalline structures. The coexistence of both effects has recently been demonstrated. In this work, a detailed analysis of the reversible interplay of both switching mechanisms within a single capacitor cell is investigated. First, ferroelectric switching cycles were applied in order to drive the sample into the fatigued stage characterized by increased concentration of oxygen vacancies in the oxide layer. Afterwards, a forming step that is typical for the resistive switching devices was utilized to achieve a soft breakdown. In the next step, twofold alternation between the high and low resistance state is applied to demonstrate the resistive switching behavior of the device. Having the sample in the high resistance state with a ruptured filament, ferroelectric switching behavior is again shown within the same stack. Interestingly, the same endurance as before was observed without a hard breakdown of the device. Therefore, an effective sequence of ferroelectric—resistive—ferroelectric switching is realized. Additionally, the dependence of the forming, set, and reset voltage on the ferroelectric cycling stage (pristine, woken-up and fatigued) is analyzed giving insight into the physical device operation.

  6. RF sputtered silicon and hafnium nitrides as applied to 440C steel

    NASA Technical Reports Server (NTRS)

    Grill, A.; Aron, P. R.

    1984-01-01

    Silicon nitride and hafnium nitride coatings were deposited on oxidized and unoxidized 440C stainless steel substrates. Sputtering was done in mixtures of argon and nitrogen gases from pressed powder silicon nitride and from hafnium metal targets. The coatings and the interface between the coating and substrate were investigated by X-ray diffractometry, scanning electron microscopy, energy dispersive X-ray analysis and Auger electron spectroscopy. Oxide was found at all interfaces with an interface width of at least 600 A for the oxidized substrates and at least 300 A for the unoxidized substrates. Scratch test results demonstrate that the adhesion of hafnium nitride to both oxidized and unoxidized 440C is superior to that of silicon nitride. Oxidized 440C is found to have increased adhesion, to both nitrides, over that of unoxidized 440C. Coatings of both nitrides deposited at 8 mtorr were found to have increased adhesion to both oxidized and unoxidized 440C over those deposited at 20 mtorr.

  7. The origin of 2.7 eV luminescence and 5.2 eV excitation band in hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perevalov, T. V., E-mail: timson@isp.nsc.ru; Novosibirsk State University, 2 Pirogova St., 630090 Novosibirsk; Aliev, V. Sh.

    2014-02-17

    The origin of a blue luminescence band at 2.7 eV and a luminescence excitation band at 5.2 eV of hafnia has been studied in stoichiometric and non-stoichiometric hafnium oxide films. Experimental and calculated results from the first principles valence band spectra showed that the stoichiometry violation leads to the formation of the peak density of states in the band gap caused by oxygen vacancies. Cathodoluminescence in the non-stoichiometric film exhibits a band at 2.65 eV that is excited at the energy of 5.2 eV. The optical absorption spectrum calculated for the cubic phase of HfO{sub 2} with oxygen vacancies showsmore » a peak at 5.3 eV. Thus, it could be concluded that the blue luminescence band at 2.7 eV and HfO{sub x} excitation peak at 5.2 eV are due to oxygen vacancies. The thermal trap energy in hafnia was estimated.« less

  8. Tensile and stress-rupture behavior of hafnium carbide dispersed molybdenum and tungsten base alloy wires

    NASA Technical Reports Server (NTRS)

    Yun, Hee Mann; Titran, Robert H.

    1993-01-01

    The tensile strain rate sensitivity and the stress-rupture strength of Mo-base and W-base alloy wires, 380 microns in diameter, were determined over the temperature range from 1200 K to 1600 K. Three molybdenum alloy wires; Mo + 1.1w/o hafnium carbide (MoHfC), Mo + 25w/o W + 1.1w/o hafnium carbide (MoHfC+25W) and Mo + 45w/o W + 1.1w/o hafnium carbide (MoHfC+45W), and a W + 0.4w/o hafnium carbide (WHfC) tungsten alloy wire were evaluated. The tensile strength of all wires studied was found to have a positive strain rate sensitivity. The strain rate dependency increased with increasing temperature and is associated with grain broadening of the initial fibrous structures. The hafnium carbide dispersed W-base and Mo-base alloys have superior tensile and stress-rupture properties than those without HfC. On a density compensated basis the MoHfC wires exhibit superior tensile and stress-rupture strengths to the WHfC wires up to approximately 1400 K. Addition of tungsten in the Mo-alloy wires was found to increase the long-term stress rupture strength at temperatures above 1400 K. Theoretical calculations indicate that the strength and ductility advantage of the HfC dispersed alloy wires is due to the resistance to recrystallization imparted by the dispersoid.

  9. Pyroelectric response in crystalline hafnium zirconium oxide (Hf 1- x Zr x O 2 ) thin films

    DOE PAGES

    Smith, S. W.; Kitahara, A. R.; Rodriguez, M. A.; ...

    2017-02-13

    Pyroelectric coefficients were measured for 20 nm thick crystalline hafnium zirconium oxide (Hf 1-xZr xO 2) thin films across a composition range of 0 ≤ x ≤ 1. Pyroelectric currents were collected near room temperature under zero applied bias and a sinusoidal oscillating temperature profile to separate the influence of non-pyroelectric currents. The pyroelectric coefficient was observed to correlate with zirconium content, increased orthorhombic/tetragonal phase content, and maximum polarization response. The largest measured absolute value was 48 μCm -2K -1 for a composition with x = 0.64, while no pyroelectric response was measured for compositions which displayed no remanent polarizationmore » (x = 0, 0.91, 1).« less

  10. Deposition and rainwater concentrations of trifluoroacetic acid in the United States from the use of HFO-1234yf

    NASA Astrophysics Data System (ADS)

    Kazil, J.; McKeen, S.; Kim, S.-W.; Ahmadov, R.; Grell, G. A.; Talukdar, R. K.; Ravishankara, A. R.

    2014-12-01

    Currently, HFC-134a (1,1,1,2-tetrafluoroethane) is the most common refrigerant in automobile air conditioners. This high global warming potential substance (100 year GWP of 1370) will likely be phased out and replaced with HFO-1234yf (2,3,3,3-tetrafluoropropene) that has a 100 year GWP of 4. HFO-1234yf will be oxidized to produce trifluoroacetic acid (TFA) in clouds. TFA, a mildly toxic substance with detrimental effects on some aquatic organisms at high concentrations (≥100μgL-1), would be transported by rain to the surface and enter bodies of water. We investigated the dry and wet deposition of TFA from HFO-1234yf over the contiguous USA using the Advanced Research Weather Research and Forecasting model (ARW) with interactive chemical, aerosol, and cloud processes (WRF/Chem) model. Special focus was placed on emissions from three continental USA regions with different meteorological characteristics. WRF/Chem simulated meteorology, cloud processes, gas and aqueous phase chemistry, and dry and wet deposition between May and September 2006. The model reproduced well the multimonth total sulfate wet deposition (4% bias) and its spatial variability (r = 0.86) observed by the National Atmospheric Deposition Program. HFO-1234yf emissions were obtained by assuming the number of automobile air conditioners to remain unchanged, and substituting HFO-1234yf, mole-per-mole for HFC-134a. Our estimates of current HFC-134a emissions were in agreement with field data. Average TFA rainwater concentration was 0.89μgL-1, with peak values of 7.8μgL-1, for the May-September 2006 period over the contiguous USA. TFA rainwater concentrations over the dry western USA were often significantly higher, but wet-deposited TFA amounts remained relatively low at such locations.

  11. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    NASA Astrophysics Data System (ADS)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  12. Mineral resource of the month: zirconium and hafnium

    USGS Publications Warehouse

    Gambogi, Joseph

    2007-01-01

    Zirconium and hafnium are corrosion-resistant metals that are grouped in the same family as titanium on the periodic table. The two elements commonly occur in oxide and silicate minerals and have significant economic importance in everything from ink, ceramics and golf shoes to nuclear fuel rods.

  13. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  14. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type <1 0 0> Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  15. Three dimensional graphene transistor for ultra-sensitive pH sensing directly in biological media.

    PubMed

    Ameri, Shideh Kabiri; Singh, Pramod K; Sonkusale, Sameer R

    2016-08-31

    In this work, pH sensing directly in biological media using three dimensional liquid gated graphene transistors is presented. The sensor is made of suspended network of graphene coated all around with thin layer of hafnium oxide (HfO2), showing high sensitivity and sensing beyond the Debye-screening limit. The performance of the pH sensor is validated by measuring the pH of isotonic buffered, Dulbecco's phosphate buffered saline (DPBS) solution, and of blood serum derived from Sprague-Dawley rat. The pH sensor shows high sensitivity of 71 ± 7 mV/pH even in high ionic strength media with molarities as high as 289 ± 1 mM. High sensitivity of this device is owing to suspension of three dimensional graphene in electrolyte which provides all around liquid gating of graphene, leading to higher electrostatic coupling efficiency of electrolyte to the channel and higher gating control of transistor channel by ions in the electrolyte. Coating graphene with hafnium oxide film (HfO2) provides binding sites for hydrogen ions, which results in higher sensitivity and sensing beyond the Debye-screening limit. The 3D graphene transistor offers the possibility of real-time pH measurement in biological media without the need for desaltation or sample preparation. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Evaluation of border traps and interface traps in HfO2/MoS2 gate stacks by capacitance–voltage analysis

    NASA Astrophysics Data System (ADS)

    Zhao, Peng; Khosravi, Ava; Azcatl, Angelica; Bolshakov, Pavel; Mirabelli, Gioele; Caruso, Enrico; Hinkle, Christopher L.; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2018-07-01

    Border traps and interface traps in HfO2/few-layer MoS2 top-gate stacks are investigated by C–V characterization. Frequency dependent C–V data shows dispersion in both the depletion and accumulation regions for the MoS2 devices. The border trap density is extracted with a distributed model, and interface traps are analyzed using the high-low frequency and multi-frequency methods. The physical origins of interface traps appear to be caused by impurities/defects in the MoS2 layers, performing as band tail states, while the border traps are associated with the dielectric, likely a consequence of the low-temperature deposition. This work provides a method of using multiple C–V measurements and analysis techniques to analyze the behavior of high-k/TMD gate stacks and deconvolute border traps from interface traps.

  17. Processing and characterization of boron carbide-hafnium diboride ceramics

    NASA Astrophysics Data System (ADS)

    Brown-Shaklee, Harlan James

    Hafnium diboride based ceramics are promising candidate materials for advanced aerospace and nuclear reactor components. The effectiveness of boron carbide and carbon as HfB2 sintering additives was systematically evaluated. In the first stage of the research, boron carbide and carbon additives were found to improve the densification behavior of milled HfB2 powder in part by removing oxides at the HfB2 surface during processing. Boron carbide additives reduced the hot pressing temperature of HfB2 by 150°C compared to carbon, which reduced the hot pressing temperature by ˜50°C. Reduction of oxide impurities alone could not explain the difference in sintering enhancement, however, and other mechanisms of enhancement were evaluated. Boron carbides throughout the homogeneity range were characterized to understand other mechanisms of sintering enhancement in HfB2. Heavily faulted carbon rich and boron rich boron carbides were synthesized for addition to HfB2. The greatest enhancement to densification was observed in samples containing boron- and carbon-rich compositions whereas B6.5 C provided the least enhancement to densification. It is proposed that carbon rich and boron rich boron carbides create boron and hafnium point defects in HfB2, respectively, which facilitate densification. Evaluation of the thermal conductivity (kth) between room temperature and 2000°C suggested that the stoichiometry of the boron carbide additives did not significantly affect kth of HfB2-BxC composites. The improved sinterability and the high kth (˜110 W/m-K at 300K and ˜90 W/m-K at 1000°C ) of HfB2-BxC ceramics make them excellent candidates for isotopically enriched reactor control materials.

  18. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  19. Nonvolatile reconfigurable sequential logic in a HfO2 resistive random access memory array.

    PubMed

    Zhou, Ya-Xiong; Li, Yi; Su, Yu-Ting; Wang, Zhuo-Rui; Shih, Ling-Yi; Chang, Ting-Chang; Chang, Kuan-Chang; Long, Shi-Bing; Sze, Simon M; Miao, Xiang-Shui

    2017-05-25

    Resistive random access memory (RRAM) based reconfigurable logic provides a temporal programmable dimension to realize Boolean logic functions and is regarded as a promising route to build non-von Neumann computing architecture. In this work, a reconfigurable operation method is proposed to perform nonvolatile sequential logic in a HfO 2 -based RRAM array. Eight kinds of Boolean logic functions can be implemented within the same hardware fabrics. During the logic computing processes, the RRAM devices in an array are flexibly configured in a bipolar or complementary structure. The validity was demonstrated by experimentally implemented NAND and XOR logic functions and a theoretically designed 1-bit full adder. With the trade-off between temporal and spatial computing complexity, our method makes better use of limited computing resources, thus provides an attractive scheme for the construction of logic-in-memory systems.

  20. Electrical behaviour of fully solution processed HfO2 (MOS) in presence of different light illumination

    NASA Astrophysics Data System (ADS)

    Mondal, Sandip

    2018-04-01

    This experiment demonstrates the electrical behaviors of fully solution processed HfO2(MOS) in presence of different optical illumination. The capacitance voltage measurement was performed at frequency of 100 kHz with a DC gate sweep voltage of ±5V (with additional AC voltage of 100mV) in presence of deep UV (wavelength of 365nm with power of 25W) as well as white light (20W). It is found that there is a large shift in flatband voltage of 120mV due presence of white light during the CV measurement. However there is negligible change in flatband voltage (30mV) has been observed due to illumination of deep UV light.

  1. Efficient UV-emitting X-ray phosphors: octahedral Zr(PO 4) 6 luminescence centers in potassium hafnium-zirconium phosphates K 2Hf 1- xZr x(PO 4) 2 and KHf 2(1- x) Zr 2 x(PO 4) 3

    NASA Astrophysics Data System (ADS)

    Torardi, C. C.; Miao, C. R.; Li, J.

    2003-02-01

    Potassium hafnium-zirconium phosphates, K 2Hf 1- xZr x(PO 4) 2 and KHf 2(1- x) Zr 2 x(PO 4) 3, are broad-band UV-emitting phosphors. At room temperature, they have emission peak maxima at approximately 322 and 305 nm, respectively, under 30 kV peak molybdenum X-ray excitation. Both phosphors demonstrate luminescence efficiencies that make them up to ˜60% as bright as commercially available CaWO 4 Hi-Plus. The solid-state and flux synthesis conditions, and X-ray excited UV luminescence of these two phosphors are discussed. Even though the two compounds have different atomic structures, they contain zirconium in the same active luminescence environment as that found in highly efficient UV-emitting BaHf 1- xZr x(PO 4) 2. All the three materials have hafnium and zirconium in octahedral coordination via oxygen-atom corner sharing with six separate PO 4 tetrahedra. This octahedral Zr(PO 4) 6 moiety appears to be an important structural element for efficient X-ray excited luminescence, as are the edge-sharing octahedral TaO 6 chains for tantalate emission.

  2. Effect of nitrogen on tensile properties and structures of T-111 (tantalum, 8 percent tungsten, 2 percent hafnium) tubing

    NASA Technical Reports Server (NTRS)

    Buzzard, R. J.; Metroka, R. R.

    1973-01-01

    The effect of controlled nitrogen additions was evaluated on the mechanical properties of T-111 (Ta-8W-2Hf) fuel pin cladding material proposed for use in a lithium-cooled nuclear reactor concept. Additions of 80 to 1125 ppm nitrogen resulted in increased strengthening of T-111 tubular section test specimens at temperatures of 25 to 1200 C. Homogeneous distributions of up to 500 ppm nitrogen did not seriously decrease tensile ductility. Both single and two-phase microstructures, with hafnium nitride as the second phase, were evaluated in this study.

  3. Investigation of gamma radiation induced changes in local structure of borosilicate glass by TDPAC and EXAFS

    NASA Astrophysics Data System (ADS)

    Kumar, Ashwani; Nayak, C.; Rajput, P.; Mishra, R. K.; Bhattacharyya, D.; Kaushik, C. P.; Tomar, B. S.

    2016-12-01

    Gamma radiation induced changes in local structure around the probe atom (Hafnium) were investigated in sodium barium borosilicate (NBS) glass, used for immobilization of high level liquid waste generated from the reprocessing plant at Trombay, Mumbai. The (NBS) glass was doped with 181Hf as a probe for time differential perturbed angular correlation (TDPAC) spectroscopy studies, while for studies using extended X-ray absorption fine structure (EXAFS) spectroscopy, the same was doped with 0.5 and 2 % (mole %) hafnium oxide. The irradiated as well as un-irradiated glass samples were studied by TDPAC and EXAFS techniques to obtain information about the changes (if any) around the probe atom due to gamma irradiation. TDPAC spectra of unirradiated and irradiated glasses were similar and reminescent of amorphous materials, indicating negligible effect of gamma radiation on the microstructure around Hafnium probe atom, though the quaqdrupole interaction frequency ( ω Q) and asymmetry parameter ( η) did show a marginal decrease in the irradiated glass compared to that in the unirradiated glass. EXAFS measurements showed a slight decrease in the Hf-O bond distance upon gamma irradiation of Hf doped NBS glass indicating densification of the glass matrix, while the cordination number around hafnium remains unchanged.

  4. Cathodoluminescence of Irradiated Hafnium Dioxide

    DTIC Science & Technology

    2011-03-01

    d allows for a smaller A for a given C, but the insulator can only become so thin before tunneling occurs, which causes high power consumption and... coefficient is given by 4 Inπα λ = , (2.7) where λ is the vacuum wavelength of the light [11]. Luminescence is the general term for the...between localized states in the band tails, while the 4.2 eV feature is excited due to the interband absorption. Strzhemechny et al., 2008, studied

  5. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  6. Glass-water interactions: Effect of high-valence cations on glass structure and chemical durability

    DOE PAGES

    Pierce, Eric M.; Kerisit, Sebastien N.; Charpentier, Thibault; ...

    2016-02-27

    Spectroscopic measurements, dissolution experiments, and Monte Carlo simulations were performed to investigate the effect of high valence cations (HVC) on the mechanisms of glass dissolution under dilute and near-saturated conditions. Raman and NMR spectroscopy were used to determine the structural changes that occur in glass, specifically network formers (e.g., Al, Si, and B), with the addition of the HVC element hafnium in the Na 2O Al 2O 3 B 2O 3 HfO 2 SiO 2 system (e.g., Na/(Al+B) = 1.0 and HfO 2/SiO 2 from 0.0 to 0.42). Spectroscopic measurements revealed that increasing hafnium content decreases N 4 and increasesmore » the amount of Si–O–Hf moieties in the glass. Results from flow through experiments conducted under dilute and near saturated conditions show a decrease of approximately 100 or more in the dissolution rate over the series from 0 to 20 mol% HfO 2. Comparing the average steady-state rates obtained under dilute conditions to the rates obtained for near-saturated conditions reveal a divergence in the magnitude between the average steady state rates measured in these different conditions. The reason for this divergence was investigated more thoroughly using Monte Carlo simulations. Simulations indicate that the divergence in glass dissolution behavior under dilute and near-saturated conditions result from the formation of a low coordination Si sites when Si from the saturated solution adsorbs to Hf on the glass surface. The residence time of the newly formed low coordination Si sites is longer at the glass surface and increases the density of anchor sites from which altered layers with higher Si densities can form than in the absence of Hf. These results illustrate the importance of understanding solid water/solid-fluid interactions by linking macroscopic reaction kinetics to nanometer scale interfacial processes.« less

  7. Interface Engineering with MoS2 -Pd Nanoparticles Hybrid Structure for a Low Voltage Resistive Switching Memory.

    PubMed

    Wang, Xue-Feng; Tian, He; Zhao, Hai-Ming; Zhang, Tian-Yu; Mao, Wei-Quan; Qiao, Yan-Cong; Pang, Yu; Li, Yu-Xing; Yang, Yi; Ren, Tian-Ling

    2018-01-01

    Metal oxide-based resistive random access memory (RRAM) has attracted a lot of attention for its scalability, temperature robustness, and potential to achieve machine learning. However, a thick oxide layer results in relatively high program voltage while a thin one causes large leakage current and a small window. Owing to these fundamental limitations, by optimizing the oxide layer itself a novel interface engineering idea is proposed to reduce the programming voltage, increase the uniformity and on/off ratio. According to this idea, a molybdenum disulfide (MoS 2 )-palladium nanoparticles hybrid structure is used to engineer the oxide/electrode interface of hafnium oxide (HfO x )-based RRAM. Through its interface engineering, the set voltage can be greatly lowered (from -3.5 to -0.8 V) with better uniformity under a relatively thick HfO x layer (≈15 nm), and a 30 times improvement of the memory window can be obtained. Moreover, due to the atomic thickness of MoS 2 film and high transmittance of ITO, the proposed RRAM exhibits high transparency in visible light. As the proposed interface-engineering RRAM exhibits good transparency, low SET voltage, and a large resistive switching window, it has huge potential in data storage in transparent circuits and wearable electronics with relatively low supply voltage. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Aminopyridinate-FI hybrids, their hafnium and titanium complexes, and their application in the living polymerization of 1-hexene.

    PubMed

    Haas, Isabelle; Dietel, Thomas; Press, Konstantin; Kol, Moshe; Kempe, Rhett

    2013-10-11

    Based on two well-established ligand systems, the aminopyridinato (Ap) and the phenoxyimine (FI) ligand systems, new Ap-FI hybrid ligands were developed. Four different Ap-FI hybrid ligands were synthesized through a simple condensation reaction and fully characterized. The reaction of hafnium tetrabenzyl with all four Ap-FI hybrid ligands exclusively led to mono(Ap-FI) complexes of the type [(Ap-FI)HfBn2 ]. The ligands acted as tetradentate dianionic chelates. Upon activation with tris(pentafluorophenyl)borane, the hafnium-dibenzyl complexes led to highly active catalysts for the polymerization of 1-hexene. Ultrahigh molecular weights and extremely narrow polydispersities support the living nature of this polymerization process. A possible deactivation product of the hafnium catalysts was characterized by single-crystal X-ray analysis and is discussed. The coordination modes of these new ligands were studied with the help of model titanium complexes. The reaction of titanium(IV) isopropoxide with ligand 1 led to a mono(Ap-FI) complex, which showed the desired fac-mer coordination mode. Titanium (IV) isopropoxide reacted with ligand 4 to give a complex of the type [(ApH-FI)2 Ti(OiPr)2 ], which featured the ligand in its monoanionic form. The two titanium complexes were characterized by X-ray crystal-structure analysis. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  10. Electrical Properties and Interfacial Studies of HfxTi1–xO2 High Permittivity Gate Insulators Deposited on Germanium Substrates

    PubMed Central

    Lu, Qifeng; Mu, Yifei; Roberts, Joseph W.; Althobaiti, Mohammed; Dhanak, Vinod R.; Wu, Jingjin; Zhao, Chun; Zhao, Ce Zhou; Zhang, Qian; Yang, Li; Mitrovic, Ivona Z.; Taylor, Stephen; Chalker, Paul R.

    2015-01-01

    In this research, the hafnium titanate oxide thin films, TixHf1–xO2, with titanium contents of x = 0, 0.25, 0.9, and 1 were deposited on germanium substrates by atomic layer deposition (ALD) at 300 °C. The approximate deposition rates of 0.2 Å and 0.17 Å per cycle were obtained for titanium oxide and hafnium oxide, respectively. X-ray Photoelectron Spectroscopy (XPS) indicates the formation of GeOx and germanate at the interface. X-ray diffraction (XRD) indicates that all the thin films remain amorphous for this deposition condition. The surface roughness was analyzed using an atomic force microscope (AFM) for each sample. The electrical characterization shows very low hysteresis between ramp up and ramp down of the Capacitance-Voltage (CV) and the curves are indicative of low trap densities. A relatively large leakage current is observed and the lowest leakage current among the four samples is about 1 mA/cm2 at a bias of 0.5 V for a Ti0.9Hf0.1O2 sample. The large leakage current is partially attributed to the deterioration of the interface between Ge and TixHf1–xO2 caused by the oxidation source from HfO2. Consideration of the energy band diagrams for the different materials systems also provides a possible explanation for the observed leakage current behavior. PMID:28793705

  11. Ion induced crystallization and grain growth of hafnium oxide nano-particles in thin-films deposited by radio frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Dhanunjaya, M.; Khan, S. A.; Pathak, A. P.; Avasthi, D. K.; Nageswara Rao, S. V. S.

    2017-12-01

    We report on the swift heavy ion (SHI) irradiation induced crystallization and grain growth of HfO2 nanoparticles (NPs) within the HfO2 thin-films deposited by radio frequency (RF) magnetron sputtering technique. As grown films consisted of amorphous clusters of non-spherical HfO2 NPs. These amorphous clusters are transformed to crystalline grains under 100 MeV Ag ion irradiation. These crystallites are found to be spherical in shape and are well dispersed within the films. The average size of these crystallites is found to increase with fluence. Pristine and irradiated films have been characterized by high resolution transmission electron microscopy (HRTEM), selected area electron diffraction (SAED), grazing incident x-ray diffraction (GIXRD) and photo luminescence (PL) measurements. The PL measurements suggested the existence of different types of oxygen related defects in pristine and irradiated samples. The observed results on crystallization and grain growth under the influence of SHI are explained within the framework of thermal spike model. The results are expected to provide useful information for understanding the electronic excitation induced crystallization of nanoparticles and can lead to useful applications in electronic and photonic devices.

  12. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    NASA Astrophysics Data System (ADS)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  13. Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Starschich, S.; Böttger, U.; Menzel, S.

    The wake-up effect which is observed in ferroelectric hafnium oxide is investigated in yttrium doped hafnium oxide prepared by chemical solution deposition. It can be shown that not the amount of cycles but the duration of the applied electrical field is essential for the wake-up. Temperature dependent wake-up cycling in a range of −160 °C to 100 °C reveals a strong temperature activation of the wake-up, which can be attributed to ion rearrangement during cycling. By using asymmetrical electrodes, resistive valence change mechanism switching can be observed coincident with ferroelectric switching. From the given results, it can be concluded that redistribution ofmore » oxygen vacancies is the origin of the wake-up effect.« less

  14. Effect of hafnium doping on density of states in dual-target magnetron co-sputtering HfZnSnO thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Chuan-Xin; Li, Jun, E-mail: SHUniverjunli@163.com; Fu, Yi-Zhou

    2015-11-23

    This study investigates the effect of hafnium doping on the density of states (DOSs) in HfZnSnO thin film transistors fabricated by dual-target magnetron co-sputtering system. The DOSs is extracted by temperature-dependent field-effect measurements, and they decrease from 1.1 × 10{sup 17} to 4.6 × 10{sup 16 }eV/cm{sup 3} with increasing the hafnium concentrations. The behavior of DOSs for the increasing hafnium concentration HfZnSnO thin film transistors can be confirmed by both the reduction of ΔV{sub T} under bias stress and the trapping charges calculated by capacitance voltage measurements. It suggests that the reduction in DOSs due to the hafnium doping is closely related with themore » bias stability and thermal stability.« less

  15. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition

    PubMed Central

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-01-01

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption. PMID:27312225

  16. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-01

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  17. TES/Aura L2 Carbon Dioxide (CO2) Nadir V6 (TL2CO2N)

    Atmospheric Science Data Center

    2018-01-18

    TES/Aura L2 Carbon Dioxide (CO2) Nadir (TL2CO2N) News:  TES News ... Level:  L2 Platform:  TES/Aura L2 Carbon Dioxide Spatial Coverage:  5.2 x 8.5 km nadir ... Contact User Services Parameters:  Carbon Dioxide Legacy:  Retired data product , click here ...

  18. Single Crystal Fibers of Yttria-Stabilized Cubic Zirconia with Ternary Oxide Additions

    NASA Technical Reports Server (NTRS)

    Ritzert, F. J.; Yun, H. M.; Miner, R. V.

    1997-01-01

    Single crystal fibers of yttria (Y2O3)-stabilized cubic zirconia, (ZrO2) with ternary oxide additions were grown using the laser float zone fiber processing technique. Ternary additions to the ZrO2-Y2O3 binary system were studied aimed at increasing strength while maintaining the high coefficient of thermal expansion of the binary system. Statistical methods aided in identifying the most promising ternary oxide candidate (Ta2O5, Sc2O3, and HfO2) and optimum composition. The yttria, range investigated was 14 to 24 mol % and the ternary oxide component ranged from 1 to 5 mol %. Hafnium oxide was the most promising ternary oxide component based on 816 C tensile strength results and ease of fabrication. The optimum composition for development was 81 ZrO2-14 Y203-5 HfO2 based upon the same elevated temperature strength tests. Preliminary results indicate process improvements could improve the fiber performance. We also investigated the effect of crystal orientation on strength.

  19. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    PubMed

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  20. TES/Aura L2 Carbon Dioxide (CO2) Nadir V6 (TL2CO2NS)

    Atmospheric Science Data Center

    2018-01-22

    TES/Aura L2 Carbon Dioxide (CO2) Nadir (TL2CO2NS) News:  TES News ... Level:  L2 Platform:  TES/Aura L2 Carbon Dioxide Spatial Coverage:  5.3 x 8.5 km nadir ... Contact ASDC User Services Parameters:  Carbon Dioxide Legacy:  Retired data product , click here ...

  1. As-Received, Ozone Cleaned and Ar+ Sputtered Surfaces of Hafnium Oxide Grown by Atomic Layer Deposition and Studied by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Herman, Jacob A.; Wallace, Robert

    2012-06-27

    In this study, X-ray photoelectron spectroscopy (XPS) characterization was performed on 47 nm thick hafnium oxide (HfO{sub 2}) films grown by atomic layer deposition using TEMA-Hf/H{sub 2}O at 250 C substrate temperature. HfO{sub 2} is currently being studied as a possible replacement for Silicon Oxide (SiO{sub 2}) as a gate dielectric in electronics transistors. XPS spectra were collected on a Physical Electronics Quantum 2000 Scanning ESCA Microprobe using a monochromatic Al K{sub a} X-ray (1486.7 eV) excitation source. The sample was analyzed under the following conditions: as received, after UV irradiation for five minutes, and after sputter cleaning with 2more » kV Ar{sup +} ions for 180 seconds. Survey scans showed carbon, oxygen, and hafnium as the major species in the film, while the only minor species of argon and carbide was detected after sputtering. Adventitious carbon initially composed approximately 18.6 AT% of the surface, but after UV cleaning it was reduced to 2.4 AT%. This demonstrated that that the majority of carbon was due to adventitious carbon. However, after 2 kV Ar{sup +} sputtering there was still only trace amounts of carbon at {approx}1 AT%, Some of this trace carbon is now in the form of a carbide due to the interaction with Ar{sup +} used for sputter cleaning. Furthermore, the stoiciometric ratio of oxygen and hafnium is consistent with a high quality HfO{sub 2} film.« less

  2. Hafnium-Based Bulk Metallic Glasses for Kinetic Energy Penetrators

    DTIC Science & Technology

    2004-12-01

    uranium -based (DU) and tungsten- nickel -iron (W-Ni-Fe) composite kinetic energy (KE) munitions is primarily ascribed to their high densities (U: ρ...based on an invariant point identified in the hafnium- copper- nickel ternary system. They are denser than zirconium-based glass-forming compositions...depleted- uranium penetrators. 1. INTRODUCTION 1.1 Criterion for Effective Kinetic Energy Penetrator Performance The lethality of depleted

  3. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  4. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  5. What are the assets and weaknesses of HFO detectors? A benchmark framework based on realistic simulations

    PubMed Central

    Pizzo, Francesca; Bartolomei, Fabrice; Wendling, Fabrice; Bénar, Christian-George

    2017-01-01

    High-frequency oscillations (HFO) have been suggested as biomarkers of epileptic tissues. While visual marking of these short and small oscillations is tedious and time-consuming, automatic HFO detectors have not yet met a large consensus. Even though detectors have been shown to perform well when validated against visual marking, the large number of false detections due to their lack of robustness hinder their clinical application. In this study, we developed a validation framework based on realistic and controlled simulations to quantify precisely the assets and weaknesses of current detectors. We constructed a dictionary of synthesized elements—HFOs and epileptic spikes—from different patients and brain areas by extracting these elements from the original data using discrete wavelet transform coefficients. These elements were then added to their corresponding simulated background activity (preserving patient- and region- specific spectra). We tested five existing detectors against this benchmark. Compared to other studies confronting detectors, we did not only ranked them according their performance but we investigated the reasons leading to these results. Our simulations, thanks to their realism and their variability, enabled us to highlight unreported issues of current detectors: (1) the lack of robust estimation of the background activity, (2) the underestimated impact of the 1/f spectrum, and (3) the inadequate criteria defining an HFO. We believe that our benchmark framework could be a valuable tool to translate HFOs into a clinical environment. PMID:28406919

  6. On gate stack scalability of double-gate negative-capacitance FET with ferroelectric HfO2 for energy efficient sub-0.2 V operation

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-02-01

    We have investigated the gate stack scalability and energy efficiency of double-gate negative-capacitance FET (DGNCFET) with a CMOS-compatible ferroelectric HfO2 (FE:HfO2). Analytic model-based simulation is conducted to investigate the impacts of ferroelectric characteristic of FE:HfO2 and gate stack thickness on the I on/I off ratio of DGNCFET. DGNCFET has wider design window for the gate stack where higher I on/I off ratio can be achieved than DG classical MOSFET. Under a process-induced constraint with sub-10 nm gate length (L g), FE:HfO2-based DGNCFET still has a design point for high I on/I off ratio. With an optimized gate stack thickness for sub-10 nm L g, FE:HfO2-based DGNCFET has 2.5× higher energy efficiency than DG classical MOSFET even at ultralow operation voltage of sub-0.2 V.

  7. Vacuum ultraviolet thin films. I - Optical constants of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 thin films. II - Vacuum ultraviolet all-dielectric narrowband filters

    NASA Technical Reports Server (NTRS)

    Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.

    1990-01-01

    An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.

  8. Inter-diffusion of copper and hafnium as studied by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Pearson, Justin; Chourasia, A. R.

    The Cu/Hf interface has been characterized by x-ray photoelectron spectroscopy. Thin films (thicknesses ranging from 100 nm to 150 nm) of hafnium were deposited on a silicon substrate. About 80 nm of copper was then deposited on such samples. The e-beam method was used for the deposition. The samples were annealed for 30 min at temperatures of 100, 200, 300, 400, and 500°C. The inter-diffusion of copper and hafnium was investigated by sequential sputter depth profiling and x-ray photoelectron spectroscopy. The interdiffusion in each case was analyzed by the Matano-Boltzmann's procedure using the Fick's second law. The interdiffusion coefficients and the width of the interface as determined from the data have been correlated with the annealing temperature. Supported by Organized Research, TAMU-Commerce.

  9. Mechanisms of physiological and epileptic HFO generation

    PubMed Central

    Jefferys, John G.R.; de la Prida, Liset Menendez; Wendling, Fabrice; Bragin, Anatol; Avoli, Massimo; Timofeev, Igor; Lopes da Silva, Fernando H.

    2016-01-01

    High frequency oscillations (HFO) have a variety of characteristics: band-limited or broad-band, transient burst-like phenomenon or steady-state. HFOs may be encountered under physiological or under pathological conditions (pHFO). Here we review the underlying mechanisms of oscillations, at the level of cells and networks, investigated in a variety of experimental in vitro and in vivo models. Diverse mechanisms are described, from intrinsic membrane oscillations to network processes involving different types of synaptic interactions, gap junctions and ephaptic coupling. HFOs with similar frequency ranges can differ considerably in their physiological mechanisms. The fact that in most cases the combination of intrinsic neuronal membrane oscillations and synaptic circuits are necessary to sustain network oscillations is emphasized. Evidence for pathological HFOs, particularly fast ripples, in experimental models of epilepsy and in human epileptic patients is scrutinized. The underlying mechanisms of fast ripples are examined both in the light of animal observations, in vivo and in vitro, and in epileptic patients, with emphasis on single cell dynamics. Experimental observations and computational modeling have led to hypotheses for these mechanisms, several of which are considered here, namely the role of out-of-phase firing in neuronal clusters, the importance of strong excitatory AMPA-synaptic currents and recurrent inhibitory connectivity in combination with the fast time scales of IPSPs, ephaptic coupling and the contribution of interneuronal coupling through gap junctions. The statistical behaviour of fast ripple events can provide useful information on the underlying mechanism and can help to further improve classification of the diverse forms of HFOs. PMID:22420980

  10. Glass-water interaction: Effect of high-valence cations on glass structure and chemical durability

    NASA Astrophysics Data System (ADS)

    Hopf, J.; Kerisit, S. N.; Angeli, F.; Charpentier, T.; Icenhower, J. P.; McGrail, B. P.; Windisch, C. F.; Burton, S. D.; Pierce, E. M.

    2016-05-01

    Borosilicate glass is a durable solid, but it dissolves when in contact with aqueous fluids. The dissolution mechanism, which involves a variety of sequential reactions that occur at the solid-fluid interface, has important implications for the corrosion resistance of industrial and nuclear waste glasses. In this study, spectroscopic measurements, dissolution experiments, and Monte Carlo simulations were performed to investigate the effect of high-valence cations (HVC) on the mechanisms of glass dissolution under dilute and near-saturated conditions. Raman and NMR spectroscopy were used to determine the structural changes that occur in glass, specifically network formers (e.g., Al, Si, and B), with the addition of the HVC element hafnium in the Na2O-Al2O3-B2O3-HfO2-SiO2 system (e.g., Na/[Al + B] = 1.0 and HfO2/SiO2 from 0.0 to 0.42). Spectroscopic measurements revealed that increasing hafnium content decreases N4 (tetrahedral boron/total boron) and increases the amount of Si-O-Hf moieties in the glass. Results from flow-through experiments conducted under dilute and near-saturated conditions show a decrease of approximately 100× or more in the dissolution rate over the series from 0 to 20 mol% HfO2. Comparing the average steady-state rates obtained under dilute conditions to the rates obtained for near-saturated conditions reveals a divergence in the magnitude between the average steady state rates measured in these different conditions. The reason for this divergence was investigated more thoroughly using Monte Carlo simulations. Simulations indicate that the divergence in glass dissolution behavior under dilute and near-saturated conditions result from the stronger binding of Si sites that deposit on the surface from the influent when Hf is present in the glass. As a result, the residence time at the glass surface of these newly-formed Si sites is longer in the presence of Hf, which increases the density of anchor sites from which altered layers with higher Si

  11. The performance of hafnium and gadolinium self powered neutron detectors in the TREAT reactor

    NASA Astrophysics Data System (ADS)

    Imel, G. R.; Hart, P. R.

    1996-05-01

    The use of gadolinium and hafnium self powered neutron detectors in a transient reactor is described in this paper. The detectors were calibrated to the fission rate of U-235 using calibrated fission chambers; the calibration factors were tested in two reactors in steady state and found to be consistent. Calibration of the detectors in transient reactor conditions was done by using uranium wires that were analyzed by radiochemistry techniques to determine total fissions during the transient. This was correlated to the time-integrated current of the detectors during the transient. A temperature correction factor was derived to account for self-shielding effects in the hafnium and gadolinium detectors. The dynamic response of the detectors under transient conditions was studied, and found to be excellent.

  12. Sub-10 nm Ta Channel Responsible for Superior Performance of a HfO 2 Memristor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Hao; Han, Lili; Lin, Peng

    Memristive devices are promising candidates for the next generation non-volatile memory and neuromorphic computing. It has been widely accepted that the motion of oxygen anions leads to the resistance changes for valence-change-memory (VCM) type of materials. Only very recently it was speculated that metal cations could also play an important role, but no direct physical characterizations have been reported yet. We report a Ta/HfO 2/Pt memristor with fast switching speed, record high endurance (120 billion cycles) and reliable retention. We also programmed the device to 24 discrete resistance levels, and also demonstrated over a million (220) epochs of potentiation andmore » depression, suggesting that our devices can be used for both multi-level non-volatile memory and neuromorphic computing applications. More importantly, we directly observed a sub-10 nm Ta-rich and O-deficient conduction channel within the HfO 2 layer that is responsible for the switching. Our work deepens our understanding of the resistance switching mechanism behind oxide-based memristive devices and paves the way for further device performance optimization for a broad spectrum of applications.« less

  13. Sub-10 nm Ta Channel Responsible for Superior Performance of a HfO 2 Memristor

    DOE PAGES

    Jiang, Hao; Han, Lili; Lin, Peng; ...

    2016-06-23

    Memristive devices are promising candidates for the next generation non-volatile memory and neuromorphic computing. It has been widely accepted that the motion of oxygen anions leads to the resistance changes for valence-change-memory (VCM) type of materials. Only very recently it was speculated that metal cations could also play an important role, but no direct physical characterizations have been reported yet. We report a Ta/HfO 2/Pt memristor with fast switching speed, record high endurance (120 billion cycles) and reliable retention. We also programmed the device to 24 discrete resistance levels, and also demonstrated over a million (220) epochs of potentiation andmore » depression, suggesting that our devices can be used for both multi-level non-volatile memory and neuromorphic computing applications. More importantly, we directly observed a sub-10 nm Ta-rich and O-deficient conduction channel within the HfO 2 layer that is responsible for the switching. Our work deepens our understanding of the resistance switching mechanism behind oxide-based memristive devices and paves the way for further device performance optimization for a broad spectrum of applications.« less

  14. Electronic excitation induced defect dynamics in HfO2 based MOS devices investigated by in-situ electrical measurements

    NASA Astrophysics Data System (ADS)

    Manikanthababu, N.; Vajandar, S.; Arun, N.; Pathak, A. P.; Asokan, K.; Osipowicz, T.; Basu, T.; Nageswara Rao, S. V. S.

    2018-03-01

    In-situ I-V and C-V characterization studies were carried out to determine the device quality of atomic layer deposited HfO2 (2.7 nm)/SiO2 (0.6 nm)/Si-based metal oxide semiconductor devices during 120 MeV Ag ion irradiation. The influence of various tunneling mechanisms has been investigated by analyzing the I-V characteristics as a function of ion fluence. The nature of the defects created is tentatively identified by the determination of the significant tunneling processes. While the ion induced annealing of defects is observed at lower fluences, ion induced intermixing and radiation damage is found to be significant at higher fluences. The C-V characteristics also reveal significant changes at the interface and oxide trap densities: an increase in the oxide layer thickness occurs through the formation of an HfSiO interlayer. The interlayer is due to the swift heavy ion induced intermixing, which has been confirmed by X-TEM and X-ray photoelectron spectroscopy measurements.

  15. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  16. Effect of aging at 1040 C (1900 F) on the ductility and structure of a tantalum alloy, T-111

    NASA Technical Reports Server (NTRS)

    Watson, G. K.; Stephens, J. R.

    1972-01-01

    The post-aging embrittlement of T-111 (tantalum - 8-percent tungsten - 2-percent hafnium) following exposure for up to about 10,000 hours at 1040 C in either vacuum or liquid lithium was investigated for sheet and tubing samples. This thermal aging was shown to greatly increase the sensitivity of T-111 to hydrogen embrittlement during subsequent room temperature specimen processing or testing. The hydrogen embrittlement problem can be avoided by preventing exposure to the T-111 to moisture during post-aging processing or testing. Aging at 1040 C also resulted in formation of HfO2 particles at grain boundaries, which may contribute to the observed embrittlement.

  17. "Decarbonization" of an imino N-heterocyclic carbene via triple benzyl migration from hafnium

    USDA-ARS?s Scientific Manuscript database

    An imino N-heterocyclic carbene underwent three sequential benzyl migrations upon reaction with tetrabenzylhafnium, resulting in complete removal of the carbene carbon from the ligand. The resulting eneamido-amidinato hafnium complex showed alkene polymerization activity comparable to that of a prec...

  18. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  19. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  20. 21 CFR 868.2480 - Cutaneous carbon dioxide (PcCO 2) monitor.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Cutaneous carbon dioxide (PcCO 2) monitor. 868... dioxide (PcCO 2) monitor. (a) Identification. A cutaneous carbon dioxide (PcCO2) monitor is a noninvasive... relative changes in a hemodynamically stable patient's cutaneous carbon dioxide tension as an adjunct to...

  1. 21 CFR 868.2480 - Cutaneous carbon dioxide (PcCO 2) monitor.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Cutaneous carbon dioxide (PcCO 2) monitor. 868... dioxide (PcCO 2) monitor. (a) Identification. A cutaneous carbon dioxide (PcCO2) monitor is a noninvasive... relative changes in a hemodynamically stable patient's cutaneous carbon dioxide tension as an adjunct to...

  2. PROCESS OF RECOVERING ZIRCONIUM VALUES FROM HAFNIUM VALUES BY SOLVENT EXTRACTION WITH AN ALKYL PHOSPHATE

    DOEpatents

    Peppard, D.F.

    1960-02-01

    A process of separating hafnium nitrate from zirconium nitrate contained in a nitric acid solution by selectively. extracting the zirconium nitrate with a water-immiscible alkyl phosphate is reported.

  3. The Development of HfO2-Rare Earth Based Oxide Materials and Barrier Coatings for Thermal Protection Systems

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming; Harder, Bryan James

    2014-01-01

    Advanced hafnia-rare earth oxides, rare earth aluminates and silicates have been developed for thermal environmental barrier systems for aerospace propulsion engine and thermal protection applications. The high temperature stability, low thermal conductivity, excellent oxidation resistance and mechanical properties of these oxide material systems make them attractive and potentially viable for thermal protection systems. This paper will focus on the development of the high performance and high temperature capable ZrO2HfO2-rare earth based alloy and compound oxide materials, processed as protective coating systems using state-or-the-art processing techniques. The emphasis has been in particular placed on assessing their temperature capability, stability and suitability for advanced space vehicle entry thermal protection systems. Fundamental thermophysical and thermomechanical properties of the material systems have been investigated at high temperatures. Laser high-heat-flux testing has also been developed to validate the material systems, and demonstrating durability under space entry high heat flux conditions.

  4. Synthesis of Hf 8O 7, a new binary hafnium oxide, at high pressures and high temperatures

    DOE PAGES

    Bayarjargal, L.; Morgenroth, W.; Schrodt, N.; ...

    2017-01-23

    In this paper, two binary phases in the system Hf-O have been synthesized at pressures between 12 and 34 GPa and at temperatures up to 3000 K by reacting Hf with HfO 2 using a laser-heated diamond anvil cell. In situ X-ray diffraction in conjunction with density functional theory calculations has been employed to characterize a previously unreported tetragonal Hf 8O 7 phase. This phase has a structure which is based on an fcc Hf packing with oxygen atoms occupying octahedral interstitial positions. Its predicted bulk modulus is 223(1) GPa. The second phase has a composition close to Hf 6O,more » where oxygen atoms occupy octahedral interstitial sites in an hcp Hf packing. Its experimentally determined bulk modulus is 128(30) GPa. Finally, the phase diagram of Hf metal was further constrained at high pressures and temperatures, where we show that α-Hf transforms to β-Hf around 2160(150) K and 18.2 GPa and β-Hf remains stable up to at least 2800 K at this pressure.« less

  5. Low operation voltage and high thermal stability of a WSi2 nanocrystal memory device using an Al2O3/HfO2/Al2O3 tunnel layer

    NASA Astrophysics Data System (ADS)

    Uk Lee, Dong; Jun Lee, Hyo; Kyu Kim, Eun; You, Hee-Wook; Cho, Won-Ju

    2012-02-01

    A WSi2 nanocrystal nonvolatile memory device was fabricated with an Al2O3/HfO2/Al2O3 (AHA) tunnel layer and its electrical characteristics were evaluated at 25, 50, 70, 100, and 125 °C. The program/erase (P/E) speed at 125 °C was approximately 500 μs under threshold voltage shifts of 1 V during voltage sweeping of 8 V/-8 V. When the applied pulse voltage was ±9 V for 1 s for the P/E conditions, the memory window at 125 °C was approximately 1.25 V after 105 s. The activation energies for the charge losses of 5%, 10%, 15%, 20%, 25%, 30%, and 35% were approximately 0.05, 0.11, 0.17, 0.21, 0.23, 0.23, and 0.23 eV, respectively. The charge loss mechanisms were direct tunneling and Pool-Frenkel emission between the WSi2 nanocrystals and the AHA barrier engineered tunneling layer. The WSi2 nanocrystal memory device with multi-stacked high-K tunnel layers showed strong potential for applications in nonvolatile memory devices.

  6. Pyroelectricity of silicon-doped hafnium oxide thin films

    NASA Astrophysics Data System (ADS)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  7. 21 CFR 868.2480 - Cutaneous carbon dioxide (PcCO2) monitor.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Cutaneous carbon dioxide (PcCO2) monitor. 868.2480... (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Monitoring Devices § 868.2480 Cutaneous carbon dioxide (PcCO2) monitor. (a) Identification. A cutaneous carbon dioxide (PcCO2) monitor is a noninvasive heated...

  8. STEM and APT characterization of scale formation on a La,Hf,Ti-doped NiCrAl model alloy.

    PubMed

    Unocic, Kinga A; Chen, Yimeng; Shin, Dongwon; Pint, Bruce A; Marquis, Emmanuelle A

    2018-06-01

    A thermally grown scale formed on a cast NiCrAl model alloy doped with lanthanum, hafnium, and titanium was examined after isothermal exposure at 1100 °C for 100 h in dry flowing O 2 to understand the dopant segregation along scale grain boundaries. The complex scale formed on the alloy surface was composed of two types of substrates: phase-dependent, thin (<250 nm) outer layers and a columnar-grained ∼3.5 μm inner alumina layer. Two types of oxides formed between the inner and outer scale layers: small (3-15 nm) La 2 O 3 and larger (≤50 nm) HfO 2 oxide precipitates. Nonuniform distributions of the hafnium, lanthanum, and titanium dopants were observed along the inner scale grain boundaries, with hafnium dominating in most of the grain boundaries of α-Al 2 O 3. The concentration of reactive elements (RE) seemed to strongly depend on the grain boundary structure. The level of titanium grain boundary segregation in the inner scale decreased toward the model alloy (substrate), confirming the fast outward diffusion of titanium. Hafnium was also observed at the metal-scale interface and in the γ' (Ni 3 Al) phase of the alloy. High-resolution scanning transmission electron microscopy (STEM) confirmed the substitution of REs for aluminum atoms at the scale grain boundaries, consistent with both the semiconducting band structure and the site-blocking models. Both STEM and atom probe tomography allowed quantification of REs along the scale grain boundaries across the scale thickness. Analysis of the scale morphology after isothermal exposure in flowing oxygen revealed a myriad of new precipitate phases, RE segregation dependence on grain boundary type, and atomic arrangement along scale grain boundaries, which is expected to influence the scale growth rate, stability, and mechanical properties. Copyright © 2018 Elsevier Ltd. All rights reserved.

  9. Silicon/HfO{sub 2} interface: Effects of gamma irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maurya, Savita

    2016-05-23

    Quality of MOS devices is a strong function of substrate and oxide interface. In this work we have studied how gamma photon irradiation affects the interface of a 13 nm thick, atomic layer deposited hafnium dioxide deposited on silicon wafer. CV and GV measurements have been done for pristine and irradiated samples to quantify the effect of gamma photon irradiation. Gamma photon irradiation not only introduces positive charge in the oxide and at the interface of Si/HfO{sub 2} interface but also induce phase change of oxide layer. Maximum oxide capacitances are affected by gamma photon irradiation.

  10. Evaluation of thermal stability in spectrally selective few-layer metallo-dielectric structures for solar thermophotovoltaics

    NASA Astrophysics Data System (ADS)

    Shimizu, Makoto; Kohiyama, Asaka; Yugami, Hiroo

    2018-06-01

    The thermal stability of spectrally selective few-layer metallo-dielectric structures is evaluated to analyze their potential as absorber and emitter materials in solar thermophotovoltaic (STPV) systems. High-efficiency (e.g., STPV) systems require materials with spectrally selective properties, especially at high temperatures (>1273 K). Aiming to develop such materials for high-temperature applications, we propose a few-layer structure composed of a refractory metal (i.e., Mo) nanometric film sandwiched between the layers of a dielectric material (i.e., hafnium oxide, HfO2) deposited on a Mo bulk substrate. In vacuum conditions (<5 × 10-2 Pa), the few-layer structure shows thermal stability at 1423 K for at least 1 h. At 1473 K, the spectral selectivity was degraded. This could have been caused by the oxidation of the Mo thin film by the residual oxygen through the grain boundaries of the upper HfO2 layer. This experiment showed the potential stability of few-layer structures for applications working at temperatures greater than 1273 K as well as the degradation mechanism of the few-layer structure. This characteristic is expected to help improve the thermal stability in few-layer structures further.

  11. The effect of the bottom electrode on ferroelectric tunnel junctions based on CMOS-compatible HfO2.

    PubMed

    Goh, Youngin; Jeon, Sanghun

    2018-08-17

    Ferroelectric tunnel junctions (FTJs) have attracted research interest as promising candidates for non-destructive readout non-volatile memories. Unlike conventional perovskite FTJs, hafnia FTJs offer many advantages in terms of scalability and CMOS compatibility. However, so far, hafnia FTJs have shown poor endurance and relatively low resistance ratios and these have remained issues for real device applications. In our study, we fabricated HfZrO(HZO)-based FTJs with various electrodes (TiN, Si, SiGe, Ge) and improved the memory performance of HZO-based FTJs by using the asymmetry of the charge screening lengths of the electrodes. For the HZO-based FTJ with a Ge substrate, the effective barrier afforded by this FTJ can be electrically modulated because of the space charge-limited region formed at the ferroelectric/semiconductor interface. The optimized HZO-based FTJ with a Ge bottom electrode presents excellent ferroelectricity with a high remnant polarization of 18 μC cm -2 , high tunneling electroresistance value of 30, good retention at 85 °C and high endurance of 10 7 . The results demonstrate the great potential of HfO 2 -based FTJs in non-destructive readout non-volatile memories.

  12. IER-297 CED-2: Final Design for Thermal/Epithermal eXperiments with Jemima Plates with Polyethylene and Hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nelson, A. J.; Percher, C. M.; Zywiec, W. J.

    This report presents the final design (CED-2) for IER-297, and focuses on 15 critical configurations using highly enriched uranium (HEU) Jemima plates moderated by polyethylene with and without hafnium diluent. The goal of the U.S. Nuclear Criticality Safety Program’s Thermal/Epithermal eXperiments (TEX) is to design and conduct new critical experiments to address high priority nuclear data needs from the nuclear criticality safety and nuclear data communities, with special emphasis on intermediate energy (0.625 eV – 100 keV) assemblies that can be easily modified to include various high priority diluent materials. The TEX (IER 184) CED-1 Report [1], completed in 2012,more » demonstrated the feasibility of meeting the TEX goals with two existing NCSP fissile assets, plutonium Zero Power Physics Reactor (ZPPR) plates and highly enriched uranium (HEU) Jemima plates. The first set of TEX experiments will focus on using the plutonium ZPPR plates with polyethylene moderator and tantalum diluents.« less

  13. Stress-rupture strength and microstructural stability of tungsten-hafnium-carbon-wire reinforced superalloy composites

    NASA Technical Reports Server (NTRS)

    Petrasek, D. W.; Signorelli, R. A.

    1974-01-01

    Tungsten-hafnium-carbon - superalloy composites were found to be potentially useful for turbine blade applications on the basis of stress-rupture strength. The 100- and 1000-hr rupture strengths calculated for 70 vol. % fiber composites based on test data at 1090C (2000F) were 420 and 280 MN/m2 (61,000 and 41,000 psi, respectively). The investigation indicated that, with better quality fibers, composites having 100- and 1000-hr rupture strengths of 570 and 370 MN/m2 (82,000 and 54,000 psi, respectively), may be obtained. Metallographic studies indicated sufficient fiber-matrix compatibility for 1000 hr or more at 1090C (2000F).

  14. Dissociation mechanisms of HFO-1336mzz(Z) on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces: A density functional theory study

    NASA Astrophysics Data System (ADS)

    Huo, Erguang; Liu, Chao; Xu, Xiaoxiao; Li, Qibin; Dang, Chaobin

    2018-06-01

    The catalytic effect of Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces on the decomposition of HFO-1336mzz(Z) have been investigated by using Density Functional Theory (DFT) calculations. On the basis of adsorption energy analysis, the most stable adsorption energies of HFO-1336mzz(Z) and relevant products on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces were studied, respectively, and the co-adsorption structures of relevant species were obtained. Finally, four initiation decomposition reactions of HFO-1336mzz(Z) on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces were proposed and investigated, respectively. At the same time, the four similar homolytic reactions of free HFO-1336mzz(Z) molecular were calculated to compare with the dissociation reactions occurred on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces and illuminate the catalytic effect of Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces on the HFO-1336mzz(Z) decomposition. The results indicated that Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces had a good catalytic effect on the decomposition of HFO-1336mzz(Z). The fracture reactions of Cdbnd C bonds on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces were easier to occur than that of other reactions. Cu(1 0 0) surface had the highest catalytic activity and the lowest for Cu(1 1 1) surface.

  15. The interfacial orientation relationship of oxide nanoparticles in a hafnium-containing oxide dispersion-strengthened austenitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miao, Yinbin, E-mail: miao2@illinois.edu; Mo, Kun; Cui, Bai

    2015-03-15

    This work reports comprehensive investigations on the orientation relationship of the oxide nanoparticles in a hafnium-containing austenitic oxide dispersion-strengthened 316 stainless steel. The phases of the oxide nanoparticles were determined by a combination of scanning transmission electron microscopy–electron dispersive X-ray spectroscopy, atom probe tomography and synchrotron X-ray diffraction to be complex Y–Ti–Hf–O compounds with similar crystal structures, including bixbyite Y{sub 2}O{sub 3}, fluorite Y{sub 2}O{sub 3}–HfO{sub 2} solid solution and pyrochlore (or fluorite) Y{sub 2}(Ti,Hf){sub 2−x}O{sub 7−x}. High resolution transmission electron microscopy was used to characterize the particle–matrix interfaces. Two different coherency relationships along with one axis-parallel relation between themore » oxide nanoparticles and the steel matrix were found. The size of the nanoparticles significantly influences the orientation relationship. The results provide insight into the relationship of these nanoparticles with the matrix, which has implications for interpreting material properties as well as responses to radiation. - Highlights: • The oxide nanoparticles in a hafnium-containing austenitic ODS were characterized. • The nanoparticles are Y–Hf–Ti–O enriched phases according to APT and STEM–EDS. • Two coherency and an axis-parallel orientation relationships were found by HR-TEM. • Particle size has a prominent effect on the orientation relationship (OR). • Formation mechanism of the oxide nanoparticles was discussed based on the ORs.« less

  16. 40 CFR 60.43Da - Standards for sulfur dioxide (SO2).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 7 2012-07-01 2012-07-01 false Standards for sulfur dioxide (SO2). 60... Steam Generating Units § 60.43Da Standards for sulfur dioxide (SO2). (a) On and after the date on which... the percent reduction requirement is determined on a 24-hour basis. (d) Sulfur dioxide emissions are...

  17. 40 CFR 60.43Da - Standard for sulfur dioxide (SO2).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 6 2011-07-01 2011-07-01 false Standard for sulfur dioxide (SO2). 60... for sulfur dioxide (SO2). (a) On and after the date on which the initial performance test is completed... reduction requirement is determined on a 24-hour basis. (d) Sulfur dioxide emissions are limited to 520 ng/J...

  18. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    PubMed

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  19. Hydrogen doping in HfO{sub 2} resistance change random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duncan, D.; Magyari-Köpe, B.; Nishi, Y.

    2016-01-25

    The structures and energies of hydrogen-doped monoclinic hafnium dioxide were calculated using density-functional theory. The electronic interactions are described within the LDA + U formalism, where on-site Coulomb corrections are applied to the 5d orbital electrons of Hf atoms and 2p orbital electrons of the O atoms. The effects of charge state, defect-defect interactions, and hydrogenation are investigated and compared with experiment. It is found that hydrogenation of HfO{sub 2} resistance-change random access memory devices energetically stabilizes the formation of oxygen vacancies and conductive vacancy filaments through multiple mechanisms, leading to improved switching characteristic and device yield.

  20. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  1. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  2. Tailoring the index of refraction of nanocrystalline hafnium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vargas, Mirella; Murphy, N. R.; Ramana, C. V., E-mail: rvchintalapalle@utep.edu

    2014-03-10

    Hafnium oxide (HfO{sub 2}) films were grown by sputter-deposition by varying the growth temperature (T{sub s} = 25–700 °C). HfO{sub 2} films grown at T{sub s} < 200 °C were amorphous, while those grown at T{sub s} ≥ 200 °C were monoclinic, nanocrystalline with (1{sup ¯}11) texturing. X-ray reflectivity (XRR) analyses indicate that the film-density (ρ) increases with increasing T{sub s}. The index of refraction (n) profiles derived from spectroscopic ellipsometry analyses follow the Cauchy dispersion relation. Lorentz-Lorenz analysis (n{sub (λ)} = 550 nm) and optical-model adopted agree well with the XRR data/analyses. A direct T{sub s}-ρ-n relationship suggests that tailoring the optical quality is possible by tuning T{sub s} and themore » microstructure of HfO{sub 2} films.« less

  3. The formation and study of titanium, zirconium, and hafnium complexes

    NASA Technical Reports Server (NTRS)

    Wilson, Bobby; Sarin, Sam; Smith, Laverne; Wilson, Melanie

    1989-01-01

    Research involves the preparation and characterization of a series of Ti, Zr, Hf, TiO, and HfO complexes using the poly(pyrazole) borates as ligands. The study will provide increased understanding of the decomposition of these coordination compounds which may lead to the production of molecular oxygen on the Moon from lunar materials such as ilmenite and rutile. The model compounds are investigated under reducing conditions of molecular hydrogen by use of a high temperature/pressure stainless steel autoclave reactor and by thermogravimetric analysis.

  4. Synthesis, characterization and biological study on Cr(3+), ZrO(2+), HfO(2+) and UO(2)(2+) complexes of oxalohydrazide and bis(3-hydroxyimino)butan-2-ylidene)-oxalohydrazide.

    PubMed

    El-Asmy, A A; El-Gammal, O A; Radwan, H A

    2010-09-01

    Cr(3+), ZrO(2+), HfO(2+) and UO(2)(2+) complexes of oxalohydrazide (H(2)L(1)) and oxalyl bis(diacetylmonoxime hydrazone) [its IUPAC name is oxalyl bis(3-hydroxyimino)butan-2-ylidene)oxalohydrazide] (H(4)L(2)) have been synthesized and characterized by partial elemental analysis, spectral (IR; electronic), thermal and magnetic measurements. [Cr(L(1))(H(2)O)(3)(Cl)].H(2)O, [ZrO(HL(1))(2)].C(2)H(5)OH, [UO(2)(L(1))(H(2)O)(2)] [ZrO(H(3)L(2))(Cl)](2).2H(2)O, [HfO(H(3)L(2))(Cl)](2).2H(2)O and [UO(2)(H(2)L(2))].2H(2)O have been suggested. H(2)L(1) behaves as a monobasic or dibasic bidentate ligand while H(4)L(2) acts as a tetrabasic octadentate with the two metal centers. The molecular modeling of the two ligands have been drawn and their molecular parameters were calculated. Examination of the DNA degradation of H(2)L(1) and H(4)L(2) as well as their complexes revealed that direct contact of [ZrO(H(3)L(2))(Cl)](2).2H(2)O or [HfO(H(3)L(2))(Cl)](2).2H(2)O degrading the DNA of Eukaryotic subject. The ligands and their metal complexes were tested against Gram's positive Bacillus thuringiensis (BT) and Gram's negative (Escherichia coli) bacteria. All compounds have small inhibitory effects. Copyright 2010 Elsevier B.V. All rights reserved.

  5. Nanostructured microtubes based on TiO2 doped by Zr and Hf oxides with the anatase structure

    NASA Astrophysics Data System (ADS)

    Zheleznov, VV; Voit, EI; Sushkov, YV; Sarin, SA; Kuryavyi, VG; Opra, DP; Gnedenkov, SV; Sinebryukhov, SL; Sokolov, AA

    2016-01-01

    The nanostructured microtubes based on TiO2 have been prepared on the carbon fiber template using the sol-gel method. The microtubes consist of nanoparticles of metal oxides: TiO2/ZrO2 and TiO2/HfO2. The dependence of microtubes morphology and nanoparticles structure on the synthesis conditions has been studied using the methods of SEM, SAXS, and Raman spectroscopy. It has been demonstrated that at the stoichiometric ratio of up to 0.04 for Zr/Ti and up to 0.06 for Hf/Ti microtubes consist of uniform nanoparticles with the anatase structure. Along with further increase of the dopants content in the microtubes composition, nanoparticles acquire the core-shell structure. It has been suggested that nanoparticles have a core composed of the solid solutions Ti1-xZrxO2 or Ti1-xHfxO2 and a shell consisting of zirconium or hafnium titanate. The fabricated Zr- and Hf-doped TiO2 materials were investigated in view of their possible use as anode materials for Li-ion batteries. Charge- discharge measurements showed that the doped samples manifested significantly higher reversibility in comparison with the undoped TiO2. The method opens new prospects in synthesis of nanostructured materials for Li-ion batteries application.

  6. Effect of annealing on structural changes and oxygen diffusion in amorphous HfO2 using classical molecular dynamics

    NASA Astrophysics Data System (ADS)

    Shen, Wenqing; Kumari, Niru; Gibson, Gary; Jeon, Yoocharn; Henze, Dick; Silverthorn, Sarah; Bash, Cullen; Kumar, Satish

    2018-02-01

    Non-volatile memory is a promising alternative to present memory technologies. Oxygen vacancy diffusion has been widely accepted as one of the reasons for the resistive switching mechanism of transition-metal-oxide based resistive random access memory. In this study, molecular dynamics simulation is applied to investigate the diffusion coefficient and activation energy of oxygen in amorphous hafnia. Two sets of empirical potential, Charge-Optimized Many-Body (COMB) and Morse-BKS (MBKS), were considered to investigate the structural and diffusion properties at different temperatures. COMB predicts the activation energy of 0.53 eV for the temperature range of 1000-2000 K, while MBKS predicts 2.2 eV at high temperature (1600-2000 K) and 0.36 eV at low temperature (1000-1600 K). Structural changes and appearance of nano-crystalline phases with increasing temperature might affect the activation energy of oxygen diffusion predicted by MBKS, which is evident from the change in coordination number distribution and radial distribution function. None of the potentials make predictions that are fully consistent with density functional theory simulations of both the structure and diffusion properties of HfO2. This suggests the necessity of developing a better multi-body potential that considers charge exchange.

  7. Radioisotopic heat source

    DOEpatents

    Jones, G.J.; Selle, J.E.; Teaney, P.E.

    1975-09-30

    Disclosed is a radioisotopic heat source and method for a long life electrical generator. The source includes plutonium dioxide shards and yttrium or hafnium in a container of tantalum-tungsten-hafnium alloy, all being in a nickel alloy outer container, and subjected to heat treatment of from about 1570$sup 0$F to about 1720$sup 0$F for about one h. (auth)

  8. Electric field cycling behavior of ferroelectric hafnium oxide.

    PubMed

    Schenk, Tony; Schroeder, Uwe; Pešić, Milan; Popovici, Mihaela; Pershin, Yuriy V; Mikolajick, Thomas

    2014-11-26

    HfO2 based ferroelectrics are lead-free, simple binary oxides with nonperovskite structure and low permittivity. They just recently started attracting attention of theoretical groups in the fields of ferroelectric memories and electrostatic supercapacitors. A modified approach of harmonic analysis is introduced for temperature-dependent studies of the field cycling behavior and the underlying defect mechanisms. Activation energies for wake-up and fatigue are extracted. Notably, all values are about 100 meV, which is 1 order of magnitude lower than for conventional ferroelectrics like lead zirconate titanate (PZT). This difference is mainly atttributed to the one to two orders of magnitude higher electric fields used for cycling and to the different surface to volume ratios between the 10 nm thin films in this study and the bulk samples of former measurements or simulations. Moreover, a new, analog-like split-up effect of switching peaks by field cycling is discovered and is explained by a network model based on memcapacitive behavior as a result of defect redistribution.

  9. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  10. The prediction of a new high-pressure phase of hafnia using first-principles computations

    NASA Astrophysics Data System (ADS)

    Al-Khatatbeh, Y.; Tarawneh, K.; Hamad, B.

    2018-02-01

    Using density functional theory (DFT) calculations, we predicted a new high- pressure phase of hafnia (HfO2). We found the hexagonal phase (Ni2In-type structure; space group: P63 /mmc) to be the stable phase at ultrahigh pressures greater than ~386 GPa. Our findings are consistent with recent calculations performed on the similar dioxide ZrO2 [M. Durandurdu, J. Solid State Chem. 230, 233 (2015)] where this phase has been claimed to be the most stable at pressures greater than 380 GPa. The Birch-Murnaghan equation of state (BM- EOS) of the new phase shows that this phase is more compressible and less dense than Fe2P-type phase. Additionally, the hardness calculations using a scaling model confirmed that our newly predicted phase has a similar hardness compared to the other HfO2 phases, indicating that none of the HfO2 phases can be considered to be superhard.

  11. 21 CFR 868.1150 - Indwelling blood carbon dioxide partial pressure (PCO2) analyzer.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Indwelling blood carbon dioxide partial pressure....1150 Indwelling blood carbon dioxide partial pressure (PCO2) analyzer. (a) Identification. An indwelling blood carbon dioxide partial pressure PCO2 analyzer is a device that consists of a catheter-tip...

  12. 21 CFR 868.1150 - Indwelling blood carbon dioxide partial pressure (PCO2) analyzer.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Indwelling blood carbon dioxide partial pressure....1150 Indwelling blood carbon dioxide partial pressure (PCO2) analyzer. (a) Identification. An indwelling blood carbon dioxide partial pressure PCO2 analyzer is a device that consists of a catheter-tip...

  13. 21 CFR 868.1150 - Indwelling blood carbon dioxide partial pressure (PCO2) analyzer.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Indwelling blood carbon dioxide partial pressure....1150 Indwelling blood carbon dioxide partial pressure (PCO2) analyzer. (a) Identification. An indwelling blood carbon dioxide partial pressure PCO2 analyzer is a device that consists of a catheter-tip...

  14. 21 CFR 868.1150 - Indwelling blood carbon dioxide partial pressure (PCO2) analyzer.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Indwelling blood carbon dioxide partial pressure....1150 Indwelling blood carbon dioxide partial pressure (PCO2) analyzer. (a) Identification. An indwelling blood carbon dioxide partial pressure PCO2 analyzer is a device that consists of a catheter-tip...

  15. Metal–Organic Nanosheets Formed via Defect-Mediated Transformation of a Hafnium Metal–Organic Framework

    PubMed Central

    2017-01-01

    We report a hafnium-containing MOF, hcp UiO-67(Hf), which is a ligand-deficient layered analogue of the face-centered cubic fcu UiO-67(Hf). hcp UiO-67 accommodates its lower ligand:metal ratio compared to fcu UiO-67 through a new structural mechanism: the formation of a condensed “double cluster” (Hf12O8(OH)14), analogous to the condensation of coordination polyhedra in oxide frameworks. In oxide frameworks, variable stoichiometry can lead to more complex defect structures, e.g., crystallographic shear planes or modules with differing compositions, which can be the source of further chemical reactivity; likewise, the layered hcp UiO-67 can react further to reversibly form a two-dimensional metal–organic framework, hxl UiO-67. Both three-dimensional hcp UiO-67 and two-dimensional hxl UiO-67 can be delaminated to form metal–organic nanosheets. Delamination of hcp UiO-67 occurs through the cleavage of strong hafnium-carboxylate bonds and is effected under mild conditions, suggesting that defect-ordered MOFs could be a productive route to porous two-dimensional materials. PMID:28343394

  16. Metal-Organic Nanosheets Formed via Defect-Mediated Transformation of a Hafnium Metal-Organic Framework.

    PubMed

    Cliffe, Matthew J; Castillo-Martínez, Elizabeth; Wu, Yue; Lee, Jeongjae; Forse, Alexander C; Firth, Francesca C N; Moghadam, Peyman Z; Fairen-Jimenez, David; Gaultois, Michael W; Hill, Joshua A; Magdysyuk, Oxana V; Slater, Ben; Goodwin, Andrew L; Grey, Clare P

    2017-04-19

    We report a hafnium-containing MOF, hcp UiO-67(Hf), which is a ligand-deficient layered analogue of the face-centered cubic fcu UiO-67(Hf). hcp UiO-67 accommodates its lower ligand:metal ratio compared to fcu UiO-67 through a new structural mechanism: the formation of a condensed "double cluster" (Hf 12 O 8 (OH) 14 ), analogous to the condensation of coordination polyhedra in oxide frameworks. In oxide frameworks, variable stoichiometry can lead to more complex defect structures, e.g., crystallographic shear planes or modules with differing compositions, which can be the source of further chemical reactivity; likewise, the layered hcp UiO-67 can react further to reversibly form a two-dimensional metal-organic framework, hxl UiO-67. Both three-dimensional hcp UiO-67 and two-dimensional hxl UiO-67 can be delaminated to form metal-organic nanosheets. Delamination of hcp UiO-67 occurs through the cleavage of strong hafnium-carboxylate bonds and is effected under mild conditions, suggesting that defect-ordered MOFs could be a productive route to porous two-dimensional materials.

  17. 40 CFR 60.42c - Standard for sulfur dioxide (SO2).

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 7 2014-07-01 2014-07-01 false Standard for sulfur dioxide (SO2). 60...-Commercial-Institutional Steam Generating Units § 60.42c Standard for sulfur dioxide (SO2). (a) Except as... percent sulfur. The percent reduction requirements are not applicable to affected facilities under this...

  18. 40 CFR 60.42c - Standard for sulfur dioxide (SO2).

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 7 2012-07-01 2012-07-01 false Standard for sulfur dioxide (SO2). 60...-Commercial-Institutional Steam Generating Units § 60.42c Standard for sulfur dioxide (SO2). (a) Except as... percent sulfur. The percent reduction requirements are not applicable to affected facilities under this...

  19. 40 CFR 60.42c - Standard for sulfur dioxide (SO2).

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 7 2013-07-01 2013-07-01 false Standard for sulfur dioxide (SO2). 60...-Commercial-Institutional Steam Generating Units § 60.42c Standard for sulfur dioxide (SO2). (a) Except as... percent sulfur. The percent reduction requirements are not applicable to affected facilities under this...

  20. 40 CFR 60.42c - Standard for sulfur dioxide (SO2).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 6 2011-07-01 2011-07-01 false Standard for sulfur dioxide (SO2). 60...-Commercial-Institutional Steam Generating Units § 60.42c Standard for sulfur dioxide (SO2). (a) Except as... sulfur. The percent reduction requirements are not applicable to affected facilities under this paragraph...

  1. Effects of optical design modifications on thermal performance of a highly reflective HfO2/SiO2/TiO2 three material coating

    NASA Astrophysics Data System (ADS)

    Ocak, M.; Sert, C.; Okutucu-Özyurt, T.

    2018-02-01

    Effects of layer thickness modifications on laser induced temperature distribution inside three material, highly reflective thin film coatings are studied with numerical simulations. As a base design, a 21 layer coating composed of HfO2, SiO2 and TiO2 layers of quarter wave thickness is considered. First, the laser induced temperature distribution in this base design is obtained. Then the layer thicknesses of the base design are modified and the corresponding temperature distributions in four alternative non-quarter wave coatings are evaluated. The modified thicknesses are determined using an in-house code developed to shift the electric field intensity (EFI) peak from the first high/low layer interface towards the adjacent low index layer that has a higher thermal conductivity, hence, higher laser damage resistance. Meanwhile, the induced increase in the EFI peak is kept at a user defined upper limit. The laser endurance of the base and alternative designs are compared in terms of their estimated temperature distributions. The results indicated that both the peak temperature and the highest interface temperature are decreased by at least 32%, in non-dimensional form, when alternative designs are used instead of the base design. The total reflection of the base design is only decreased from 99.8% to at most 99.4% when alternative designs are used. The study is proved to be successful in improving the laser endurance of three material thin film coatings by lowering the peak and interface temperatures.

  2. Analysis of carbon dioxide bands near 2.2 micrometers

    NASA Technical Reports Server (NTRS)

    Abubaker, M. S.; Shaw, J. H.

    1984-01-01

    Carbon dioxide is one of the more important atmospheric infrared-absorbing gases due to its relatively high, and increasing, concentration. The spectral parameters of its bands are required for understanding radiative heat transfer in the atmosphere. The line intensities, positions, line half-widths, rotational constants, and band centers of three overlapping bands of CO2 near 2.2 microns are presented. Non-linear least squares (NLLS) regression procedures were employed to determine these parameters.

  3. How reduced vacuum pumping capability in a coating chamber affects the laser damage resistance of HfO 2/SiO 2 antireflection and high-reflection coatings

    DOE PAGES

    Field, Ella S.; Bellum, John C.; Kletecka, Damon E.

    2016-07-15

    Here, optical coatings with the highest laser damage thresholds rely on clean conditions in the vacuum chamber during the coating deposition process. A low-base pressure in the coating chamber, as well as the ability of the vacuum system to maintain the required pressure during deposition, are important aspects of limiting the amount of defects in an optical coating that could induce laser damage. Our large optics coating chamber at Sandia National Laboratories normally relies on three cryo pumps to maintain low pressures for e-beam coating processes. However, on occasion, one or more of the cryo pumps have been out ofmore » commission. In light of this circumstance, we explored how deposition under compromised vacuum conditions resulting from the use of only one or two cryo pumps affects the laser-induced damage thresholds of optical coatings. The coatings of this study consist of HfO 2 and SiO 2 layer materials and include antireflection coatings for 527 nm at normal incidence and high-reflection coatings for 527 nm at 45-deg angle of incidence in P-polarization.« less

  4. 21 CFR 868.2480 - Cutaneous carbon dioxide (PcCO2) monitor.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Cutaneous carbon dioxide (PcCO2) monitor. 868.2480 Section 868.2480 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Monitoring Devices § 868.2480 Cutaneous carbon dioxide (PcCO2) monitor. (a) Identification. A...

  5. TES/Aura L2 Carbon Dioxide (CO2) Nadir V7 (TL2CO2N)

    Atmospheric Science Data Center

    2018-01-18

    ... TES/Aura L2 Carbon Dioxide (CO2) Nadir (TL2CO2N) News:  TES News Join TES News List Project ... TES Order Tool Parameters:  Earth Science Atmosphere Atmospheric Chemistry/Carbon and Hydrocarbon Compounds ...

  6. High temperature calorimetric studies of heat of solution of NiO, CuO, La2O3, TiO2, HfO2 in sodium silicate liquids

    NASA Astrophysics Data System (ADS)

    Linard, Yannick; Wilding, Martin C.; Navrotsky, Alexandra

    2008-01-01

    The enthalpies of solution of La2O3, TiO2, HfO2, NiO and CuO were measured in sodium silicate melts at high temperature. When the heat of fusion was available, we derived the corresponding liquid-liquid enthalpies of mixing. These data, combined with previously published work, provide insight into the speciation reactions in sodium silicate melts. The heat of solution of La2O3 in these silicate solvents is strongly exothermic and varies little with La2O3 concentration. The variation of heat of solution with composition of the liquid reflects the ability of La(III) to perturb the transient silicate framework and compete with other cations for oxygen. The enthalpy of solution of TiO2 is temperature-dependent and indicates that the formation of Na-O-Si species is favored over Na-O-Ti at low temperature. The speciation reactions can be interpreted in terms of recent spectroscopic studies of titanium-bearing melts which identify a dual role of Ti4+ as both a network-former end network-modifier. The heats of solution of oxides of transition elements (Ni and Cu) are endothermic, concentration-dependent and reach a maximum with concentration. These indicate a charge balanced substitution which diminishes the network modifying role of Na+ by addition of Ni2+ or Cu2+. The transition metal is believed to be in tetrahedral coordination, charge balanced by the sodium cation in the melts.

  7. Carbon Dioxide Fluctuations in Comet Hartley 2

    NASA Image and Video Library

    2010-11-04

    The upper panel of this figure shows small images of comet Hartley 2 taken by NASA EPOXI mission over time. The lower panel is a graph showing the variation of total brightness, and the variation of the total amount of carbon dioxide, during the time.

  8. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  9. Carbonic acid as a reserve of carbon dioxide on icy moons: The formation of carbon dioxide (CO{sub 2}) in a polar environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jones, Brant M.; Kaiser, Ralf I.; Strazzulla, Giovanni, E-mail: brantmj@hawaii.edu

    Carbon dioxide (CO{sub 2}) has been detected on the surface of several icy moons of Jupiter and Saturn via observation of the ν{sub 3} band with the Near-Infrared Mapping Spectrometer on board the Galileo spacecraft and the Visible-Infrared Mapping Spectrometer on board the Cassini spacecraft. Interestingly, the CO{sub 2} band for several of these moons exhibits a blueshift along with a broader profile than that seen in laboratory studies and other astrophysical environments. As such, numerous attempts have been made in order to clarify this abnormal behavior; however, it currently lacks an acceptable physical or chemical explanation. We present amore » rather surprising result pertaining to the synthesis of carbon dioxide in a polar environment. Here, carbonic acid was synthesized in a water (H{sub 2}O)-carbon dioxide (CO{sub 2}) (1:5) ice mixture exposed to ionizing radiation in the form of 5 keV electrons. The irradiated ice mixture was then annealed, producing pure carbonic acid which was then subsequently irradiated, recycling water and carbon dioxide. However, the observed carbon dioxide ν{sub 3} band matches almost exactly with that observed on Callisto; subsequent temperature program desorption studies reveal that carbon dioxide synthesized under these conditions remains in solid form until 160 K, i.e., the sublimation temperature of water. Consequently, our results suggest that carbon dioxide on Callisto as well as other icy moons is indeed complexed with water rationalizing the shift in peak frequency, broad profile, and the solid state existence on these relatively warm moons.« less

  10. Ampel Bamboo Leaves Silicon Dioxide (SiO2) Extraction

    NASA Astrophysics Data System (ADS)

    Irzaman; Oktaviani, Novi; Irmansyah

    2018-03-01

    The bamboo tree trunk was the most commonly used part of daily life. Bamboo leaves often wereconsidered waste by the community, and bamboo leaves contain Silicon dioxide (SiO2). We have developed and compare two silicon dioxide method, using combustion to washing method (A) and washing to combustion method (B). Atom purity of either method was 99.9 %, with the tetragonal crystal structure. Mg, Au, Ca, and K impurities were found in Method A sample, andnot in Method B.

  11. Interfacial phenomena in high-kappa dielectrics

    NASA Astrophysics Data System (ADS)

    Mathew, Anoop

    The introduction of novel high-kappa dielectric materials to replace the traditional SiO2 insulating layer in CMOS transistors is a watershed event in the history of transistor development. Further, replacement of the traditional highly-doped polycrystalline silicon gate electrode with a new set of materials for metal gates complicates the transition and introduces further integration challenges. A whole variety of new material surfaces and interfaces are thus introduced that merit close investigation to determine parameters for optimal device performance. Nitrogen is a key component that improves the performance of a variety of materials for the next generation of these CMOS transistors. Nitrogen is introduced into new gate dielectric materials such as hafnium silicates as well as in potential metal gate materials such as hafnium nitride. A photoemission study of the binding energies of the various atoms in these systems using photoemission reveals the nature of the atomic bonding. The current study compares hafnium silicates of various compositions which were thermally nitrided at different temperatures in ammonia, hafnium nitrides, and thin HfO2 films using photoelectron spectroscopy. A recurring theme that is explored is the competition between oxygen and nitrogen atoms in bonding with hafnium and other atoms. The N 1s photoemission peak is seen to have contributions from its bonding with hafnium, oxygen, and silicon atoms. The Hf 4f and O 1s spectra similarly exhibit signatures of their bonding environment with their neighboring atoms. Angle resolved photoemission and in-situ annealing/argon sputtering experiments are used to elucidate the nature of the bonding and its evolution with processing. A nondestructive profilitng of nitrogen distribution as a function of composition in nitrided hafnium silicates is also constructed using angle resolved photoemission as a function of the take-off angle. These results are corroborated with depth reconstruction obtained

  12. X-ray detection capabilities of plastic scintillators incorporated with hafnium oxide nanoparticles surface-modified with phenyl propionic acid

    NASA Astrophysics Data System (ADS)

    Hiyama, Fumiyuki; Noguchi, Takio; Koshimizu, Masanori; Kishimoto, Shunji; Haruki, Rie; Nishikido, Fumihiko; Yanagida, Takayuki; Fujimoto, Yutaka; Aida, Tsutomu; Takami, Seiichi; Adschiri, Tadafumi; Asai, Keisuke

    2018-01-01

    We synthesized plastic scintillators incorporated with HfO2 nanoparticles as detectors for X-ray synchrotron radiation. Nanoparticles with sizes of less than 10 nm were synthesized with the subcritical hydrothermal method. The detection efficiency of high-energy X-ray photons improved by up to 3.3 times because of the addition of the nanoparticles. Nanosecond time resolution was successfully achieved for all the scintillators. These results indicate that this method is applicable for the preparation of plastic scintillators to detect X-ray synchrotron radiation.

  13. Hafnium-doped hydroxyapatite nanoparticles with ionizing radiation for lung cancer treatment.

    PubMed

    Chen, Min-Hua; Hanagata, Nobutaka; Ikoma, Toshiyuki; Huang, Jian-Yuan; Li, Keng-Yuan; Lin, Chun-Pin; Lin, Feng-Huei

    2016-06-01

    Recently, photodynamic therapy (PDT) is one of the new clinical options by generating cytotoxic reactive oxygen species (ROS) to kill cancer cells. However, the optical approach of PDT is limited by tissue penetration depth of visible light. In this study, we propose that a ROS-enhanced nanoparticle, hafnium-doped hydroxyapatite (Hf:HAp), which is a material to yield large quantities of ROS inside the cells when the nanoparticles are bombarded with high penetrating power of ionizing radiation. Hf:HAp nanoparticles are generated by wet chemical precipitation with total doping concentration of 15mol% Hf(4+) relative to Ca(2+) in HAp host material. The results show that the HAp particles could be successfully doped with Hf ions, resulted in the formation of nano-sized rod-like shape and with pH-dependent solubility. The impact of ionizing radiation on Hf:HAp nanoparticles is assessed by using in-vitro and in-vivo model using A549 cell line. The 2',7'-dichlorofluorescein diacetate (DCFH-DA) results reveal that after being exposed to gamma rays, Hf:HAp could significantly lead to the formation of ROS in cells. Both cell viability (WST-1) and cytotoxicity (LDH) assay show the consistent results that A549 lung cancer cell lines are damaged with changes in the cells' ROS level. The in-vivo studies further demonstrate that the tumor growth is inhibited owing to the cells apoptosis when Hf:HAp nanoparticles are bombarded with ionizing radiation. This finding offer a new therapeutic method of interacting with ionizing radiation and demonstrate the potential of Hf:HAp nanoparticles in tumor treatment, such as being used in a palliative treatment after lung surgical procedure. Photodynamic therapy (PDT) is one of the new clinical options by generating cytotoxic reactive oxygen species (ROS) to kill cancer cells. Unfortunately, the approach of PDT is usually limited to the treatment of systemic disease and deeper tumor, due to the limited tissue penetration depth of visible

  14. How reduced vacuum pumping capability in a coating chamber affects the laser damage resistance of HfO 2/SiO 2 antireflection and high reflection coatings.

    DOE PAGES

    Field, Ella Suzanne; Bellum, John Curtis; Kletecka, Damon E.

    2016-06-01

    Optical coatings with the highest laser damage thresholds rely on clean conditions in the vacuum chamber during the coating deposition process. A low base pressure in the coating chamber, as well as the ability of the vacuum system to maintain the required pressure during deposition, are important aspects of limiting the amount of defects in an optical coating that could induce laser damage. Our large optics coating chamber at Sandia National Laboratories normally relies on three cryo pumps to maintain low pressures for e-beam coating processes. However, on occasion, one or more of the cryo pumps have been out ofmore » commission. In light of this circumstance, we explored how deposition under compromised vacuum conditions resulting from the use of only one or two cryo pumps affects the laser-induced damage thresholds of optical coatings. Finally, the coatings of this study consist of HfO 2 and SiO 2 layer materials and include antireflection coatings for 527 nm at normal incidence, and high reflection coatings for 527 nm, 45⁰ angle of incidence (AOI), in P-polarization (P-pol).« less

  15. Glass–water interaction: Effect of high-valence cations on glass structure and chemical durability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hopf, J.; Kerisit, Sebastien N.; Angeli, F.

    2016-05-15

    Borosilicate glass is a durable solid, but it dissolves when in contact with aqueous fluids. The dissolution mechanism, which involves a variety of sequential reactions that occur at the solid-fluid interface, has important implications for the corrosion resistance of industrial and nuclear waste glasses. In this study, spectroscopic measurements, dissolution experiments, and Monte Carlo simulations were performed to investigate the effect of high–valence cations (HVC) on the mechanisms of glass dissolution under dilute and near-saturated conditions. Raman and NMR spectroscopy were used to determine the structural changes that occur in glass, specifically network formers (e.g., Al, Si, and B), withmore » the addition of the HVC element hafnium in the Na2O–Al2O3–B2O3–HfO2–SiO2 system (e.g., Na/[Al+B] = 1.0 and HfO2/SiO2 from 0.0 to 0.42). Spectroscopic measurements revealed that increasing hafnium content decreases N4 (tetrahedral boron/total boron) and increases the amount of Si—O—Hf moieties in the glass. Results from flow–through experiments conducted under dilute and near–saturated conditions show a decrease of approximately 100× or more in the dissolution rate over the series from 0 to 20 mol% HfO2. Comparing the average steady-state rates obtained under dilute conditions to the rates obtained for near-saturated conditions reveals a divergence in the magnitude between the average steady state rates measured in these different conditions. The reason for this divergence was investigated more thoroughly using Monte Carlo simulations. Simulations indicate that the divergence in glass dissolution behavior under dilute and near-saturated conditions result from the stronger binding of Si sites that deposit on the surface from the influent when Hf is present in the glass. As a result, the residence time at the glass surface of these newly-formed Si sites is longer in the presence of Hf, which increases the density of anchor sites from which altered

  16. Effects of HfO2/Al2O3 gate stacks on electrical performance of planar In x Ga1- x As tunneling field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ahn, Dae-Hwan; Yoon, Sang-Hee; Takenaka, Mitsuru; Takagi, Shinichi

    2017-08-01

    We study the impact of gate stacks on the electrical characteristics of Zn-diffused source In x Ga1- x As tunneling field-effect transistors (TFETs) with Al2O3 or HfO2/Al2O3 gate insulators. Ta and W gate electrodes are compared in terms of the interface trap density (D it) of InGaAs MOS interfaces. It is found that D it is lower at the W/HfO2/Al2O3 InGaAs MOS interface than at the Ta/HfO2/Al2O3 interface. The In0.53Ga0.47As TFET with a W/HfO2 (2.7 nm)/Al2O3 (0.3 nm) gate stack of 1.4-nm-thick capacitance equivalent thickness (CET) has a steep minimum subthreshold swing (SS) of 57 mV/dec, which is attributed to the thin CET and low D it. Also, the In0.53Ga0.47As (2.6 nm)/In0.67Ga0.33As (3.2 nm)/In0.53Ga0.47As (96.5 nm) quantum-well (QW) TFET supplemented with this 1.4-nm-thick CET gate stack exhibits a steeper minimum SS of 54 mV/dec and a higher on-current (I on) than those of the In0.53Ga0.47As TFET.

  17. L2₁ and XA Ordering Competition in Hafnium-Based Full-Heusler Alloys Hf₂VZ (Z = Al, Ga, In, Tl, Si, Ge, Sn, Pb).

    PubMed

    Wang, Xiaotian; Cheng, Zhenxiang; Wang, Wenhong

    2017-10-20

    For theoretical designing of full-Heusler based spintroinc materials, people have long believed in the so-called Site Preference Rule (SPR). Very recently, according to the SPR, there are several studies on XA-type Hafnium-based Heusler alloys X₂YZ, i.e., Hf₂VAl, Hf₂CoZ (Z = Ga, In) and Hf₂CrZ (Z = Al, Ga, In). In this work, a series of Hf₂-based Heusler alloys, Hf₂VZ (Z = Al, Ga, In, Tl, Si, Ge, Sn, Pb), were selected as targets to study the site preferences of their atoms by first-principle calculations. It has been found that all of them are likely to exhibit the L2₁-type structure instead of the XA one. Furthermore, we reveal that the high values of spin-polarization of XA-type Hf₂VZ (Z = Al, Ga, In, Tl, Si, Ge, Sn, Pb) alloys have dropped dramatically when they form the L2₁-type structure. Also, we prove that the electronic, magnetic, and physics nature of these alloys are quite different, depending on the L2₁-type or XA-type structures.

  18. Hafnium, Tungsten, and the Differentiation of the Moon and Mars

    NASA Astrophysics Data System (ADS)

    Taylor, G. J.

    2003-11-01

    Measurements of the isotopic composition of tungsten (W) show that lunar samples and Martian meteorites have an excess of W-182. This was produced by the decay of hafnium-182 (Hf-182), an isotope with a half-life of only 9 million years. Because tungsten dissolves enthusiastically in metallic iron and hafnium does not, it is possible to use the abundance of W-182 in rocks formed by melting of the silicate mantle as an indicator of the timing of core formation. However, the concentrations of Hf and W in rocky material can be affected by melting and crystallization, so we also need to know how each element concentrates in common minerals in the mantles of the Moon and Mars. The behavior of Hf has been studied experimentally, but this is not true of W. Kevin Righter (Johnson Space Center) and Charles (Chip) Shearer (University of New Mexico) have filled this knowledge void by determining how W partitions between olivine, high- and low-calcium pyroxene, plagioclase feldspar, and garnet. The new data allowed Righter and Shearer to reexamine available measurements of the isotopic composition of W in lunar samples and Martian meteorites. Their analysis suggests that the lunar magma ocean, a huge magma system that surrounded the Moon when it formed, solidified in less than 30 million years. This is shorter than many theoretical calculations suggest. Pathfinder data and chemical data from Martian meteorites suggest that the core of Mars makes up about 20% of the planet. Core formation and subsequent melting of a region of the mantle containing garnet and high-calcium pyroxene took place less than 20-30 million years after the formation of the first solids in the solar system. This type of research shows the importance of measurements of isotopic compositions of radioactive elements or their decay products and laboratory experiments on the geochemical behavior of those elements.

  19. Uniform Self-rectifying Resistive Switching Behavior via Preformed Conducting Paths in a Vertical-type Ta2O5/HfO2-x Structure with a Sub-μm(2) Cell Area.

    PubMed

    Yoon, Jung Ho; Yoo, Sijung; Song, Seul Ji; Yoon, Kyung Jean; Kwon, Dae Eun; Kwon, Young Jae; Park, Tae Hyung; Kim, Hye Jin; Shao, Xing Long; Kim, Yumin; Hwang, Cheol Seong

    2016-07-20

    To replace or succeed the present NAND flash memory, resistive switching random access memory (ReRAM) should be implemented in the vertical-type crossbar array configuration. The ReRAM cell must have a highly reproducible resistive switching (RS) performance and an electroforming-free, self-rectifying, low-power-consumption, multilevel-switching, and easy fabrication process with a deep sub-μm(2) cell area. In this work, a Pt/Ta2O5/HfO2-x/TiN RS memory cell fabricated in the form of a vertical-type structure was presented as a feasible contender to meet the above requirements. While the fundamental RS characteristics of this material based on the electron trapping/detrapping mechanisms have been reported elsewhere, the influence of the cell scaling size to 0.34 μm(2) on the RS performance by adopting the vertical integration scheme was carefully examined in this work. The smaller cell area provided much better switching uniformity while all the other benefits of this specific material system were preserved. Using the overstressing technique, the nature of RS through the localized conducting path was further examined, which elucidated the fundamental difference between the present material system and the general ionic-motion-related bipolar RS mechanism.

  20. Zircon geochronology and Hf-O isotope geochemistry from granites in the Iapetus Suture Zone in Ireland and the Isle of Man

    NASA Astrophysics Data System (ADS)

    Fritschle, Tobias; Daly, J. Stephen; Whitehouse, Martin J.; McConnell, Brian; Buhre, Stephan

    2014-05-01

    Late Caledonian syn- to post-orogenic granites located in the Iapetus Suture Zone (ISZ) in Ireland and Britain have been related to A-type subduction and possible slab breakoff [1] following the Laurentia-Avalonian collision. Lack of reliable age data (especially in Ireland) has inhibited petrogenetic investigations of these rocks. Hence, ion microprobe U-Pb and oxygen isotope analyses as well as LA-MC-ICPMS Lu-Hf isotopic measurements on zircons from Irish and Isle of Man granites have been undertaken to provide better constraints on this enigmatic episode of the Caledonian Orogeny. Four stages of Late Caledonian granitic magmatism (c. 435, 417, 410 and 394 Ma) are indicated by U-Pb dating of oscillatory-zoned magmatic zircons. The Crossdoney, Kentstown, Drogheda and Ballynamuddagh granites together with a rhyolite from Glenamaddy have yielded U-Pb concordia ages, interpreted as intrusion-ages, between 419.9 ± 4.3 Ma (Glenamaddy) and 415.8 ± 2.0 Ma (Crossdoney) with a weighted average of 417.5 ± 0.9 Ma (MSWD = 1.3). The Glenamaddy Granite - which intruded the Glenamaddy Rhyolite - yielded an age of 410 ± 2.1 Ma. In addition, the Rockabill Granite yielded a younger age of 393.9 ± 1.9 Ma, whereas the Carnsore Granite yielded an older age of 434.6 ± 1.9 Ma. Inherited zircons (487 to 453 Ma) occur in several of the granites, and are interpreted to have been derived from Ordovician arc magmatic rocks accreted within the ISZ. A younger group of c. 440 Ma inherited zircons occurs in the c. 417 Ma Crossdoney and Ballynamuddagh granites. These grains could be related to continued or renewed Silurian arc magmatism. Hf-O isotopic measurements on the dated zircon grains range between -2 and +7 ɛHfi units and 5.5 to 8.5 o δ18O. These are interpreted to indicate the contribution of juvenile mantle melts - possibly derived from the Ordovician arc - to some of the granites. Significant heterogeneities in zircon oxygen isotopes in at least four of the granites further

  1. Biologically Self-Assembled Memristive Circuit Elements

    DTIC Science & Technology

    2010-01-01

    hydrothermal approach, TiO2 nanoparticles were synthesized by slowly stirring a 1:3 volumetric ratio mixture of titanium isopropoxide (TTIP) and isopropyl...Synthesis of TiO2 nanoparticles by hydrolysis and peptization of titanium isopropoxide solution. Journal of Materials Processing Technology 2007, 189...important role interfacing with group IV metal oxides such as hafnium, providing a stable linkage to the surface [3]. Titanium dioxide (TiO2), which is

  2. Cesium hafnium chloride: A high light yield, non-hygroscopic cubic crystal scintillator for gamma spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burger, Arnold, E-mail: aburger@fisk.edu; Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235; Rowe, Emmanuel

    We report on the scintillation properties of Cs{sub 2}HfCl{sub 6} (cesium hafnium chloride or CHC) as an example of a little-known class of non-hygroscopic compounds having the generic cubic crystal structure of K{sub 2}PtCl{sub 6}. The crystals are easily growable from the melt using the Bridgman method with minimal precursor treatments or purification. CHC scintillation is centered at 400 nm, with a principal decay time of 4.37 μs and a light yield of up to 54 000 photons/MeV when measured using a silicon CCD photodetector. The light yield is the highest ever reported for an undoped crystal, and CHC also exhibits excellent lightmore » yield nonproportionality. These desirable properties allowed us to build and test CHC gamma-ray spectrometers providing energy resolution of 3.3% at 662 keV.« less

  3. Carbon dioxide sensor

    DOEpatents

    Dutta, Prabir K [Worthington, OH; Lee, Inhee [Columbus, OH; Akbar, Sheikh A [Hilliard, OH

    2011-11-15

    The present invention generally relates to carbon dioxide (CO.sub.2) sensors. In one embodiment, the present invention relates to a carbon dioxide (CO.sub.2) sensor that incorporates lithium phosphate (Li.sub.3PO.sub.4) as an electrolyte and sensing electrode comprising a combination of lithium carbonate (Li.sub.2CO.sub.3) and barium carbonate (BaCO.sub.3). In another embodiment, the present invention relates to a carbon dioxide (CO.sub.2) sensor has a reduced sensitivity to humidity due to a sensing electrode with a layered structure of lithium carbonate and barium carbonate. In still another embodiment, the present invention relates to a method of producing carbon dioxide (CO.sub.2) sensors having lithium phosphate (Li.sub.3PO.sub.4) as an electrolyte and sensing electrode comprising a combination of lithium carbonate (Li.sub.2CO.sub.3) and barium carbonate (BaCO.sub.3).

  4. Measuring Atmospheric Carbon Dioxide from Space with the Orbiting Carbon Observatory-2 (OCO-2)

    NASA Technical Reports Server (NTRS)

    Crisp, D.

    2015-01-01

    The OCO-2 is the first NASA satellite designed to measure atmospheric carbon dioxide with the accuracy, resolution, and coverage needed to detect CO2 sources and sinks on regional scales over the globe.

  5. Multimode resistive switching in nanoscale hafnium oxide stack as studied by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hou, Y., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn; IMEC, Kapeldreef 75, B-3001 Heverlee; Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee

    2016-07-11

    The nanoscale resistive switching in hafnium oxide stack is investigated by the conductive atomic force microscopy (C-AFM). The initial oxide stack is insulating and electrical stress from the C-AFM tip induces nanometric conductive filaments. Multimode resistive switching can be observed in consecutive operation cycles at one spot. The different modes are interpreted in the framework of a low defect quantum point contact theory. The model implies that the optimization of the conductive filament active region is crucial for the future application of nanoscale resistive switching devices.

  6. Composition effects on mechanical properties of tungsten-rhenium-hafnium-carbon alloys

    NASA Technical Reports Server (NTRS)

    Witzke, W. R.

    1973-01-01

    The mechanical properties of rod and sheet fabricated from arc melted W-4Re-Hf-C alloys containing up to about 0.8 mol percent hafnium carbide (HfC) were evaluated in the as-worked condition. The DBTT's of electropolished bend and tensile specimens were independent of HfC content in this range but dependent on excess Hf or C above that required for stoichiometric HfC. Low temperature ductility was a maximum at Hf contents slightly in excess of stoichiometric. Variations in high temperature strength were also dependent on excess Hf and C. Maximum creep strengthening also occurred at Hf contents in excess of stoichiometric. Analysis of extracted second phase particles indicated that creep strength was reduced by increasing WC content in the HfC particles.

  7. Diffusion of cis-3-methyl-2-pent-2-enyl-cyclopent-2-enone (1); carbon dioxide (2)

    NASA Astrophysics Data System (ADS)

    Winkelmann, J.

    This document is part of Subvolume A `Gases in Gases, Liquids and their Mixtures' of Volume 15 `Diffusion in Gases, Liquids and Electrolytes' of Landolt-Börnstein Group IV `Physical Chemistry'. It is part of the chapter of the chapter `Diffusion in Pure Gases' and contains data on diffusion of (1) cis-3-methyl-2-pent-2-enyl-cyclopent-2-enone; (2) carbon dioxide

  8. Magmatic and Crustal Differentiation History of Granitic Rocks from Hf-O Isotopes in Zircon

    NASA Astrophysics Data System (ADS)

    Kemp, , A. I. S.; Hawkesworth, , C. J.; Foster, , G. L.; Paterson, , B. A.; Woodhead, , J. D.; Hergt, , J. M.; Gray, , C. M.; Whitehouse, M. J.

    2007-02-01

    Granitic plutonism is the principal agent of crustal differentiation, but linking granite emplacement to crust formation requires knowledge of the magmatic evolution, which is notoriously difficult to reconstruct from bulk rock compositions. We unlocked the plutonic archive through hafnium (Hf) and oxygen (O) isotope analysis of zoned zircon crystals from the classic hornblende-bearing (I-type) granites of eastern Australia. This granite type forms by the reworking of sedimentary materials by mantle-like magmas instead of by remelting ancient metamorphosed igneous rocks as widely believed. I-type magmatism thus drives the coupled growth and differentiation of continental crust.

  9. Isolation of tungsten and tantalum isotopes without supports from. cap alpha. -particle-irradiated hafnium targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gasita, S.M.; Iota, B.Z.; Malachkov, A.G.

    1985-11-01

    An extraction procedure has been developed for successive isolation of tungsten (/sup 178/W and /sup 181/W) and tantalum (/sup 179/Ta and /sup 182/Ta) isotopes without supports from ..cap alpha..particle-irradiated hafnium targets. The target, irradiated on a cyclotron, is dissolved in hydrofluoric acid. Tantalum isotopes are extracted with tributyl phosphate (TBP) from 1-5 M HF and are then reextracted with a 1:1 ammonia solution, and hydrofluoric acid is removed by heating. Tungsten isotopes are extracted with a chloroform solution or N-benzoyl-N-phenylhydroxylamine (BPHA) from 11-12 M H/sub 2/SO/sub 4/ or ..cap alpha..-benzoin oxime from 4.5-5.5 M H/sub 2/SO/sub 4/ and are thenmore » reextracted with a l:l ammonia solution. The yield of tungsten isotopes is not less than 95%, and the content of radioactive impurities of other isotopes is not more than 0.1%.« less

  10. 40 CFR 60.4330 - What emission limits must I meet for sulfur dioxide (SO2)?

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... sulfur dioxide (SO2)? 60.4330 Section 60.4330 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... sulfur dioxide (SO2)? (a) If your turbine is located in a continental area, you must comply with either... contains total potential sulfur emissions in excess of 26 ng SO2/J (0.060 lb SO2/MMBtu) heat input. If your...

  11. 40 CFR 60.4330 - What emission limits must I meet for sulfur dioxide (SO2)?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... sulfur dioxide (SO2)? 60.4330 Section 60.4330 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... sulfur dioxide (SO2)? (a) If your turbine is located in a continental area, you must comply with either... contains total potential sulfur emissions in excess of 26 ng SO2/J (0.060 lb SO2/MMBtu) heat input. If your...

  12. 40 CFR 60.4330 - What emission limits must I meet for sulfur dioxide (SO2)?

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... sulfur dioxide (SO2)? 60.4330 Section 60.4330 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... sulfur dioxide (SO2)? (a) If your turbine is located in a continental area, you must comply with either... contains total potential sulfur emissions in excess of 26 ng SO2/J (0.060 lb SO2/MMBtu) heat input. If your...

  13. 40 CFR 60.4330 - What emission limits must I meet for sulfur dioxide (SO2)?

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... sulfur dioxide (SO2)? 60.4330 Section 60.4330 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... sulfur dioxide (SO2)? (a) If your turbine is located in a continental area, you must comply with either... contains total potential sulfur emissions in excess of 26 ng SO2/J (0.060 lb SO2/MMBtu) heat input. If your...

  14. 40 CFR 60.4330 - What emission limits must I meet for sulfur dioxide (SO2)?

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... sulfur dioxide (SO2)? 60.4330 Section 60.4330 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... sulfur dioxide (SO2)? (a) If your turbine is located in a continental area, you must comply with either... contains total potential sulfur emissions in excess of 26 ng SO2/J (0.060 lb SO2/MMBtu) heat input. If your...

  15. Low-voltage high-performance organic thin film transistors with a thermally annealed polystyrene/hafnium oxide dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Acton, Orb; Ting, Guy; Weidner, Tobias; Ma, Hong; Castner, David G.; Jen, Alex K.-Y.

    2009-12-01

    Low-voltage pentacene-based organic thin film transistors (OTFTs) are demonstrated with polystyrene (PS)/hafnium oxide (HfOx) hybrid dielectrics. Thermal annealing of PS films on HfOx at 120 °C (PS-120) induces a flatter orientation of the phenyl groups (tilt angle 65°) at the surface compared to PS films without annealing (PS-RT) (tilt angle 31°). The flatter phenyl group orientation leads to better matching of surface energy between pentacene and PS. Pentacene deposited on PS-120 display higher quality thin films with larger grain sizes and higher crystallinity. Pentacene OTFTs with PS-120/HfOx hybrid dielectrics can operate at low-voltage (<3 V) with high field-effect mobilities (1 cm2/V s), high on/off current ratios (106), and low subthreshold slopes (100 mV/dec).

  16. High-energy X-ray detection by hafnium-doped organic-inorganic hybrid scintillators prepared by sol-gel method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Yan; Koshimizu, Masanori, E-mail: koshi@qpc.che.tohoku.ac.jp; Yahaba, Natsuna

    2014-04-28

    With the aim of enhancing the efficiency with which plastic scintillators detect high-energy X-rays, hafnium-doped organic-inorganic hybrid scintillators were fabricated via a sol-gel method. Transmission electron microscopy of sampled material reveals the presence of Hf{sub x}Si{sub 1−x}O{sub 2} nanoparticles, dispersed in a polymer matrix that constitutes the active material of the X-ray detector. With Hf{sub x}Si{sub 1−x}O{sub 2} nanoparticles incorporated in the polymer matrix, the absorption edge and the luminescence wavelength is shifted, which we attribute to Mie scattering. The detection efficiency for 67.4-keV X-rays in a 0.6-mm-thick piece of this material is two times better than the same thicknessmore » of a commercial plastic scintillator-NE142.« less

  17. Precipitated Silica from Pumice and Carbon Dioxide Gas (Co2) in Bubble Column Reactor

    NASA Astrophysics Data System (ADS)

    Dewati, R.; Suprihatin, S.; Sumada, K.; Muljani, S.; Familya, M.; Ariani, S.

    2018-01-01

    Precipitated silica from silica and carbon dioxide gas has been studied successfully. The source of silica was obtained from pumice stone while precipitation process was carried out with carbon dioxide gas (CO2). The sodium silicate solution was obtained by extracting the silica from pumice stone with sodium hydroxide (NaOH) solution and heated to 100 °C for 1 h. The carbon dioxide gas is injected into the aqueous solution of sodium silicate in a bubble column reactor to form precipitated silica. m2/g. The results indicate that the products obtained are precipitate silica have surface area in the range of 100 - 227 m2/g, silica concentration more than 80%, white in appearance, and silica concentration reached 90% at pH 7.

  18. 6-Peroxo-6-zirconium crown and its hafnium analogue embedded in a triangular polyanion: [M6(O2)6(OH)6(gamma-SiW10O36)3]18- (M = Zr, Hf).

    PubMed

    Bassil, Bassem S; Mal, Sib Sankar; Dickman, Michael H; Kortz, Ulrich; Oelrich, Holger; Walder, Lorenz

    2008-05-28

    We have synthesized and structurally characterized the unprecedented peroxo-zirconium(IV) containing [Zr6(O2)6(OH)6(gamma-SiW10O36)3]18- (1). Polyanion 1 comprises a cyclic 6-peroxo-6-zirconium core stabilized by three decatungstosilicate units. We have also prepared the isostructural hafnium(IV) analogue [Hf6(O2)6(OH)6(gamma-SiW10O36)3]18- (2). We investigated the acid/base and redox properties of 1 by UV-vis spectroscopy and electrochemistry studies. Polyanion 1 represents the first structurally characterized Zr-peroxo POM with side-on, bridging peroxo units. The simple, one-pot synthesis of 1 and 2 involving dropwise addition of aqueous hydrogen peroxide could represent a general procedure for incorporating peroxo groups into a large variety of transition metal and lanthanide containing POMs.

  19. Tracing the history of submarine hydrothermal inputs and the significance of hydrothermal hafnium for the seawater budget - A combined Pb-Hf-Nd isotope approach

    USGS Publications Warehouse

    van de Flierdt, T.; Frank, M.; Halliday, A.N.; Hein, J.R.; Hattendorf, B.; Gunther, D.; Kubik, P.W.

    2004-01-01

    Secular variations in the Pb isotopic composition of a mixed hydrogenous-hydrothermal ferromanganese crust from the Bauer Basin in the eastern Equatorial Pacific provide clear evidence for changes in hydrothermal contributions during the past 7 Myr. The nearby Galapagos Rise spreading center provided a strong hydrothermal flux prior to 6.5 Ma. After 6.5 Ma, the Pb became stepwise more radiogenic and more similar to Equatorial Pacific seawater, reflecting the westward shift of spreading to the presently active East Pacific Rise (EPR). A second, previously unrecognized enhanced hydrothermal period occurred between 4.4 and 2.9 Ma, which reflects either off-axis hydrothermal activity in the Bauer Basin or a late-stage pulse of hydrothermal Pb from the then active, but waning Galapagos Rise spreading center. Hafnium isotope time-series of the same mixed hydrogenous-hydrothermal crust show invariant values over the past 7 Myr. Hafnium isotope ratios, as well as Nd isotope ratios obtained for this crust, are identical to that of hydrogenous Equatorial Pacific deep water crusts and clearly indicate that hydrothermal Hf, similar to Nd, does not travel far from submarine vents. Therefore, we suggest that hydrothermal Hf fluxes do not contribute significantly to the global marine Hf budget. ?? 2004 Elsevier B.V. All rights reserved.

  20. Investigations about the quantitative changes of carbon dioxide production in humans. Report 2: Carbon dioxide production during fever and its relationship with heat production

    NASA Technical Reports Server (NTRS)

    Liebermeister, C.

    1978-01-01

    Investigations are cited and explained for carbon dioxide production during fever and its relationship with heat production. The general topics of discussion are: (1) carbon dioxide production for alternating fever attacks; (2) heat balance during the perspiration phase; (3) heat balance during the chill phase; (4) the theory of fever; and (5) chill phase for other fever attacks.

  1. Zirconium and hafnium fractionation in differentiation of alkali carbonatite magmatic systems

    NASA Astrophysics Data System (ADS)

    Kogarko, L. N.

    2016-05-01

    Zirconium and hafnium are valuable strategic metals which are in high demand in industry. The Zr and Hf contents are elevated in the final products of magmatic differentiation of alkali carbonatite rocks in the Polar Siberia region (Guli Complex) and Ukraine (Chernigov Massif). Early pyroxene fractionation led to an increase in the Zr/Hf ratio in the evolution of the ultramafic-alkali magmatic system due to a higher distribution coefficient of Hf in pyroxene with respect to Zr. The Rayleigh equation was used to calculate a quantitative model of variation in the Zr/Hf ratio in the development of the Guli magmatic system. Alkali carbonatite rocks originated from rare element-rich mantle reservoirs, in particular, the metasomatized mantle. Carbonated mantle xenoliths are characterized by a high Zr/Hf ratio due to clinopyroxene development during metasomatic replacement of orthopyroxene by carbonate fluid melt.

  2. Oxygen migration during resistance switching and failure of hafnium oxide memristors

    DOE PAGES

    Kumar, Suhas; Wang, Ziwen; Huang, Xiaopeng; ...

    2017-03-06

    While the recent establishment of the role of thermophoresis/diffusion-driven oxygen migration during resistance switching in metal oxide memristors provided critical insights required for memristor modeling, extended investigations of the role of oxygen migration during ageing and failure remain to be detailed. Such detailing will enable failure-tolerant design, which can lead to enhanced performance of memristor-based next-generation storage-class memory. Furthermore, we directly observed lateral oxygen migration using in-situ synchrotron x-ray absorption spectromicroscopy of HfO x memristors during initial resistance switching, wear over millions of switching cycles, and eventual failure, through which we determined potential physical causes of failure. Using this information,more » we reengineered devices to mitigate three failure mechanisms and demonstrated an improvement in endurance of about three orders of magnitude.« less

  3. 78 FR 47191 - Air Quality Designations for the 2010 Sulfur Dioxide (SO2) Primary National Ambient Air Quality...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-08-05

    ... Air Quality Designations for the 2010 Sulfur Dioxide (SO[bdi2]) Primary National Ambient Air Quality... air quality designations for certain areas in the United States for the 2010 primary Sulfur Dioxide... of this document? III. What is sulfur dioxide? IV. What is the 2010 SO 2 NAAQS and what are the...

  4. Experimental and first-principles studies on the elastic properties of α-hafnium metal under pressure

    DOE PAGES

    Qi, Xintong; Wang, Xuebing; Chen, Ting; ...

    2016-03-30

    Compressional and shear wave velocities of the α phase of hafnium have been measured up to 10.4 GPa at room temperature using ultrasonic interferometry in a multi-anvil apparatus. A finite strain equation of state analysis yielded K s0 = 110.4 (5) GPa, G 0 = 54.7(5) GPa,K s0' = 3.7 and G 0' = 0.6 for the elastic bulk and shear moduli and their pressure derivatives at ambient conditions. Complementary to the experimental data, the single crystal elastic constants, elastic anisotropy and the unit cell axial ratio c/a of α-hafnium at high pressures were investigated by Density Functional Theory (DFT)more » based first principles calculations. A c/a value of 1.605 is predicted for α-Hf at 40 GPa, which is in excellent agreement with previous experimental results. The low-pressure derivative of the shear modulus observed in our experimental data up to 10 GPa was found to originate from the elastic constant C44 which exhibits negligible pressure dependence within the current experimental pressure range. At higher pressures (>10 GPa), C 44 was predicted to soften and the shear wave velocity ν S trended to decrease with pressure, which can be interpreted as a precursor to the α-ω transition similar to that observed in other group IV elements (titanium and zirconium). Here, the acoustic velocities, bulk and shear moduli, and the acoustic Debye temperature (θ D = 240.1 K) determined from the current experiments were all compared well with those predicted by our theoretical DFT calculations.« less

  5. Carbon dioxide-water clathrate as a reservoir of CO2 on Mars

    NASA Technical Reports Server (NTRS)

    Dobrovolskis, A.; Ingersell, A. P.

    1975-01-01

    It has been suggested that the residual polar caps of Mars contain a resorvoir of permanently frozen carbon dioxide which is controlling the atmospheric pressure. However, observational data and models of the polar heat balance suggest that the temperatures of the Martian poles are too high for solid CO2 to survive permanently. On the other hand, the icelike compound carbon dioxide-water clathrate could function as a CO2 reservoir instead of solid CO2, because it is stable at higher temperatures. This paper shows that the permanent polar caps may contain several millibars of CO2 in the form of clathrate, and discusses the implications of this permanent clathrate reservoir for the present and past atmospheric pressure on Mars.

  6. Preparation of Heat Treated Titanium Dioxide (TiO2) Nanoparticles for Water Purification

    NASA Astrophysics Data System (ADS)

    Araoyinbo, A. O.; Abdullah, M. M. A. B.; Rahmat, A.; Azmi, A. I.; Vizureanu, P.; Rahim, W. M. F. Wan Abd

    2018-06-01

    Photocatalysis using the semiconductor titanium dioxide (TiO2) has proven to be a successful technology for waste water purification. The photocatalytic treatment is an alternative method for the removal of soluble organic compounds in waste water. In this research, titanium dioxide nanoparticles were synthesized by sol-gel method using titanium tetraisopropoxide (TTIP) as a precursor. The sol was dried in the oven at 120°C after aging for 24 hours. The dried powder was then calcined at 400°C and 700°C with a heating rate of 10°C/min. The phase transformation of the heat treated titanium dioxide nanoparticles were characterized by X-Ray Diffraction (XRD, and the surface morphology by Scanning Electron Microscopy (SEM). The photocatalytic activity of the heat treated titanium dioxide nanoparticles in the degradation of methyl orange (MO) dye under ultraviolet (UV) light irradiation has been studied. At calcination temperature of 400°C, only anatase phase was observed, as the calcination temperature increases to 700°C, the rutile phase was present. The SEM images show the irregular shape of titanium dioxide particles and the agglomeration which tends to be more significant at calcined temperature of 700°C. Degradation of methyl orange by 5 mg heat treated titanium dioxide nanoparticles gives the highest percentage of degradation after irradiation by UV lamp for 4 hours.

  7. Eu-doped ZnO-HfO2 hybrid nanocrystal-embedded low-loss glass-ceramic waveguides

    NASA Astrophysics Data System (ADS)

    Ghosh, Subhabrata; N, Shivakiran Bhaktha B.

    2016-03-01

    We report on the sol-gel fabrication, using a dip-coating technique, of low-loss Eu-doped 70SiO2 -(30-x) HfO2-xZnO (x = 2, 5, 7 and 10 mol%) ternary glass-ceramic planar waveguides. Transmission electron microscopy and grazing incident x-ray diffraction experiments confirm the controlled growth of hybrid nanocrystals with an average size of 3 nm-25 nm, composed of ZnO encapsulated by a thin layer of nanocrystalline HfO2, with an increase of ZnO concentration from x = 2 mol% to 10 mol% in the SiO2-HfO2 composite matrix. The effect of crystallization on the local environment of Eu ions, doped in the ZnO-HfO2 hybrid nanocrystal-embedded glass-ceramic matrix, is studied using photoluminescence spectra, wherein an intense mixed-valence state (divalent as well as trivalent) emission of Eu ions is observed. The existence of Eu2+ and Eu3+ in the SiO2-HfO2-ZnO ternary matrix is confirmed by x-ray photoelectron spectroscopy. Importantly, the Eu{}2+,3+-doped ternary waveguides exhibit low propagation losses (0.3 ± 0.2 dB cm-1 at 632.8 nm) and optical transparency in the visible region of the electromagnetic spectrum, which makes ZnO-HfO2 nanocrystal-embedded SiO2-HfO2-ZnO waveguides a viable candidate for the development of on-chip, active, integrated optical devices.

  8. Atmospheric CO2 capture by algae: Negative carbon dioxide emission path.

    PubMed

    Moreira, Diana; Pires, José C M

    2016-09-01

    Carbon dioxide is one of the most important greenhouse gas, which concentration increase in the atmosphere is associated to climate change and global warming. Besides CO2 capture in large emission point sources, the capture of this pollutant from atmosphere may be required due to significant contribution of diffuse sources. The technologies that remove CO2 from atmosphere (creating a negative balance of CO2) are called negative emission technologies. Bioenergy with Carbon Capture and Storage may play an important role for CO2 mitigation. It represents the combination of bioenergy production and carbon capture and storage, keeping carbon dioxide in geological reservoirs. Algae have a high potential as the source of biomass, as they present high photosynthetic efficiencies and high biomass yields. Their biomass has a wide range of applications, which can improve the economic viability of the process. Thus, this paper aims to assess the atmospheric CO2 capture by algal cultures. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. 1,4,2-Benzo/pyridodithiazine 1,1-dioxides structurally related to the ATP-sensitive potassium channel openers 1,2,4-Benzo/pyridothiadiazine 1,1-dioxides exert a myorelaxant activity linked to a distinct mechanism of action.

    PubMed

    Pirotte, Bernard; de Tullio, Pascal; Florence, Xavier; Goffin, Eric; Somers, Fabian; Boverie, Stéphane; Lebrun, Philippe

    2013-04-25

    The synthesis of diversely substituted 3-alkyl/aralkyl/arylamino-1,4,2-benzodithiazine 1,1-dioxides and 3-alkylaminopyrido[4,3-e]-1,4,2-dithiazine 1,1-dioxides is described. Their biological activities on pancreatic β-cells and on smooth muscle cells were compared to those of the reference ATP-sensitive potassium channel (KATP channel) openers diazoxide and 7-chloro-3-isopropylamino-4H-1,2,4-benzothiadiazine 1,1-dioxide. The aim was to assess the impact on biological activities of the replacement of the 1,2,4-thiadiazine ring by an isosteric 1,4,2-dithiazine ring. Most of the dithiazine analogues were found to be inactive on the pancreatic tissue, although some compounds bearing a 1-phenylethylamino side chain at the 3-position exerted a marked myorelaxant activity. Such an effect did not appear to be related to the opening of KATP channels but rather reflected a mechanism of action similar to that of calcium channel blockers. Tightly related 3-(1-phenylethyl)sulfanyl-4H-1,2,4-benzothiadiazine 1,1-dioxides were also found to exert a pronounced myorelaxant activity, resulting from both a KATP channel activation and a calcium channel blocker mechanism. The present work highlights the critical importance of an intracyclic NH group at the 4-position, as well as an exocyclic NH group linked to the 3-position of the benzo- and pyridothiadiazine dioxides, for activity on KATP channels.

  10. Vanadium doped tin dioxide as a novel sulfur dioxide sensor.

    PubMed

    Das, S; Chakraborty, S; Parkash, O; Kumar, D; Bandyopadhyay, S; Samudrala, S K; Sen, A; Maiti, H S

    2008-04-15

    Considering the short-term exposure limit of SO2 to be 5 ppm, we first time report that semiconductor sensors based on vanadium doped SnO2 can be used for SO2 leak detection because of their good sensitivity towards SO2 at concentrations down to 5 ppm. Such sensors are quite selective in presence of other gases like carbon monoxide, methane and butane. The high sensitivity of vanadium doped tin dioxide towards SO2 may be understood by considering the oxidation of sulfur dioxide to sulfur trioxide on SnO2 surface through redox cycles of vanadium-sulfur-oxygen adsorbed species.

  11. The carbon dioxide cycle

    USGS Publications Warehouse

    James, P.B.; Hansen, G.B.; Titus, T.N.

    2005-01-01

    The seasonal CO2 cycle on Mars refers to the exchange of carbon dioxide between dry ice in the seasonal polar caps and gaseous carbon dioxide in the atmosphere. This review focuses on breakthroughs in understanding the process involving seasonal carbon dioxide phase changes that have occurred as a result of observations by Mars Global Surveyor. ?? 2004 COSPAR. Published by Elsevier Ltd. All rights reserved.

  12. Measuring Atmospheric Carbon Dioxide from Space: The GOSAT and OCO-2 Missions

    NASA Technical Reports Server (NTRS)

    Crisp, David

    2011-01-01

    The Japanese Greenhouse gases Observing Satellite (GOSAT) is providing new insight into atmospheric carbon dioxide trends. The NASA Orbiting Carbon Observatory-2 (OCO-2)Mission will build on this record with increased sensitivity resolution, and coverage.

  13. Work functions of hafnium nitride thin films as emitter material for field emitter arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gotoh, Yasuhito, E-mail: gotoh.yasuhito.5w@kyoto-u.ac.jp; Fujiwara, Sho; Tsuji, Hiroshi

    The work functions of hafnium nitride thin films prepared by radio-frequency magnetron sputtering were investigated in vacuum, before and after surface cleaning processes, with a view of improving the properties of as-fabricated field emitter arrays comprising hafnium nitride emitters. The measurement of the work function was first performed for the as-deposited films and then for films subjected to surface cleaning process, either thermal treatment or ion bombardment. Thermal treatment at a maximum temperature of 300 °C reduced the work function by 0.7 eV. Once the film was heated, the work function maintained the reduced value, even after cooling to room temperature. Amore » little change in the work function was observed for the second and third thermal treatments. The ion bombardment was conducted by exposing the sample to a thin plasma for different sample bias conditions and processing times. When the sample was biased at −10 V, the work function decreased by 0.6 eV. The work function reduction became saturated in the early stage of the ion bombardment. When the sample was biased at −50 V, the work function exhibited different behaviors, that is, first it decreased rapidly and then increased in response to the increase in processing time. The lowest attainable work function was found to be 4.00 eV. It should be noted that none of the work function values reported in this paper were obtained using surfaces that were demonstrated to be free from oxygen contamination. The present results suggest that the current–voltage characteristics of a field emitter array can be improved by a factor of 25–50 by the examined postprocesses.« less

  14. 21 CFR 868.2480 - Cutaneous carbon dioxide (PcCO2) monitor.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Cutaneous carbon dioxide (PcCO2) monitor. 868.2480 Section 868.2480 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES... (PcCO2) and Oxygen (PcO2) Monitors; Guidance for Industry and FDA.” See § 868.1(e) for the...

  15. DISPERSION POLYMERIZATION OF 2-HYDROXYETHYL METHACRYLATE IN SUPERCRITICAL CARBON DIOXIDE. (R826115)

    EPA Science Inventory

    Herein we report a successful dispersion polymerization of 2-hydroxyethyl methacrylate (HEMA) in a carbon dioxide continuous phase with a block copolymer consisting of polystyrene and poly(1,1-dihydroperfluorooctyl acrylate) as a stabilizer. Poly(2-hydroxyethyl methacrylate) was ...

  16. Remote operated vehicle with carbon dioxide blasting (ROVCO{sub 2})

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Resnick, A.M.

    The Remote Operated Vehicle with Carbon Dioxide Blasting (ROVCO{sub 2}), as shown in a front view, is a six-wheeled remote land vehicle used to decontaminate concrete floors. The remote vehicle has a high pressure Cryogenesis blasting subsystem, Oceaneering Technologies (OTECH) developed a CO{sub 2} xY Orthogonal Translational End Effector (COYOTEE) subsystem, and a vacuum/filtration and containment subsystem. Figure 2 shows a block diagram with the various subsystems labeled.

  17. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  18. Validating Carbon Dioxide Measurements from NASA OCO-2 Over Los Angeles

    NASA Image and Video Library

    2014-10-01

    This image shows NASA OCO-2 measurements of carbon dioxide levels over Pasadena and the northern Los Angeles basin on Sept. 5, 2014. Each colored dot represents a single measurement of the greenhouse gas made during an overflight of the area.

  19. 21 CFR 173.300 - Chlorine dioxide.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 3 2011-04-01 2011-04-01 false Chlorine dioxide. 173.300 Section 173.300 Food and... Additives § 173.300 Chlorine dioxide. Chlorine dioxide (CAS Reg. No. 10049-04-4) may be safely used in food... chlorine dioxide with respect to all chlorine species as determined by Method 4500-ClO2 E in the “Standard...

  20. 21 CFR 184.1240 - Carbon dioxide.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 3 2014-04-01 2014-04-01 false Carbon dioxide. 184.1240 Section 184.1240 Food and....1240 Carbon dioxide. (a) Carbon dioxide (empirical formula CO2, CAS Reg. No. 124-38-9) occurs as a..., sublimes under atmospheric pressure at a temperature of −78.5 °C. Carbon dioxide is prepared as a byproduct...

  1. Research on c-HfO2 (0 0 1)/α -Al2O3 (1 -1 0 2) interface in CTM devices based on first principle theory

    NASA Astrophysics Data System (ADS)

    Lu, Wenjuan; Dai, Yuehua; Wang, Feifei; Yang, Fei; Ma, Chengzhi; Zhang, Xu; Jiang, Xianwei

    2017-12-01

    With the growing application of high-k dielectrics, the interface between HfO2 and Al2O3 play a crucial role in CTM devices. To clearly understand the interaction of the HfO-AlO interface at the atomic and electronic scale, the bonding feature, electronic properties and charge localized character of c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has been investigated by first principle calculations. The c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has adhesive energy about -1.754 J/m2, suggesting that this interface can exist stably. Through analysis of Bader charge and charge density difference, the intrinsic interfacial gap states are mainly originated from the OII and OIII types oxygen atoms at the interface, and only OIII type oxygen atoms can localized electrons effectively and are provided with good reliability during P/E cycles, which theoretically validate the experimental results that HfO2/Al2O3 multi-layered charge trapping layer can generate more effective traps in memory device. Furthermore, the influence of interfacial gap states during P/E cycles in the defective interface system have also been studied, and the results imply that defective system displays the degradation on the reliability during P/E cycles, while, the charge localized ability of interfacial states is stronger than intrinsic oxygen vacancy in the trapping layer. Besides, these charge localized characters are further explained by the analysis of the density of states correspondingly. In sum, our results compare well with similar experimental observations in other literatures, and the study of the interfacial gap states in this work would facilitate further development of interface passivation.

  2. Environmental Stability and Oxidation Behavior of HfO2-Si and YbGd(O) Based Environmental Barrier Coating Systems for SiCSiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming; Farmer, Serene; McCue, Terry R.; Harder, Bryan; Hurst, Janet B.

    2017-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, environmental durable environmental barrier coating systems. In this paper, the durability and performance of advanced Electron Beam-Physical Vapor Deposition (EB-PVD) NASA HfO2-Si and YbGdSi(O) EBC bond coat top coat systems for SiCSiC CMC have been summarized. The high temperature thermomechanical creep, fatigue and oxidation resistance have been investigated in the laboratory simulated high-heat-flux environmental test conditions. The advanced NASA EBC systems showed promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  3. 21 CFR 73.1575 - Titanium dioxide.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1575 Titanium dioxide. (a) Identity and specifications. (1) The color additive titanium dioxide shall conform in identity and specifications to the requirements of § 73.575(a)(1) and (b). (2) Color additive mixtures for drug use made with titanium dioxide may...

  4. 21 CFR 73.1575 - Titanium dioxide.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1575 Titanium dioxide. (a) Identity and specifications. (1) The color additive titanium dioxide shall conform in identity and specifications to the requirements of § 73.575(a)(1) and (b). (2) Color additive mixtures for drug use made with titanium dioxide may...

  5. 21 CFR 73.1575 - Titanium dioxide.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1575 Titanium dioxide. (a) Identity and specifications. (1) The color additive titanium dioxide shall conform in identity and specifications to the requirements of § 73.575(a)(1) and (b). (2) Color additive mixtures for drug use made with titanium dioxide may...

  6. 21 CFR 73.1575 - Titanium dioxide.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1575 Titanium dioxide. (a) Identity and specifications. (1) The color additive titanium dioxide shall conform in identity and specifications to the requirements of § 73.575(a)(1) and (b). (2) Color additive mixtures for drug use made with titanium dioxide may...

  7. 49 CFR 173.217 - Carbon dioxide, solid (dry ice).

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 2 2011-10-01 2011-10-01 false Carbon dioxide, solid (dry ice). 173.217 Section... Class 7 § 173.217 Carbon dioxide, solid (dry ice). (a) Carbon dioxide, solid (dry ice), when offered for... marked on two sides “WARNING CO2 SOLID (DRY ICE).” (2) Other packagings containing solid carbon dioxide...

  8. 49 CFR 173.217 - Carbon dioxide, solid (dry ice).

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 49 Transportation 2 2012-10-01 2012-10-01 false Carbon dioxide, solid (dry ice). 173.217 Section... Class 7 § 173.217 Carbon dioxide, solid (dry ice). (a) Carbon dioxide, solid (dry ice), when offered for... marked on two sides “WARNING CO2 SOLID (DRY ICE).” (2) Other packagings containing solid carbon dioxide...

  9. 49 CFR 173.217 - Carbon dioxide, solid (dry ice).

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 49 Transportation 2 2010-10-01 2010-10-01 false Carbon dioxide, solid (dry ice). 173.217 Section... Class 7 § 173.217 Carbon dioxide, solid (dry ice). (a) Carbon dioxide, solid (dry ice), when offered for... marked on two sides “WARNING CO2 SOLID (DRY ICE).” (2) Other packagings containing solid carbon dioxide...

  10. 49 CFR 173.217 - Carbon dioxide, solid (dry ice).

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 49 Transportation 2 2014-10-01 2014-10-01 false Carbon dioxide, solid (dry ice). 173.217 Section... Class 7 § 173.217 Carbon dioxide, solid (dry ice). (a) Carbon dioxide, solid (dry ice), when offered for... marked on two sides “WARNING CO2 SOLID (DRY ICE).” (2) Other packagings containing solid carbon dioxide...

  11. 49 CFR 173.217 - Carbon dioxide, solid (dry ice).

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 49 Transportation 2 2013-10-01 2013-10-01 false Carbon dioxide, solid (dry ice). 173.217 Section... Class 7 § 173.217 Carbon dioxide, solid (dry ice). (a) Carbon dioxide, solid (dry ice), when offered for... marked on two sides “WARNING CO2 SOLID (DRY ICE).” (2) Other packagings containing solid carbon dioxide...

  12. A Palladium-Catalyzed Method for the Synthesis of 2-(α-Styryl)-2,3-dihydroquinazolin-4-ones and 3-(α-Styryl)-3,4-dihydro-1,2,4-benzothiadiazine-1,1-dioxide: Access to 2-(α-Styryl)quinazolin-4(3H)-ones and 3-(α-Styryl)-1,2,4-benzothiadiazine-1,1-dioxides.

    PubMed

    Kundu, Priyanka; Mondal, Amrita; Chowdhury, Chinmay

    2016-08-05

    An efficient synthesis of 2-(α-styryl)-2,3-dihydroquinazolin-4-ones and 3-(α-styryl)-3,4-dihydro-1,2,4-benzothiadiazine-1,1-dioxides has been achieved in 39-94% yield through palladium-catalyzed cyclocondensation of aryl/vinyl iodides with allenamides 13-15 and 22, respectively. Base treatment of the N-tosylated products provides an easy access to 2-(α-styryl)quinazolin-4(3H)-ones and 3-(α-styryl)-1,2,4-benzothiadiazine-1,1-dioxides, hitherto unknown heterocycles. The method has been tested with phenyl substituted allenamides, applied for bis-heteroannulation, and used in the preparation of analogues of the natural product Luotonin F.

  13. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  14. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  15. Zircon U-Pb ages and Hf-O isotopic composition of migmatites from the Zanjan-Takab complex, NW Iran: Constraints on partial melting of metasediments

    NASA Astrophysics Data System (ADS)

    Moghadam, Hadi Shafaii; Li, Xian-Hua; Stern, Robert J.; Ghorbani, Ghasem; Bakhshizad, Farzaneh

    2016-01-01

    We study migmatites and other metamorphic rocks in the Zanjan-Takab region of NW Iran and use these results to report the first evidence of Oligocene core complex formation in Iran. Four samples of migmatites associated with paragneisses, including leucosomes and associated para-amphibolite melanosomes were selected for U-Pb dating and Hf-O isotopic analysis. Zircon cores - interpreted as originally detrital zircons - have variable ages that peak at ca. 100-110 Ma, but their sedimentation age - indicated by the youngest 206Pb/238U ages - is ca. 35-40 Ma. New zircons associated with incipient melting occur as overgrowths around zircon cores and/or as newly grown grains. Morphologies and internal structures suggest that rim growth and formation of new zircons were associated with partial melting. All four samples contain zircons with rims that yield 206Pb/238U ages of 28-25 Ma, indicating that partial melting occurred in Late Oligocene time. δ18O values for zircon rims vary between 8.2 and 12.3‰, significantly higher than expected for mantle inputs (δ18O 6‰) and consistent with equilibrium with surface materials. Zircon rims yield εHf(t) between 2.2 and 12.4 and two-stage Hf model ages of 448-562 Ma, indicating that the region is underlain by Cadomian-Caledonian crust. According to the Hf-O isotopic values, the main mechanism forming zircon rims was dissolution of pre-existing detrital zircons with reprecipitation of new zircon shortly thereafter. Oligocene ages indicate that partial melting accompanied core complex formation in the Zanjan-Takab region. Extension, melting, and core complex formation in south-central Iran are Eocene in age, but younger ages of Oligocene-Miocene in NW Iran and Turkey indicate that extension was distributed throughout the region during Cenozoic time.

  16. 21 CFR 184.1240 - Carbon dioxide.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 3 2013-04-01 2013-04-01 false Carbon dioxide. 184.1240 Section 184.1240 Food and... Substances Affirmed as GRAS § 184.1240 Carbon dioxide. (a) Carbon dioxide (empirical formula CO2, CAS Reg. No.... The solid form, dry ice, sublimes under atmospheric pressure at a temperature of −78.5 °C. Carbon...

  17. 21 CFR 184.1240 - Carbon dioxide.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 3 2012-04-01 2012-04-01 false Carbon dioxide. 184.1240 Section 184.1240 Food and... Substances Affirmed as GRAS § 184.1240 Carbon dioxide. (a) Carbon dioxide (empirical formula CO2, CAS Reg. No.... The solid form, dry ice, sublimes under atmospheric pressure at a temperature of −78.5 °C. Carbon...

  18. 21 CFR 184.1240 - Carbon dioxide.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 3 2011-04-01 2011-04-01 false Carbon dioxide. 184.1240 Section 184.1240 Food and... Substances Affirmed as GRAS § 184.1240 Carbon dioxide. (a) Carbon dioxide (empirical formula CO2, CAS Reg. No.... The solid form, dry ice, sublimes under atmospheric pressure at a temperature of −78.5 °C. Carbon...

  19. Raman spectroscopic investigation of thorium dioxide-uranium dioxide (ThO₂-UO₂) fuel materials.

    PubMed

    Rao, Rekha; Bhagat, R K; Salke, Nilesh P; Kumar, Arun

    2014-01-01

    Raman spectroscopic investigations were carried out on proposed nuclear fuel thorium dioxide-uranium dioxide (ThO2-UO2) solid solutions and simulated fuels based on ThO2-UO2. Raman spectra of ThO2-UO2 solid solutions exhibited two-mode behavior in the entire composition range. Variations in mode frequencies and relative intensities of Raman modes enabled estimation of composition, defects, and oxygen stoichiometry in these compounds that are essential for their application. The present study shows that Raman spectroscopy is a simple, promising analytical tool for nondestructive characterization of this important class of nuclear fuel materials.

  20. Measurement and Simulation of Thermal Conductivity of Hafnium-Aluminum Thermal Neutron Absorber Material

    DOE PAGES

    Guillen, Donna Post; Harris, William H.

    2016-05-11

    A metal matrix composite (MMC) material comprised of hafnium aluminide (Al3Hf) intermetallic particles in an aluminum matrix has been identified as a promising material for fast-flux irradiation testing applications. This material can filter thermal neutrons while simultaneously providing high rates of conductive cooling for experiment capsules. Our purpose is to investigate effects of Hf-Al material composition and neutron irradiation on thermophysical properties, which were measured before and after irradiation. When performing differential scanning calorimetry (DSC) on the irradiated specimens, a large exotherm corresponding to material annealment was observed. Thus, a test procedure was developed to perform DSC and laser flashmore » analysis (LFA) to obtain the specific heat and thermal diffusivity of pre- and post-annealment specimens. This paper presents the thermal properties for three states of the MMC material: (1) unirradiated, (2) as-irradiated, and (3) irradiated and annealed. Microstructure-property relationships were obtained for the thermal conductivity. These relationships are useful for designing components from this material to operate in irradiation environments. Furthermore, the ability of this material to effectively conduct heat as a function of temperature, volume fraction Al 3Hf, radiation damage and annealing is assessed using the MOOSE suite of computational tools.« less

  1. CO2 Compressor Requirements for Integration of Space Station Carbon Dioxide Removal and Carbon Dioxide Reduction Assemblies

    NASA Technical Reports Server (NTRS)

    Jeng, Frank F.; Lewis, John F.; Graf, John; LaFuse, Sharon; Nicholson, Leonard S. (Technical Monitor)

    1999-01-01

    This paper describes the analysis on integration requirements, CO2 compressor in particular, for integration of Carbon Dioxide Removal Assembly (CDRA) and CO2 Reduction Assembly (CRA) as a part of the Node 3 project previously conducted at JSC/NASA. A system analysis on the volume and operation pressure range of the CO2 accumulator was conducted. The hardware and operational configurations of the CO2 compressor were developed. The performance and interface requirements of the compressor were specified. An existing Four-Bed Molecular Sieve CO2 removal computer model was modified into a CDRA model and used in analyzing the requirements of the CDRA CO2 compressor. This CDRA model was also used in analyzing CDRA operation parameters that dictate CO2 pump sizing. Strategy for the pump activation was also analyzed.

  2. Carbon Dioxide Laser Absorption Spectrometer (CO2LAS) Aircraft Measurements of CO2

    NASA Technical Reports Server (NTRS)

    Christensen, Lance E.; Spiers, Gary D.; Menzies, Robert T.; Jacob, Joseph C.; Hyon, Jason

    2011-01-01

    The Jet Propulsion Laboratory Carbon Dioxide Laser Absorption Spectrometer (CO2LAS) utilizes Integrated Path Differential Absorption (IPDA) at 2.05 microns to obtain CO2 column mixing ratios weighted heavily in the boundary layer. CO2LAS employs a coherent detection receiver and continuous-wave Th:Ho:YLF laser transmitters with output powers around 100 milliwatts. An offset frequency-locking scheme coupled to an absolute frequency reference enables the frequencies of the online and offline lasers to be held to within 200 kHz of desired values. We describe results from 2009 field campaigns when CO2LAS flew on the Twin Otter. We also describe spectroscopic studies aimed at uncovering potential biases in lidar CO2 retrievals at 2.05 microns.

  3. Reactions of vanadium dioxide molecules with acetylene: infrared spectra of VO2(η(2)-C2H2)(x) (x = 1, 2) and OV(OH)CCH in solid neon.

    PubMed

    Zhou, Xiaojie; Chen, Mohua; Zhou, Mingfei

    2013-07-03

    Reactions of vanadium dioxide molecules with acetylene have been studied by matrix isolation infrared spectroscopy. Reaction intermediates and products are identified on the basis of isotopic substitutions as well as density functional frequency calculations. Ground state vanadium dioxide molecule reacts with acetylene in forming the side-on-bonded VO2(η(2)-C2H2) and VO2(η(2)-C2H2)2 complexes spontaneously on annealing in solid neon. The VO2(η(2)-C2H2) complex is characterized to have a (2)B2 ground state with C2v symmetry, whereas the VO2(η(2)-C2H2)2 complex has a (2)A ground state with C2 symmetry. The VO2(η(2)-C2H2) and VO2(η(2)-C2H2)2 complexes are photosensitive. The VO2(η(2)-C2H2) complex rearranges to the OV(OH)CCH molecule upon UV-vis light excitation.

  4. Atmospheric carbon dioxide concentrations before 2.2 billion years ago

    NASA Technical Reports Server (NTRS)

    Rye, R.; Kuo, P. H.; Holland, H. D.

    1995-01-01

    The composition of the Earth's early atmosphere is a subject of continuing debate. In particular, it has been suggested that elevated concentrations of atmospheric carbon dioxide would have been necessary to maintain normal surface temperatures in the face of lower solar luminosity in early Earth history. Fossil weathering profiles, known as palaeosols, have provided semi-quantitative constraints on atmospheric oxygen partial pressure (pO2) before 2.2 Gyr ago. Here we use the same well studied palaeosols to constrain atmospheric pCO2 between 2.75 and 2.2 Gyr ago. The observation that iron lost from the tops of these profiles was reprecipitated lower down as iron silicate minerals, rather than as iron carbonate, indicates that atmospheric pCO2 must have been less than 10(-1.4) atm--about 100 times today's level of 360 p.p.m., and at least five times lower than that required in one-dimensional climate models to compensate for lower solar luminosity at 2.75 Gyr. Our results suggest that either the Earth's early climate was much more sensitive to increases in pCO2 than has been thought, or that one or more greenhouse gases other than CO2 contributed significantly to the atmosphere's radiative balance during the late Archaean and early Proterozoic eons.

  5. Direct and reversible hydrogenation of CO2 to formate by a bacterial carbon dioxide reductase.

    PubMed

    Schuchmann, K; Müller, V

    2013-12-13

    Storage and transportation of hydrogen is a major obstacle for its use as a fuel. An increasingly considered alternative for the direct handling of hydrogen is to use carbon dioxide (CO2) as an intermediate storage material. However, CO2 is thermodynamically stable, and developed chemical catalysts often require high temperatures, pressures, and/or additives for high catalytic rates. Here, we present the discovery of a bacterial hydrogen-dependent carbon dioxide reductase from Acetobacterium woodii directly catalyzing the hydrogenation of CO2. We also demonstrate a whole-cell system able to produce formate as the sole end product from dihydrogen (H2) and CO2 as well as syngas. This discovery opens biotechnological alternatives for efficient CO2 hydrogenation either by using the isolated enzyme or by employing whole-cell catalysis.

  6. Microwave assisted synthesis and structure-activity relationship of 4-hydroxy-N'-[1-phenylethylidene]-2H/2-methyl-1,2-benzothiazine-3-carbohydrazide 1,1-dioxides as anti-microbial agents.

    PubMed

    Ahmad, Naveed; Zia-ur-Rehman, Muhammad; Siddiqui, Hamid Latif; Ullah, Muhammad Fasih; Parvez, Masood

    2011-06-01

    A series of 4-hydroxy-N'-[1-phenylethylidene]-2H/2-methyl, 1,2-benzothiazine-3-carbohydrazide 1,1-dioxides was synthesized from commercially available sodium saccharin. Base catalyzed ring expansion of methyl (1,1-dioxido-3-oxo-1,2-benzisothiazol-2(3H)-yl)acetate followed by ultrasound mediated hydrazinolysis and subsequent reaction with 1-phenylethanones under the influence of microwaves yielded the title compounds. Besides, microwave assisted synthesis of 1,4-dihydropyrazolo[4,3-c][1,2]benzothiazin-3-ol 5,5-dioxide and 4-methyl-1,4-dihydropyrazolo[4,3-c][1,2]benzothiazin-3-ol 5,5-dioxide is also discussed. Most of the synthesized compounds were found to possess moderate to significant anti-microbial (anti-bacterial and anti-fungal) activities. It is found that compounds with greater lipophilicity (N-methyl analogues) possessed higher anti-bacterial activities. Copyright © 2011 Elsevier Masson SAS. All rights reserved.

  7. The effect of a Ta oxygen scavenger layer on HfO 2-based resistive switching behavior: Thermodynamic stability, electronic structure, and low-bias transport

    DOE PAGES

    Zhong, Xiaoliang; Rungger, Ivan; Zapol, Peter; ...

    2016-02-15

    Reversible resistive switching between high-resistance and low-resistance states in metal-oxide-metal heterostructures makes them very interesting for applications in random access memories. While recent experimental work has shown that inserting a metallic "oxygen scavenger layer'' between the positive electrode and oxide improves device performance, the fundamental understanding of how the scavenger layer modifies the heterostructure properties is lacking. We use density functional theory to calculate thermodynamic properties and conductance of TiN/HfO 2/TiN heterostructures with and without a Ta scavenger layer. First, we show that Ta insertion lowers the formation energy of low-resistance states. Second, while the Ta scavenger layer reduces themore » Schottky barrier height in the high-resistance state by modifying the interface charge at the oxide-electrode interface, the heterostructure maintains a high resistance ratio between high-and low-resistance states. Lastly, we show that the low-bias conductance of device on-states becomes much less sensitive to the spatial distribution of oxygen removed from the HfO 2 in the presence of the Ta layer. By providing a fundamental understanding of the observed improvements with scavenger layers, we open a path to engineer interfaces with oxygen scavenger layers to control and enhance device performance. In turn, this may enable the realization of a non-volatile low-power memory technology with concomitant reduction in energy consumption by consumer electronics and offering significant benefits to society.« less

  8. Synthesis and characterization of titanium dioxide (TiO2) nanopowder

    NASA Astrophysics Data System (ADS)

    Munirah, S.; Nadzirah, Sh.; Khusaimi, Z.; Fazlena, H.; Rusop, M.

    2018-05-01

    Titanium dioxide (TiO2) powder was synthesized via sol-gel technique using Titanium tetraisopropoxide (TTIP) and ethanol as precursors. Acetylacetone, distilled water, polyethylene glycol (PEG) and stabilizers (glacial acetic acid and nitric acid) were then added to the solution. The solution was left for ageing for 24 hours and then dried into powder. The synthesized powders were characterized by X-ray Diffraction (XRD), Fourier Transform Infrared Spectroscopy (FTIR) and Thermogravimetric Analysis (TGA).

  9. Mechanistic Studies of Hafnium-Pyridyl Amido-Catalyzed 1-Octene Polymerization and Chain Transfer Using Quench-Labeling Methods.

    PubMed

    Cueny, Eric S; Johnson, Heather C; Anding, Bernie J; Landis, Clark R

    2017-08-30

    Chromophore quench-labeling applied to 1-octene polymerization as catalyzed by hafnium-pyridyl amido precursors enables quantification of the amount of active catalyst and observation of the molecular weight distribution (MWD) of Hf-bound polymers via UV-GPC analysis. Comparison of the UV-detected MWD with the MWD of the "bulk" (all polymers, from RI-GPC analysis) provides important mechanistic information. The time evolution of the dual-detection GPC data, concentration of active catalyst, and monomer consumption suggests optimal activation conditions for the Hf pre-catalyst in the presence of the activator [Ph 3 C][B(C 6 F 5 ) 4 ]. The chromophore quench-labeling agents do not react with the chain-transfer agent ZnEt 2 under the reaction conditions. Thus, Hf-bound polymeryls are selectively labeled in the presence of zinc-polymeryls. Quench-labeling studies in the presence of ZnEt 2 reveal that ZnEt 2 does not influence the rate of propagation at the Hf center, and chain transfer of Hf-bound polymers to ZnEt 2 is fast and quasi-irreversible. The quench-label techniques represent a means to study commercial polymerization catalysts that operate with high efficiency at low catalyst concentrations without the need for specialized equipment.

  10. Pulsed TEA CO2 Laser Irradiation of Titanium in Nitrogen and Carbon Dioxide Gases

    NASA Astrophysics Data System (ADS)

    Ciganovic, J.; Matavulj, P.; Trtica, M.; Stasic, J.; Savovic, J.; Zivkovic, S.; Momcilovic, M.

    2017-12-01

    Surface changes created by interaction of transversely excited atmospheric carbon dioxide (TEA CO2) laser with titanium target/implant in nitrogen and carbon dioxide gas were studied. TEA CO2 laser operated at 10.6 μm, pulse length of 100 ns and fluence of ˜17 J/cm2 which was sufficient for inducing surface modifications. Induced changes depend on the gas used. In both gases the grain structure was produced (central irradiated zone) but its forms were diverse, (N2: irregular shape; CO2: hill-like forms). Hydrodynamic features at peripheral zone, like resolidified droplets, were recorded only in CO2 gas. Elemental analysis of the titanium target surface indicated that under a nitrogen atmosphere surface nitridation occurred. In addition, irradiation in both gases was followed by appearance of plasma in front of the target. The existence of plasma indicates relatively high temperatures created above the target surface offering a sterilizing effect.

  11. Tribo-electrochemical characterization of hafnium multilayer systems deposited on nitride/vanadium nitride AISI 4140 steel

    NASA Astrophysics Data System (ADS)

    Mora, M.; Vera, E.; Aperador, W.

    2016-02-01

    In this work is presented the synergistic behaviour among corrosion/wear (tribocorrosion) of the multilayer coatings hafnium nitride/vanadium nitride [HfN/VN]n. The multilayers were deposited on AISI 4140 steel using the technique of physical vapor deposition PVD magnetron sputtering, the tests were performed using a pin-on-disk tribometer, which has an adapted potentiostat galvanostat with three-electrode electrochemical cell. Tribocorrosive parameters such as: Friction coefficient between the coating and the counter body (100 Cr6 steel ball); Polarization resistance by means of electrochemical impedance spectroscopy technique and corrosion rate by polarization curves were determined. It was observed an increase in the polarization resistance, a decrease in the corrosion rate and a low coefficient of friction in comparison with the substrate, due to an increase on the number of bilayers.

  12. Infinite dilution partial molar volumes of platinum(II) 2,4-pentanedionate in supercritical carbon dioxide.

    PubMed

    Kong, Chang Yi; Siratori, Tomoya; Funazukuri, Toshitaka; Wang, Guosheng

    2014-10-03

    The effects of temperature and density on retention of platinum(II) 2,4-pentanedionate in supercritical fluid chromatography were investigated at temperatures of 308.15-343.15K and pressure range from 8 to 40MPa by the chromatographic impulse response method with curve fitting. The retention factors were utilized to derive the infinite dilution partial molar volumes of platinum(II) 2,4-pentanedionate in supercritical carbon dioxide. The determined partial molar volumes were small and positive at high pressures but exhibited very large and negative values in the highly compressible near critical region of carbon dioxide. Copyright © 2014 Elsevier B.V. All rights reserved.

  13. Recuperative supercritical carbon dioxide cycle

    DOEpatents

    Sonwane, Chandrashekhar; Sprouse, Kenneth M; Subbaraman, Ganesan; O'Connor, George M; Johnson, Gregory A

    2014-11-18

    A power plant includes a closed loop, supercritical carbon dioxide system (CLS-CO.sub.2 system). The CLS-CO.sub.2 system includes a turbine-generator and a high temperature recuperator (HTR) that is arranged to receive expanded carbon dioxide from the turbine-generator. The HTR includes a plurality of heat exchangers that define respective heat exchange areas. At least two of the heat exchangers have different heat exchange areas.

  14. 40 CFR 721.9672 - Amides, tall-oil fatty, N-[2-[2-hydroxyethyl)amino]ethyl], reaction products with sulfur dioxide...

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ...-hydroxyethyl)amino]ethyl], reaction products with sulfur dioxide; fatty acids, tall-oil, reaction products with 1-piperazineethanamine and sulfur dioxide; fatty acids, tall-oil reaction products with sulfur...)amino]ethyl], reaction products with sulfur dioxide; fatty acids, tall-oil, reaction products with 1...

  15. 40 CFR 721.9672 - Amides, tall-oil fatty, N-[2-[2-hydroxyethyl)amino]ethyl], reaction products with sulfur dioxide...

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ...-hydroxyethyl)amino]ethyl], reaction products with sulfur dioxide; fatty acids, tall-oil, reaction products with 1-piperazineethanamine and sulfur dioxide; fatty acids, tall-oil reaction products with sulfur...)amino]ethyl], reaction products with sulfur dioxide; fatty acids, tall-oil, reaction products with 1...

  16. 40 CFR 721.9672 - Amides, tall-oil fatty, N-[2-[2-hydroxyethyl)amino]ethyl], reaction products with sulfur dioxide...

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ...-hydroxyethyl)amino]ethyl], reaction products with sulfur dioxide; fatty acids, tall-oil, reaction products with 1-piperazineethanamine and sulfur dioxide; fatty acids, tall-oil reaction products with sulfur...)amino]ethyl], reaction products with sulfur dioxide; fatty acids, tall-oil, reaction products with 1...

  17. Hafnium Films and Magnetic Shielding for TIME, A mm-Wavelength Spectrometer Array

    NASA Astrophysics Data System (ADS)

    Hunacek, J.; Bock, J.; Bradford, C. M.; Butler, V.; Chang, T.-C.; Cheng, Y.-T.; Cooray, A.; Crites, A.; Frez, C.; Hailey-Dunsheath, S.; Hoscheit, B.; Kim, D. W.; Li, C.-T.; Marrone, D.; Moncelsi, L.; Shirokoff, E.; Steinbach, B.; Sun, G.; Trumper, I.; Turner, A.; Uzgil, B.; Weber, A.; Zemcov, M.

    2018-04-01

    TIME is a mm-wavelength grating spectrometer array that will map fluctuations of the 157.7-μm emission line of singly ionized carbon ([CII]) during the epoch of reionization (redshift z ˜ 5-9). Sixty transition-edge sensor (TES) bolometers populate the output arc of each of the 32 spectrometers, for a total of 1920 detectors. Each bolometer consists of gold absorber on a ˜ 3 × 3 mm silicon nitride micro-mesh suspended near the corners by 1 × 1 × 500 μm silicon nitride legs targeting a photon-noise-dominated NEP ˜ 1 × 10^{-17} W/√{Hz} . Hafnium films are explored as a lower-T_c alternative to Ti (500 mK) for TIME TESs, allowing thicker support legs for improved yield. Hf T_c is shown to vary between 250 and 450 mK when varying the resident Ar pressure during deposition. Magnetic shielding designs and simulations are presented for the TIME first-stage SQUIDs. Total axial field suppression is predicted to be 5 × 10^7.

  18. Impacts of the Degradation of 2,3,3,3-Tetrafluoropropene into Trifluoroacetic Acid from Its Application in Automobile Air Conditioners in China, the United States, and Europe.

    PubMed

    Wang, Ziyuan; Wang, Yuhang; Li, Jianfeng; Henne, Stephan; Zhang, Boya; Hu, Jianxin; Zhang, Jianbo

    2018-03-06

    HFO-1234yf (2,3,3,3-tetrafluoropropene) was proposed as an automobile air conditioner (MAC) refrigerant worldwide. However, its atmospheric degradation product is the highly soluble and phytotoxic trifluoroacetic acid (TFA), which persists in aquatic environments. We used a global three-dimensional chemical transport model to assess the potential environmental effects resulting from complete future conversion of the refrigerant in all MAC to HFO-1234yf in China, the United States, and Europe. The annual mean atmospheric concentrations of HFO-1234yf were 2.62, 2.20, and 2.73 pptv, and the mean deposition rates of TFA were 0.96, 0.45, and 0.52 kg km -2 yr -1 , in three regions. The regional TFA deposition sources mainly came from emissions within the same region. The annual TFA deposition in the North Pole region was lower than the global average and mainly originated from European emissions. A potential doubling in the future HFO-1234yf emissions in China mainly affected the local TFA depositions. The TFA concentrations in rainwater were strongly affected by the regional precipitation rates. North Africa and the Middle East, regions with scant rainfall, had extremely high TFA concentrations. The rainwater concentrations of TFA during individual rain events can exceed the level considered to be safe, indicating substantial potential regional risks from future HFO-1234yf use.

  19. Fact Sheets and Additional Information Regarding the 2012 Decision to Retain the Secondary NAAQS for Nitrogen Dioxide and Sulfur Dioxide

    EPA Pesticide Factsheets

    On April 3, 2012, EPA sdecided to retain the current secondary national ambient air quality standard (NAAQS) for nitrogen dioxide (NO2) and sulfur dioxide (SO2).This page contains a fact sheet describing that action.

  20. Methodology for Producing a Uniform Distribution of UO2 in a Tungsten Matrix

    NASA Technical Reports Server (NTRS)

    Tucker, Dennis S.; O'Conner, Andrew; Hickman, Rickman; Broadway, Jeramie; Belancik, Grace

    2015-01-01

    Current work at NASA's Marshall Space Flight Center (MSFC) is focused on the development CERMET fuel materials for Nuclear Thermal Propulsion (NTP). The CERMETs consist of uranium dioxide (UO2) fuel particles embedded in a tungsten (W) metal matrix. Initial testing of W-UO2 samples fabricated from fine angular powders performed reasonably well, but suffered from significant fuel loss during repeated thermal cycling due to agglomeration of the UO2 (1). The blended powder mixtures resulted in a non-uniform dispersion of the UO2 particles in the tungsten matrix, which allows rapid vaporization of the interconnected UO2 from the sample edges into the bulk material. Also, the angular powders create areas of stress concentrations due to thermal expansion mismatch, which eventually cracks the tungsten matrix. Evenly coating spherical UO2 particles with chemical vapor deposited (CVD) tungsten prior to consolidation was previously demonstrated to provide improved performance. However, the CVD processing technology is expensive and not currently available. In order to reduce cost and enhance performance, a powder coating process has been developed at MSFC to produce a uniform distribution of the spherical UO2 particles in a tungsten matrix. The method involves utilization of a polyethylene binder during mixing which leads to fine tungsten powders clinging to the larger UO2 spherical particles. This process was developed using HfO2 as a surrogate for UO2. Enough powder was mixed to make 8 discs (2cm diameter x 8mm thickness) using spark plasma sintering. A uniaxial pressure of 50 MPa was used at four different temperatures (2 samples at each temperature). The first two samples were heated to 1400C and 1500C respectively for 5 minutes. Densities for these samples were less than 85% of theoretical, so the time at temperature was increased to 20 minutes for the remaining samples. The highest densities were achieved for the two samples sintered at 1700C (approx. 92% of

  1. High-frequency oscillation and tracheal gas insufflation in patients with severe acute respiratory distress syndrome and traumatic brain injury: an interventional physiological study

    PubMed Central

    2013-01-01

    Introduction In acute respiratory distress syndrome (ARDS), combined high-frequency oscillation (HFO) and tracheal gas insufflation (TGI) improves gas exchange compared with conventional mechanical ventilation (CMV). We evaluated the effect of HFO-TGI on PaO2/fractional inspired O2 (FiO2) and PaCO2, systemic hemodynamics, intracranial pressure (ICP), and cerebral perfusion pressure (CPP) in patients with traumatic brain injury (TBI) and concurrent severe ARDS. Methods We studied 13 TBI/ARDS patients requiring anesthesia, hyperosmolar therapy, and ventilation with moderate-to-high CMV-tidal volumes for ICP control. Patients had PaO2/FiO2 <100 mm Hg at end-expiratory pressure ≥10 cm H2O. Patients received consecutive, daily, 12-hour rescue sessions of HFO-TGI interspersed with 12-hour periods of CMV. HFO-TGI was discontinued when the post-HFO-TGI PaO2/FiO2 exceeded 100 mm Hg for >12 hours. Arterial/central-venous blood gases, hemodynamics, and ICP were recorded before, during (every 4 hours), and after HFO-TGI, and were analyzed by using repeated measures analysis of variance. Respiratory mechanics were assessed before and after HFO-TGI. Results Each patient received three to four HFO-TGI sessions (total sessions, n = 43). Pre-HFO-TGI PaO2/FiO2 (mean ± standard deviation (SD): 83.2 ± 15.5 mm Hg) increased on average by approximately 130% to163% during HFO-TGI (P < 0.01) and remained improved by approximately 73% after HFO-TGI (P < 0.01). Pre-HFO-TGI CMV plateau pressure (30.4 ± 4.5 cm H2O) and respiratory compliance (37.8 ± 9.2 ml/cm H2O), respectively, improved on average by approximately 7.5% and 20% after HFO-TGI (P < 0.01 for both). During HFO-TGI, systemic hemodynamics remained unchanged. Transient improvements were observed after 4 hours of HFO-TGI versus pre-HFO-TGI CMV in PaCO2 (37.7 ± 9.9 versus 41.2 ± 10.8 mm Hg; P < 0.01), ICP (17.2 ± 5.4 versus 19.7 ± 5.9 mm Hg; P < 0.05), and CPP (77.2 ± 14.6 versus 71.9 ± 14.8 mm Hg; P < 0.05). Conclusions

  2. The energy landscape of glassy dynamics on the amorphous hafnium diboride surface

    NASA Astrophysics Data System (ADS)

    Nguyen, Duc; Mallek, Justin; Cloud, Andrew N.; Abelson, John R.; Girolami, Gregory S.; Lyding, Joseph; Gruebele, Martin

    2014-11-01

    Direct visualization of the dynamics of structural glasses and amorphous solids on the sub-nanometer scale provides rich information unavailable from bulk or conventional single molecule techniques. We study the surface of hafnium diboride, a conductive ultrahigh temperature ceramic material that can be grown in amorphous films. Our scanning tunneling movies have a second-to-hour dynamic range and single-point current measurements extend that to the millisecond-to-minute time scale. On the a-HfB2 glass surface, two-state hopping of 1-2 nm diameter cooperatively rearranging regions or "clusters" occurs from sub-milliseconds to hours. We characterize individual clusters in detail through high-resolution (<0.5 nm) imaging, scanning tunneling spectroscopy and voltage modulation, ruling out individual atoms, diffusing adsorbates, or pinned charges as the origin of the observed two-state hopping. Smaller clusters are more likely to hop, larger ones are more likely to be immobile. HfB2 has a very high bulk glass transition temperature Tg, and we observe no three-state hopping or sequential two-state hopping previously seen on lower Tg glass surfaces. The electronic density of states of clusters does not change when they hop up or down, allowing us to calibrate an accurate relative z-axis scale. By directly measuring and histogramming single cluster vertical displacements, we can reconstruct the local free energy landscape of individual clusters, complete with activation barrier height, a reaction coordinate in nanometers, and the shape of the free energy landscape basins between which hopping occurs. The experimental images are consistent with the compact shape of α-relaxors predicted by random first order transition theory, whereas the rapid hopping rate, even taking less confined motion at the surface into account, is consistent with β-relaxations. We make a proposal of how "mixed" features can show up in surface dynamics of glasses.

  3. Electrocatalytic process for carbon dioxide conversion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Masel, Richard I.; Salehi-Khojin, Amin; Kutz, Robert

    An electrocatalytic process for carbon dioxide conversion includes combining a Catalytically Active Element and a Helper Polymer in the presence of carbon dioxide, allowing a reaction to proceed to produce a reaction product, and applying electrical energy to said reaction to achieve electrochemical conversion of said carbon dioxide reactant to said reaction product. The Catalytically Active Element can be a metal in the form of supported or unsupported particles or flakes with an average size between 0.6 nm and 100 nm. The reaction products comprise at least one of CO, HCO.sup.-, H.sub.2CO, (HCO.sub.2).sup.-, H.sub.2CO.sub.2, CH.sub.3OH, CH.sub.4, C.sub.2H.sub.4, CH.sub.3CH.sub.2OH, CH.sub.3COO.sup.-, CH.sub.3COOH,more » C.sub.2H.sub.6, (COOH).sub.2, (COO.sup.-).sub.2, and CF.sub.3COOH.« less

  4. Phase-selective vanadium dioxide (VO2) nanostructured thin films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Masina, B. N.; Lafane, S.; Wu, L.; Akande, A. A.; Mwakikunga, B.; Abdelli-Messaci, S.; Kerdja, T.; Forbes, A.

    2015-10-01

    Thin films of monoclinic nanostructured vanadium dioxide are notoriously difficult to produce in a selective manner. To date, post-annealing, after pulsed laser deposition (PLD), has been used to revert the crystal phase or to remove impurities, and non-glass substrates have been employed, thus reducing the efficacy of the transparency switching. Here, we overcome these limitations in PLD by optimizing a laser-ablation and deposition process through optical imaging of the laser-induced plasma. We report high quality monoclinic rutile-type vanadium dioxide (VO2) (M1) nanoparticles without post-annealing, and on a glass substrate. Our samples demonstrate a reversible metal-to-insulator transition at ˜43 °C, without any doping, paving the way to switchable transparency in optical materials at room temperature.

  5. Chemically activated manganese dioxide for dry batteries

    NASA Astrophysics Data System (ADS)

    Askar, M.; Abbas, H.

    1994-10-01

    The present investigation has enabled us to convert inactive beta-manganese dioxide to high electrochemically active types by chemical processes. Natural and chemically prepared beta-manganese dioxides were roasted at 1050 C to form Mn3O4. This compound was subjected to activation treatment using hydrochloric and sulfuric acid under various reaction conditions. The manganese dioxide so obtained was examined by x-ray diffraction, thermogravimetric, differential thermal, and chemical analyses. The structure of the dioxide obtained was found to be greatly dependent on the origin of MnO2 and type of acid used. Treatment with hydrochloric acid yielded the so-called gamma-variety while sulfuric acid tended to produce gamma- or alpha-MnO2. In addition, waste manganese sulfate obtained as by-product from sulfuric acid digestion treatment was recycled and electrolytically oxidized to gamma-MnO2. The discharge performance of the above-mentioned MnO2 samples as battery cathodic active material was evaluated and compared with the ordinary battery grade.

  6. Characteristics of laser produced plasmas of hafnium and tantalum in the 1-7 nm region

    NASA Astrophysics Data System (ADS)

    Li, Bowen; Otsuka, Takamitsu; Sokell, Emma; Dunne, Padraig; O'Sullivan, Gerry; Hara, Hiroyuki; Arai, Goki; Tamura, Toshiki; Ono, Yuichi; Dinh, Thanh-Hung; Higashiguchi, Takeshi

    2017-11-01

    Soft X-ray (SXR) spectra from hafnium and tantalum laser produced plasmas were recorded in the 1-7 nm region using two Nd:YAG lasers with pulse lengths of 170 ps and 10 ns, respectively, operating at a range of power densities. The maximum focused peak power density was 2. 3 × 1014 W cm-2 for 170 ps pulses and 1. 8 × 1012 W cm-2 for 10 ns pulses, respectively. Two intense quasicontinuous intensity bands resulting from n = 4 - n = 4 and n = 4 - n = 5 unresolved transition arrays (UTAs) dominate both sets of experimental spectra. Comparison with calculations performed with the Cowan suite of atomic structure codes as well as consideration of previous experimental and theoretical results aided identification of the most prominent features in the spectra. For the 10 ns spectrum, the highest ion stage that could be identified from the n = 4 - n = 5 arrays were lower than silver-like Hf25+ and Ta26+ (which has a 4 d 104 f ground configuration) indicating that the plasma temperature attained was too low to produce ions with an outermost 4 d subshell, while for the 170 ps plasmas the presence of significantly higher stages was deduced and lines due to 4 d-5 p transitions were clearly evident. Furthermore, we show an enhancement of emission from tantalum using dual laser irradiation, and the effect of pre-pulse durations and delay times between two pulses are demonstrated.

  7. Disintegration of Carbon Dioxide Molecules in a Microwave Plasma Torch.

    PubMed

    Kwak, Hyoung S; Uhm, Han S; Hong, Yong C; Choi, Eun H

    2015-12-17

    A pure carbon dioxide torch is generated by making use of 2.45 GHz microwave. Carbon dioxide gas becomes the working gas and produces a stable carbon dioxide torch. The torch volume is almost linearly proportional to the microwave power. Temperature of the torch flame is measured by making use of optical spectroscopy and thermocouple. Two distinctive regions are exhibited, a bright, whitish region of high-temperature zone and a bluish, dimmer region of relatively low-temperature zone. Study of carbon dioxide disintegration and gas temperature effects on the molecular fraction characteristics in the carbon dioxide plasma of a microwave plasma torch under atmospheric pressure is carried out. An analytical investigation of carbon dioxide disintegration indicates that substantial fraction of carbon dioxide molecules disintegrate and form other compounds in the torch. For example, the normalized particle densities at center of plasma are given by nCO2/nN = 6.12 × 10(-3), nCO/nN = 0.13, nC/nN = 0.24, nO/nN = 0.61, nC2/nN = 8.32 × 10(-7), nO2/nN = 5.39 × 10(-5), where nCO2, nCO, nC, nO, nC2, and nO2 are carbon dioxide, carbon monoxide, carbon and oxygen atom, carbon and oxygen molecule densities, respectively. nN is the neutral particle density. Emission profiles of the oxygen and carbon atom radicals and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch.

  8. Disintegration of Carbon Dioxide Molecules in a Microwave Plasma Torch

    PubMed Central

    Kwak, Hyoung S.; Uhm, Han S.; Hong, Yong C.; Choi, Eun H.

    2015-01-01

    A pure carbon dioxide torch is generated by making use of 2.45 GHz microwave. Carbon dioxide gas becomes the working gas and produces a stable carbon dioxide torch. The torch volume is almost linearly proportional to the microwave power. Temperature of the torch flame is measured by making use of optical spectroscopy and thermocouple. Two distinctive regions are exhibited, a bright, whitish region of high-temperature zone and a bluish, dimmer region of relatively low-temperature zone. Study of carbon dioxide disintegration and gas temperature effects on the molecular fraction characteristics in the carbon dioxide plasma of a microwave plasma torch under atmospheric pressure is carried out. An analytical investigation of carbon dioxide disintegration indicates that substantial fraction of carbon dioxide molecules disintegrate and form other compounds in the torch. For example, the normalized particle densities at center of plasma are given by nCO2/nN = 6.12 × 10−3, nCO/nN = 0.13, nC/nN = 0.24, nO/nN = 0.61, nC2/nN = 8.32 × 10−7, nO2/nN = 5.39 × 10−5, where nCO2, nCO, nC, nO, nC2, and nO2 are carbon dioxide, carbon monoxide, carbon and oxygen atom, carbon and oxygen molecule densities, respectively. nN is the neutral particle density. Emission profiles of the oxygen and carbon atom radicals and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. PMID:26674957

  9. 78 FR 16184 - Revision to Ambient Nitrogen Dioxide Monitoring Requirements

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-03-14

    ... Revision to Ambient Nitrogen Dioxide Monitoring Requirements AGENCY: Environmental Protection Agency (EPA... ambient air quality standard (NAAQS) for nitrogen dioxide (NO 2 ) for the near-road component of the NO 2... Nitrogen Dioxide Monitoring Requirements Docket, Docket ID No. EPA-HQ-OAR-2012- 0486, EPA Docket Center...

  10. Spectroscopic study of hafnium silicate alloys prepared by RPECVD: Comparisons between conduction/valence band offset energies and optical band gaps

    NASA Astrophysics Data System (ADS)

    Hong, Joon Goo

    Aggressive scaling of devices has continued to improve MOSFET transistor performance. As lateral device dimensions continue to decrease, gate oxide thickness must be scaled down. As one of the promising high k alternative gate oxide materials, HfO2 and its silicates were investigated to understand their direct tunneling behavior by studying band offset energies with spectroscopy and electrical characterization. Local bonding change of remote plasma deposited (HfO2)x(SiO 2)1-x alloys were characterized by Fourier transform infrared (FTIR) spectroscopy, x-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES) as a function of alloy composition, x. Two different precursors with Hf Nitrato and Hf-tert-butoxide were tested to have amorphous deposition. Film composition was determined off-line by Rutherford backscattering spectroscopy (RBS) and these results were calibrated with on-line AES. As deposited Hf-silicate alloys were characterized by off-line XPS and AES for their chemical shifts interpreting with a partial charge transfer model as well as coordination changes. Sigmoidal dependence of valence band offset energies was observed. Hf 5d* state is fixed at the bottom of the conduction band and located at 1.3 +/- 0.2 eV above the top of the Si conduction band as a conduction band offset by x-ray absorption spectroscopy (XAS). Optical band gap energy changes were observed with vacuum ultra violet spectroscopic ellipsometry (VUVSE) to verify compositional dependence of conduction and valence band offset energy changes. 1 nm EOT normalized tunneling current with Wentzel-Kramer-Brillouin (WKB) simulation based on the band offset study and Franz two band model showed the minimum at the intermediate composition matching with the experimental data. Non-linear trend in tunneling current was observed because the increases in physical thickness were mitigated by reductions in band offset energies and effective mass for tunneling. C-V curves were compared

  11. Bisphosphine dioxides

    DOEpatents

    Moloy, Kenneth G.

    1990-01-01

    A process for the production of organic bisphosphine dioxides from organic bisphosphonates. The organic bisphosphonate is reacted with a Grignard reagent to give relatively high yields of the organic bisphosphine dioxide.

  12. Sulfur Dioxide Capture by Heterogeneous Oxidation on Hydroxylated Manganese Dioxide.

    PubMed

    Wu, Haodong; Cai, Weimin; Long, Mingce; Wang, Hairui; Wang, Zhiping; Chen, Chen; Hu, Xiaofang; Yu, Xiaojuan

    2016-06-07

    Here we demonstrate that sulfur dioxide (SO2) is efficiently captured via heterogeneous oxidation into sulfate on the surface of hydroxylated manganese dioxide (MnO2). Lab-scale activity tests in a fluidized bed reactor showed that the removal efficiency for a simulated flue gas containing 5000 mg·Nm(-3) SO2 could reach nearly 100% with a GHSV (gas hourly space velocity) of 10000 h(-1). The mechanism was investigated using a combination of experimental characterizations and theoretical calculations. It was found that formation of surface bound sulfate proceeds via association of SO2 with terminal hydroxyls. Both H2O and O2 are essential for the generation of reactive terminal hydroxyls, and the indirect role of O2 in heterogeneous SO2 oxidation at low temperature was also revealed. We propose that the high reactivity of terminal hydroxyls is attributed to the proper surface configuration of MnO2 to adsorb water with degenerate energies for associative and dissociative states, and maintain rapid proton dynamics. Viability analyses suggest that the desulfurization method that is based on such a direct oxidation reaction at the gas/solid interface represents a promising approach for SO2 capture.

  13. Coupled oxygen-carbon dioxide modelling to partition potential external contribution to stream carbon dioxide concentrations.

    NASA Astrophysics Data System (ADS)

    Butman, D. E.; Holtgrieve, G. W.

    2017-12-01

    Recent modelling studies in large catchments have estimated that in excess of 74% of the dissolved carbon dioxide found in first and second order streams originate from allochthonous sources. Stable isotopes of carbon-13 in carbon dioxide have been used to identify ground water seeps in stream systems, where decreases in δ13CO2 occur along gaining stream reaches, suggesting that carbon dioxide in ground water is more depleted than what is found in surface water due to fractionation of CO2 during emissions across the air water interface. Although isotopes represent a chemical tracer in stream systems for potential groundwater contribution, the temporal resolution of discrete samples make partitioning allochthonous versus autochthonous sources of CO2 difficult on hydrologically relevant time scales. Here we show results of field deployments of high frequent dissolved CO2, O2, PAR, Temperature and pH from the Thornton Creek Watershed, the largest urban watershed in Seattle, WA. We present an exploration into using high resolution time series of dissolved oxygen and carbon dioxide in a dual gas approach to separate the contribution of in stream respiration from external sources. We extend upon previous efforts to model stream metabolism across diel cycles by incorporating simultaneous direct measurements of dissolved oxygen, PCO2, and pH within an inverse modeling framework and Bayesian parameter estimation. With an initial assumption of a stoichiometric ratio of 1:1 for O2 and CO2 for autochthonous driven metabolism, we investigate positive or negative departures from this ratio as an indicator of external CO2 to the stream (terrestrial or atmospheric) and factors contributing to this flux.

  14. Bisphosphine dioxides

    DOEpatents

    Moloy, K.G.

    1990-02-20

    A process is described for the production of organic bisphosphine dioxides from organic bisphosphonates. The organic bisphosphonate is reacted with a Grignard reagent to give relatively high yields of the organic bisphosphine dioxide.

  15. Oxidation Characterization of Hafnium-Based Ceramics Fabricated by Hot Pressing and Electric Field-Assisted Sintering

    NASA Technical Reports Server (NTRS)

    Gasch, Matt; Johnson, Sylvia; Marschall, Jochen

    2010-01-01

    Ceramic borides, such as hafnium diboride (HfB2) and zirconium diboride (ZrB2), are members of a family of materials with extremely high melting temperatures referred to as Ultra High Temperature Ceramics (UHTCs). UHTCs constitute a class of promising materials for use in high temperature applications, such as sharp leading edges on future-generation hypersonic flight vehicles, because of their high melting points. The controlled development of microstructure has become important to the processing of UHTCs, with the prospect of improving their mechanical and thermal properties. The improved oxidation resistance of HfB2 has also become important if this material is to be successfully used at temperatures above 2000 C. Furthermore, the use of UHTCs on the leading edges of vehicles traveling at hypersonic speeds will mean exposure to a mixed oxidation environment comprised of both molecular and atomic oxygen. The current study has investigated the high-temperature oxidation behavior of HfB2-based materials in a pure O2 environment, as well as in environments containing different levels of dissociated oxygen (O/O2). Materials were processed by two techniques: conventional hot pressing (HP) and electric field-assisted sintering (FAS). Their oxidation behavior was evaluated in both a tube furnace at 1250 C for 3 hours and in a simulated re-entry environment in the Advanced Heating Facility (AHF) arcjet at NASA Ames Research Center, during a 10-minute exposure to a cold wall heat flux of 250W/sq cm and stagnation pressure of 0.1-0.2 atm. The microstructure of the different materials was characterized before and after oxidation using scanning electron microscopy (SEM).

  16. 21 CFR 868.1150 - Indwelling blood carbon dioxide partial pressure (PCO2) analyzer.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Indwelling blood carbon dioxide partial pressure (PCO2) analyzer. 868.1150 Section 868.1150 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF... “Class II Special Controls Guidance Document: Indwelling Blood Gas Analyzers; Final Guidance for Industry...

  17. A new look at atmospheric carbon dioxide

    NASA Astrophysics Data System (ADS)

    Hofmann, David J.; Butler, James H.; Tans, Pieter P.

    Carbon dioxide is increasing in the atmosphere and is of considerable concern in global climate change because of its greenhouse gas warming potential. The rate of increase has accelerated since measurements began at Mauna Loa Observatory in 1958 where carbon dioxide increased from less than 1 part per million per year (ppm yr -1) prior to 1970 to more than 2 ppm yr -1 in recent years. Here we show that the anthropogenic component (atmospheric value reduced by the pre-industrial value of 280 ppm) of atmospheric carbon dioxide has been increasing exponentially with a doubling time of about 30 years since the beginning of the industrial revolution (˜1800). Even during the 1970s, when fossil fuel emissions dropped sharply in response to the "oil crisis" of 1973, the anthropogenic atmospheric carbon dioxide level continued increasing exponentially at Mauna Loa Observatory. Since the growth rate (time derivative) of an exponential has the same characteristic lifetime as the function itself, the carbon dioxide growth rate is also doubling at the same rate. This explains the observation that the linear growth rate of carbon dioxide has more than doubled in the past 40 years. The accelerating growth rate is simply the outcome of exponential growth in carbon dioxide with a nearly constant doubling time of about 30 years (about 2%/yr) and appears to have tracked human population since the pre-industrial era.

  18. 40 CFR 60.82 - Standard for sulfur dioxide.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 7 2013-07-01 2013-07-01 false Standard for sulfur dioxide. 60.82... Plants § 60.82 Standard for sulfur dioxide. (a) On and after the date on which the performance test... contain sulfur dioxide in excess of 2 kg per metric ton of acid produced (4 lb per ton), the production...

  19. 40 CFR 60.82 - Standard for sulfur dioxide.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 7 2014-07-01 2014-07-01 false Standard for sulfur dioxide. 60.82... Plants § 60.82 Standard for sulfur dioxide. (a) On and after the date on which the performance test... contain sulfur dioxide in excess of 2 kg per metric ton of acid produced (4 lb per ton), the production...

  20. 40 CFR 60.82 - Standard for sulfur dioxide.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 6 2011-07-01 2011-07-01 false Standard for sulfur dioxide. 60.82... Plants § 60.82 Standard for sulfur dioxide. (a) On and after the date on which the performance test... contain sulfur dioxide in excess of 2 kg per metric ton of acid produced (4 lb per ton), the production...

  1. 40 CFR 60.82 - Standard for sulfur dioxide.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 7 2012-07-01 2012-07-01 false Standard for sulfur dioxide. 60.82... Plants § 60.82 Standard for sulfur dioxide. (a) On and after the date on which the performance test... contain sulfur dioxide in excess of 2 kg per metric ton of acid produced (4 lb per ton), the production...

  2. 40 CFR 60.82 - Standard for sulfur dioxide.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 6 2010-07-01 2010-07-01 false Standard for sulfur dioxide. 60.82... Plants § 60.82 Standard for sulfur dioxide. (a) On and after the date on which the performance test... contain sulfur dioxide in excess of 2 kg per metric ton of acid produced (4 lb per ton), the production...

  3. Supercritical carbon dioxide: a solvent like no other

    PubMed Central

    Peach, Jocelyn

    2014-01-01

    Summary Supercritical carbon dioxide (scCO2) could be one aspect of a significant and necessary movement towards green chemistry, being a potential replacement for volatile organic compounds (VOCs). Unfortunately, carbon dioxide has a notoriously poor solubilising power and is famously difficult to handle. This review examines attempts and breakthroughs in enhancing the physicochemical properties of carbon dioxide, focusing primarily on factors that impact solubility of polar and ionic species and attempts to enhance scCO2 viscosity. PMID:25246947

  4. Difficult colonoscopy: air, carbon dioxide, or water insufflation?

    PubMed

    Chaubal, Alisha; Pandey, Vikas; Patel, Ruchir; Poddar, Prateik; Phadke, Aniruddha; Ingle, Meghraj; Sawant, Prabha

    2018-04-01

    This study aimed to compare tolerance to air, carbon dioxide, or water insufflation in patients with anticipated difficult colonoscopy (young, thin, obese individuals, and patients with prior abdominal surgery or irradiation). Patients with body mass index (BMI) less than 18 kg/m 2 or more than 30 kg/m 2 , or who had undergone previous abdominal or pelvic surgeries were randomized to air, carbon dioxide, or water insufflation during colonoscopy. The primary endpoint was cecal intubation with mild pain (less than 5 on visual analogue scale [VAS]), without use of sedation. The primary end point was achieved in 32.7%, 43.8%, and 84.9% of cases with air, carbon dioxide and water insufflation ( P <0.001). The mean pain scores were 5.17, 4.72, and 3.93 on the VAS for air, carbon dioxide, and water insufflation ( P <0.001). The cecal intubation rate or procedure time did not differ significantly between the 3 groups. Water insufflation was superior to air or carbon dioxide for pain tolerance. This was seen in the subgroups with BMI <18 kg/m 2 and the post-surgical group, but not in the group with BMI >30 kg/m 2 .

  5. 21 CFR 73.1575 - Titanium dioxide.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false Titanium dioxide. 73.1575 Section 73.1575 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR... additive mixtures for coloring drugs, and the following: Silicon dioxide, SiO2, and/or aluminum oxide...

  6. Regeneration of 2-amino-2-methyl-1-propanol used for carbon dioxide absorption.

    PubMed

    Zhang, Pei; Shi, Yao; Wei, Jianwen; Zhao, Wei; Ye, Qing

    2008-01-01

    To improve the efficiency of the carbon dioxide cycling process and to reduce the regeneration energy consumption, a sterically hindered amine of 2-amino-2-methyl-1-propranol (AMP) was investigated to determine its regeneration behavior as a CO2 absorbent. The CO2 absorption and amine regeneration characteristics were experimentally examined under various operating conditions. The regeneration efficiency increased from 86.2% to 98.3% during the temperature range of 358 to 403 K. The most suitable regeneration temperature for AMP was 383 K, in this experiment condition, and the regeneration efficiency of absorption/regenerationruns descended from 98.3% to 94.0%. A number of heat-stable salts (HSS) could cause a reduction in CO2 absorption capacity and regeneration efficiency. The results indicated that aqueous AMP was easier to regenerate with less loss of absorption capacity than other amines, such as, monoethanolamine (MEA), diethanolamine (DEA), diethylenetriamine (DETA), and N-methyldiethanolamine (MDEA).

  7. Study on Brewster angle thin film polarizer using hafnia-silica mixture as high-refractive-index material

    NASA Astrophysics Data System (ADS)

    Xu, Nuo; Zhu, Meiping; Sun, Jian; Chai, Yingjie; Kui, Yi; Zhao, Yuanan; Shao, Jianda

    2018-02-01

    Two kinds of polarizer coatings were prepared by electron beam evaporation, using HfO2-SiO2 mixture and HfO2 as the high-refractive-index materials, respectively. The HfO2-SiO2 mixture layer was implemented by coevaporating SiO2 and metal Hf, the materials were deposited at an oxygen atmosphere to achieve stoichiometric coatings. The certain HfO2 and SiO2 content ratio is controlled by adjusting the deposition rate of HfO2 and SiO2 using individual quartz crystal monitor. The spectral performance, surface and interfacial properties, as well as the laser-induced damage performance were studied and compared. Comparing with polarizer coating using HfO2 as high-refractive-index material, the polarizer coating using HfO2-SiO2 mixture as high-refractive-index material shows better performance with broader polarizing bandwidth, lower surface roughness, better interfacial property while maintaining high laser-induced damage threshold.

  8. Carbon Dioxide Absorption Heat Pump

    NASA Technical Reports Server (NTRS)

    Jones, Jack A. (Inventor)

    2002-01-01

    A carbon dioxide absorption heat pump cycle is disclosed using a high pressure stage and a super-critical cooling stage to provide a non-toxic system. Using carbon dioxide gas as the working fluid in the system, the present invention desorbs the CO2 from an absorbent and cools the gas in the super-critical state to deliver heat thereby. The cooled CO2 gas is then expanded thereby providing cooling and is returned to an absorber for further cycling. Strategic use of heat exchangers can increase the efficiency and performance of the system.

  9. GOSAT and OCO-2 Inter-comparison on Measured Spectral Radiance and Retrieved Carbon Dioxide

    NASA Astrophysics Data System (ADS)

    Kataoka, F.; Kuze, A.; Shiomi, K.; Suto, H.; Crisp, D.; Bruegge, C. J.; Schwandner, F. M.

    2016-12-01

    TANSO-FTS onboard GOSAT and grating spectrometer on OCO-2 use different measurement techniques to measure carbon dioxide (CO2) and molecular oxygen (O2). Both instruments observe sunlight reflected from the Earth's surface in almost the same spectral range. As a first step in cross calibrating these two instruments, we compared spectral radiance observations within the three short wave infrared (SWIR) spectral bands centered on the O2 A-band (O2A), the weak CO2 band near 1.6 microns (Weak-CO2) and 2.06 micons (Strong-CO2) bands at temporally coincident and spatially collocated points. In this work, we reconciled the different size of the footprints and evaluated at various types of surface targets such as ocean, desert and forest. For radiometric inter-comparisons, we consider long term instrument sensitivity degradation in orbit and differences in viewing geometry and associated differences in surface bidirectional reflectance distribution function (BRDF). Measured spectral radiances agree very well within 5% for all bands. This presentation will summarize these comparisons of GOSAT and OCO-2 spectral radiance observations and associated estimates of carbon dioxide and related parameters retrieved with the same algorithm at matchup points. We will also discuss instrument related uncertainties from various target observations.

  10. Carbon dioxide-soluble polymers and swellable polymers for carbon dioxide applications

    DOEpatents

    DeSimone, Joseph M.; Birnbaum, Eva; Carbonell, Ruben G.; Crette, Stephanie; McClain, James B.; McCleskey, T. Mark; Powell, Kimberly R.; Romack, Timothy J.; Tumas, William

    2004-06-08

    A method for carrying out a catalysis reaction in carbon dioxide comprising contacting a fluid mixture with a catalyst bound to a polymer, the fluid mixture comprising at least one reactant and carbon dioxide, wherein the reactant interacts with the catalyst to form a reaction product. A composition of matter comprises carbon dioxide and a polymer and a reactant present in the carbon dioxide. The polymer has bound thereto a catalyst at a plurality of chains along the length of the polymer, and wherein the reactant interacts with the catalyst to form a reaction product.

  11. Evolution of E 2 transition strength in deformed hafnium isotopes from new measurements on 172Hf,174Hf, and 176Hf

    NASA Astrophysics Data System (ADS)

    Rudigier, M.; Nomura, K.; Dannhoff, M.; Gerst, R.-B.; Jolie, J.; Saed-Samii, N.; Stegemann, S.; Régis, J.-M.; Robledo, L. M.; Rodríguez-Guzmán, R.; Blazhev, A.; Fransen, Ch.; Warr, N.; Zell, K. O.

    2015-04-01

    Background: The available data for E 2 transition strengths in the region between neutron-deficient hafnium and platinum isotopes are far from complete. More and precise data are needed to enhance the picture of structure evolution in this region and to test state-of-the-art nuclear models. In a simple model, the maximum collectivity is expected at the middle of the major shell. However, for actual nuclei, particularly in heavy-mass regions, which should be highly complex, this picture may no longer be the case, and one should use a more realistic nuclear-structure model. We address this point by studying the spectroscopy of Hf as a representative case. Purpose: We remeasure the 21+ half-lives of 172,174,176Hf, for which there is some disagreement in the literature. The main goal is to measure, for the first time, the half-lives of higher-lying states of the rotational band. The new results are compared to a theoretical calculation for absolute transition strengths. Method: The half-lives were measured using γ -γ and conversion-electron-γ delayed coincidences with the fast timing method. For the determination of half-lives in the picosecond region, the generalized centroid difference method was applied. For the theoretical calculation of the spectroscopic properties, the interacting boson model is employed, whose Hamiltonian is determined based on microscopic energy-density functional calculations. Results: The measured 21+ half-lives disagree with results from earlier γ -γ fast timing measurements, but are in agreement with data from Coulomb excitation experiments and other methods. Half-lives of the 41+ and 61+ states were measured, as well as a lower limit for the 81+ states. Conclusions: This work shows the importance of a mass-dependent effective boson charge in the interacting boson model for the description of E 2 transition rates in chains of nuclei. It encourages further studies of the microscopic origin of this mass dependence. New experimental

  12. Nitrogen dioxide detection

    DOEpatents

    Sinha, Dipen N.; Agnew, Stephen F.; Christensen, William H.

    1993-01-01

    Method and apparatus for detecting the presence of gaseous nitrogen dioxide and determining the amount of gas which is present. Though polystyrene is normally an insulator, it becomes electrically conductive in the presence of nitrogen dioxide. Conductance or resistance of a polystyrene sensing element is related to the concentration of nitrogen dioxide at the sensing element.

  13. 40 CFR 180.444 - Sulfur dioxide; tolerances for residues.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 24 2011-07-01 2011-07-01 false Sulfur dioxide; tolerances for... § 180.444 Sulfur dioxide; tolerances for residues. A tolerance is established as follows for sulfite residues of the fungicide sulfur dioxide (determined as (SO2)) in or on the following raw agricultural...

  14. 40 CFR 180.444 - Sulfur dioxide; tolerances for residues.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 23 2010-07-01 2010-07-01 false Sulfur dioxide; tolerances for... § 180.444 Sulfur dioxide; tolerances for residues. A tolerance is established as follows for sulfite residues of the fungicide sulfur dioxide (determined as (SO2)) in or on the following raw agricultural...

  15. Trace Metals in Soot and PM2.5 from Heavy-Fuel-Oil Combustion in a Marine Engine

    PubMed Central

    2018-01-01

    Heavy fuel oil (HFO) particulate matter (PM) emitted by marine engines is known to contain toxic heavy metals, including vanadium (V) and nickel (Ni). The toxicity of such metals will depend on the their chemical state, size distribution, and mixing state. Using online soot-particle aerosol mass spectrometry (SP-AMS), we quantified the mass of five metals (V, Ni, Fe, Na, and Ba) in HFO-PM soot particles produced by a marine diesel research engine. The in-soot metal concentrations were compared to in-PM2.5 measurements by inductively coupled plasma-optical emission spectroscopy (ICP-OES). We found that <3% of total PM2.5 metals was associated with soot particles, which may still be sufficient to influence in-cylinder soot burnout rates. Since these metals were most likely present as oxides, whereas studies on lower-temperature boilers report a predominance of sulfates, this result implies that the toxicity of HFO PM depends on its combustion conditions. Finally, we observed a 4-to-25-fold enhancement in the ratio V:Ni in soot particles versus PM2.5, indicating an enrichment of V in soot due to its lower nucleation/condensation temperature. As this enrichment mechanism is not dependent on soot formation, V is expected to be generally enriched within smaller HFO-PM particles from marine engines, enhancing its toxicity. PMID:29688717

  16. Supercritical carbon dioxide for textile applications and recent developments

    NASA Astrophysics Data System (ADS)

    Eren, H. A.; Avinc, O.; Eren, S.

    2017-10-01

    In textile industry, supercritical carbon dioxide (scCO2), possessing liquid-like densities, mostly find an application on textile dyeing processes such as providing hydrophobic dyes an advantage on dissolving. Their gas-like low viscosities and diffusion properties can result in shorter dyeing periods in comparison with the conventional water dyeing process. Supercritical carbon dioxide dyeing is an anhydrous dyeing and this process comprises the usage of less energy and chemicals when compared to conventional water dyeing processes leading to a potential of up to 50% lower operation costs. The advantages of supercritical carbon dioxide dyeing method especially on synthetic fiber fabrics hearten leading textile companies to alter their dyeing method to this privileged waterless dyeing technology. Supercritical carbon dioxide (scCO2) waterless dyeing is widely known and applied green method for sustainable and eco-friendly textile industry. However, not only the dyeing but also scouring, desizing and different finishing applications take the advantage of supercritical carbon dioxide (scCO2). In this review, not only the principle, advantages and disadvantages of dyeing in supercritical carbon dioxide but also recent developments of scCO2 usage in different textile processing steps such as scouring, desizing and finishing are explained and commercial developments are stated and summed up.

  17. Electrochemical response of carbon paste electrode modified with mixture of titanium dioxide/zirconium dioxide in the detection of heavy metals: lead and cadmium.

    PubMed

    Nguyen, Phuong Khanh Quoc; Lunsford, Suzanne K

    2012-11-15

    A novel carbon modified electrode was developed by incorporating titanium dioxide/zirconium dioxide into the graphite carbon paste electrode to detect heavy metals-cadmium and lead. In this work, the development of the novel titanium dioxide/zirconium dioxide modified carbon paste electrode was studied to determine the optimum synthesis conditions related to the temperature, heating duration, amount and ratio of titanium dioxide/zirconium dioxide, and amount of surfactant, to create the most reproducible results. Using cyclic voltammetric (CV) analysis, this study has proven that the novel titanium dioxide/zirconium dioxide can be utilized to detect heavy metals-lead and cadmium, at relatively low concentrations (7.6×10(-6) M and 1.1×10(-5) M for Pb and Cd, respectively) at optimum pH value (pH=3). From analyzing CV data the optimal electrodes surface area was estimated to be 0.028 (±0.003) cm(2). Also, under the specific experimental conditions, electron transfer coefficients were estimated to be 0.44 and 0.33 along with the heterogeneous electron transfer rate constants of 5.64×10(-3) and 2.42×10(-3) (cm/s) for Pb and Cd, respectively. Copyright © 2012 Elsevier B.V. All rights reserved.

  18. 49 CFR 173.336 - Nitrogen dioxide, liquefied, or dinitrogen tetroxide, liquefied.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 49 Transportation 2 2013-10-01 2013-10-01 false Nitrogen dioxide, liquefied, or dinitrogen....336 Nitrogen dioxide, liquefied, or dinitrogen tetroxide, liquefied. (a) Nitrogen dioxide, liquefied... with nitrogen dioxide. Each valve opening must be closed by a solid metal plug with tapered thread...

  19. 49 CFR 173.336 - Nitrogen dioxide, liquefied, or dinitrogen tetroxide, liquefied.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 49 Transportation 2 2012-10-01 2012-10-01 false Nitrogen dioxide, liquefied, or dinitrogen....336 Nitrogen dioxide, liquefied, or dinitrogen tetroxide, liquefied. (a) Nitrogen dioxide, liquefied... with nitrogen dioxide. Each valve opening must be closed by a solid metal plug with tapered thread...

  20. 49 CFR 173.336 - Nitrogen dioxide, liquefied, or dinitrogen tetroxide, liquefied.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 49 Transportation 2 2010-10-01 2010-10-01 false Nitrogen dioxide, liquefied, or dinitrogen....336 Nitrogen dioxide, liquefied, or dinitrogen tetroxide, liquefied. (a) Nitrogen dioxide, liquefied... with nitrogen dioxide. Each valve opening must be closed by a solid metal plug with tapered thread...

  1. Promising flame retardant textile in supercritical carbon dioxide

    USDA-ARS?s Scientific Manuscript database

    Since carbon dioxide is non-toxic, non-flammable and cost-effective, supercritical carbon dioxide (scCO2) is widely used in textile dyeing applications. Due to its environmentally benign character, scCO2 is considered in green chemistry as a substitute for organic solvents in chemical reactions. O...

  2. Guidance for 1-Hour Sulfur Dioxide (SO2) Nonattainment Area State Implementation Plans (SIP) Submissions

    EPA Pesticide Factsheets

    The document is intended to provide guidance and recommendations to state, local and tribal governments for the development of SIPs and tribal implementation plans (TIPs) under the 2010 1-hour primary NAAQS for Sulfur Dioxide (SO2).

  3. 40 CFR 180.444 - Sulfur dioxide; tolerances for residues.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 25 2012-07-01 2012-07-01 false Sulfur dioxide; tolerances for... § 180.444 Sulfur dioxide; tolerances for residues. (a) General. A tolerance is established as follows for sulfite residues of the fungicide sulfur dioxide (determined as (SO2)) in or on the following raw...

  4. 40 CFR 180.444 - Sulfur dioxide; tolerances for residues.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 25 2013-07-01 2013-07-01 false Sulfur dioxide; tolerances for... § 180.444 Sulfur dioxide; tolerances for residues. (a) General. A tolerance is established as follows for sulfite residues of the fungicide sulfur dioxide (determined as (SO2)) in or on the following raw...

  5. 40 CFR 180.444 - Sulfur dioxide; tolerances for residues.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 24 2014-07-01 2014-07-01 false Sulfur dioxide; tolerances for... § 180.444 Sulfur dioxide; tolerances for residues. (a) General. A tolerance is established as follows for sulfite residues of the fungicide sulfur dioxide (determined as (SO2)) in or on the following raw...

  6. Method for carbon dioxide sequestration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yifeng; Bryan, Charles R.; Dewers, Thomas

    A method for geo-sequestration of a carbon dioxide includes selection of a target water-laden geological formation with low-permeability interbeds, providing an injection well into the formation and injecting supercritical carbon dioxide (SC-CO.sub.2) and water or bine into the injection well under conditions of temperature, pressure and density selected to cause the fluid to enter the formation and splinter and/or form immobilized ganglia within the formation.

  7. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    PubMed

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  8. Carbon dioxide stripping in aquaculture. part 1: terminology and reporting

    USGS Publications Warehouse

    Colt, John; Watten, Barnaby; Pfeiffer, Tim

    2012-01-01

    The removal of carbon dioxide gas in aquacultural systems is much more complex than for oxygen or nitrogen gas because of liquid reactions of carbon dioxide and their kinetics. Almost all published carbon dioxide removal information for aquaculture is based on the apparent removal value after the CO2(aq) + HOH ⇔ H2CO3 reaction has reached equilibrium. The true carbon dioxide removal is larger than the apparent value, especially for high alkalinities and seawater. For low alkalinity freshwaters (<2000 μeq/kg), the difference between the true and apparent removal is small and can be ignored for many applications. Analytical and reporting standards are recommended to improve our understanding of carbon dioxide removal.

  9. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    PubMed

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  10. Difficult colonoscopy: air, carbon dioxide, or water insufflation?

    PubMed Central

    Pandey, Vikas; Patel, Ruchir; Poddar, Prateik; Phadke, Aniruddha; Ingle, Meghraj; Sawant, Prabha

    2018-01-01

    Background/Aims This study aimed to compare tolerance to air, carbon dioxide, or water insufflation in patients with anticipated difficult colonoscopy (young, thin, obese individuals, and patients with prior abdominal surgery or irradiation). Methods Patients with body mass index (BMI) less than 18 kg/m2 or more than 30 kg/m2, or who had undergone previous abdominal or pelvic surgeries were randomized to air, carbon dioxide, or water insufflation during colonoscopy. The primary endpoint was cecal intubation with mild pain (less than 5 on visual analogue scale [VAS]), without use of sedation. Results The primary end point was achieved in 32.7%, 43.8%, and 84.9% of cases with air, carbon dioxide and water insufflation (P<0.001). The mean pain scores were 5.17, 4.72, and 3.93 on the VAS for air, carbon dioxide, and water insufflation (P<0.001). The cecal intubation rate or procedure time did not differ significantly between the 3 groups. Conclusions Water insufflation was superior to air or carbon dioxide for pain tolerance. This was seen in the subgroups with BMI <18 kg/m2 and the post-surgical group, but not in the group with BMI >30 kg/m2. PMID:29743844

  11. Natural deep eutectic solvents (NADES) as green solvents for carbon dioxide capture

    NASA Astrophysics Data System (ADS)

    Mulia, Kamarza; Putri, Sylvania; Krisanti, Elsa; Nasruddin

    2017-03-01

    This study was conducted to determine the effectiveness of Natural Deep Eutectic Solvent (NADES), consisting of choline chloride and a hydrogen bonding donor (HBD) compound, in terms of carbon dioxide absorption. Solubility of carbon dioxide in NADES was found to be influenced HBD compound used and choline chloride to HBD ratio, carbon dioxide pressure, and contact time. HBD and choline/HBD ratios used were 1,2-propanediol (1:2), glycerol (1:2), and malic acid (1:1). The carbon dioxide absorption measurement was conducted using an apparatus that utilizes the volumetric method. Absorption curves were obtained up to pressures of 30 bar, showing a linear relationship between the amount absorbed and the final pressure of carbon dioxide. The choline and 1,2-propanediol eutectic mixture absorbs the highest amount of carbon dioxide, approaching 0.1 mole-fraction at 3.0 MPa and 50°C. We found that NADES ability to absorb carbon dioxide correlates with its polarity as tested using Nile Red as a solvatochromic probe.

  12. Modeling long-term effects attributed to nitrogen dioxide (NO2) and sulfur dioxide (SO2) exposure on asthma morbidity in a nationwide cohort in Israel.

    PubMed

    Greenberg, N; Carel, R S; Derazne, E; Tiktinsky, A; Tzur, D; Portnov, B A

    2017-01-01

    Studies have provided extensive documentation that acutely elevated environmental exposures contribute to chronic health problems. However, only attention has been paid to the effects of modificate of exposure assessment methods in environmental health investigations, leading to uncertainty and gaps in our understanding of exposure- and dose-response relationships. The goal of the present study was to evaluate whether average or peak concentration exerts a greater influence on asthma outcome, and which of the exposure models may better explain various physiological responses generated by nitrogen dioxide (NO 2 ) or sulfur dioxide (SO 2 ) air pollutants. The effects of annual NO 2 and SO 2 exposures on asthma prevalence were determined in 137,040 17-year-old males in Israel, who underwent standard health examinations before induction to military service during 1999-2008. Three alternative models of cumulative exposure were used: arithmetic mean level (AM), average peak concentration (APC), and total number of air pollution exposure episodes (NEP). Air pollution data for NO 2 and SO 2 levels were linked to the residence of each subject and asthma prevalence was predicted using bivariate logistic regression. There was significant increased risk for asthma occurrence attributed to NO 2 exposure in all models with the highest correlations demonstrated using the APC model. Data suggested that exposure-response is better correlated with NO 2 peak concentration than with average exposure concentration in subjects with asthma. For SO 2 , there was a weaker but still significant exposure response association in all models. These differences may be related to differences in physiological responses including effects on different regions of the airways following exposure to these pollutants. NO 2 , which is poorly soluble in water, penetrates deep into the bronchial tree, producing asthmatic manifestations such as inflammation and increased mucus production as a result of high

  13. Analytical method validation for the determination of 2,3,3,3-tetrafluoropropene in air samples using gas chromatography with flame ionization detection.

    PubMed

    Mawn, Michael P; Kurtz, Kristine; Stahl, Deborah; Chalfant, Richard L; Koban, Mary E; Dawson, Barbara J

    2013-01-01

    A new low global warming refrigerant, 2,3,3,3-tetrafluoro propene, or HFO-1234yf, has been successfully evaluated for automotive air conditioning, and is also being evaluated for stationary refrigeration and air conditioning systems. Due to the advantageous environmental properties of HFO-1234yf versus HFC-134a, coupled with its similar physical properties and system performance, HFO-1234yf is also being evaluated to replace HFC-134a in refrigeration applications where neat HFC-134a is currently used. This study reports on the development and validation of a sampling and analytical method for the determination of HFO-1234yf in air. Different collection media were screened for desorption and simulated sampling efficiency with three-section (350/350/350 mg) Anasorb CSC showing the best results. Therefore, air samples were collected using two 3-section Anasorb CSC sorbent tubes in series at 0.02 L/min for up to 8 hr for sample volumes of up to 9.6 L. The sorbent tubes were extracted in methylene chloride, and analyzed by gas chromatography with flame ionization detection. The method was validated from 0.1× to 20× the target level of 0.5 ppm (2.3 mg/m(3)) for a 9.6 L air volume. Desorption efficiencies for HFO-1234yf were 88 to 109% for all replicates over the validation range with a mean overall recovery of 93%. Simulated sampling efficiencies ranged from 87 to 104% with a mean of 94%. No migration or breakthrough to the back tube was observed under the sampling conditions evaluated. HFO-1234yf samples showed acceptable storage stability on Anasorb CSC sorbent up to a period of 30 days when stored under ambient, refrigerated, or frozen temperature conditions.

  14. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    PubMed

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  15. Process for sequestering carbon dioxide and sulfur dioxide

    DOEpatents

    Maroto-Valer, M Mercedes [State College, PA; Zhang, Yinzhi [State College, PA; Kuchta, Matthew E [State College, PA; Andresen, John M [State College, PA; Fauth, Dan J [Pittsburgh, PA

    2009-10-20

    A process for sequestering carbon dioxide, which includes reacting a silicate based material with an acid to form a suspension, and combining the suspension with carbon dioxide to create active carbonation of the silicate-based material, and thereafter producing a metal salt, silica and regenerating the acid in the liquid phase of the suspension.

  16. Future Sulfur Dioxide Emissions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, Steven J.; Pitcher, Hugh M.; Wigley, Tom M.

    2005-12-01

    The importance of sulfur dioxide emissions for climate change is now established, although substantial uncertainties remain. This paper presents projections for future sulfur dioxide emissions using the MiniCAM integrated assessment model. A new income-based parameterization for future sulfur dioxide emissions controls is developed based on purchasing power parity (PPP) income estimates and historical trends related to the implementation of sulfur emissions limitations. This parameterization is then used to produce sulfur dioxide emissions trajectories for the set of scenarios developed for the Special Report on Emission Scenarios (SRES). We use the SRES methodology to produce harmonized SRES scenarios using the latestmore » version of the MiniCAM model. The implications, and requirements, for IA modeling of sulfur dioxide emissions are discussed. We find that sulfur emissions eventually decline over the next century under a wide set of assumptions. These emission reductions result from a combination of emission controls, the adoption of advanced electric technologies, and a shift away from the direct end use of coal with increasing income levels. Only under a scenario where incomes in developing regions increase slowly do global emission levels remain at close to present levels over the next century. Under a climate policy that limits emissions of carbon dioxide, sulfur dioxide emissions fall in a relatively narrow range. In all cases, the relative climatic effect of sulfur dioxide emissions decreases dramatically to a point where sulfur dioxide is only a minor component of climate forcing by the end of the century. Ecological effects of sulfur dioxide, however, could be significant in some developing regions for many decades to come.« less

  17. Chlorine dioxide as a post-disinfectant for Dutch drinking water.

    PubMed

    Wondergem, E; van Dijk-Looijaard, A M

    1991-02-01

    Chlorine dioxide has some important advantages over chlorine with respect to water quality (no formation of trihalomethanes, no impairment of taste and no odor) and stability when used for oxidation/disinfection of drinking water. In this paper, results are presented of experiments into the consumption and reaction kinetics of chlorine dioxide in a number of (drinking) waters in The Netherlands. It was found that chlorine dioxide consumption is related to the dissolved oxygen content (DOC) of the water and the reaction time. Water samples from a plant that applied ozonation and activated carbon filtration had a very low chlorine dioxide consumption. Other water quality parameters, including pH and CO3(2-), did not have any influence on consumption. The temporary advised Dutch guidelines of 0.2 mg l-1 (dosage) is sufficient for activated carbon treated water. For other Dutch drinking waters, however, none of the 0.2 mg l-1 chlorine dioxide remained after a reaction time of 10 min, as was also found for the water of Dutch pumping stations where chlorine dioxide is at present used for disinfection.

  18. 46 CFR 147.65 - Carbon dioxide and halon fire extinguishing systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 5 2010-10-01 2010-10-01 false Carbon dioxide and halon fire extinguishing systems. 147... dioxide and halon fire extinguishing systems. (a) Carbon dioxide or halon cylinders forming part of a...) Carbon dioxide or halon cylinders must be rejected for further service when they— (1) Leak; (2) Are...

  19. 46 CFR 147.65 - Carbon dioxide and halon fire extinguishing systems.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 46 Shipping 5 2014-10-01 2014-10-01 false Carbon dioxide and halon fire extinguishing systems. 147... dioxide and halon fire extinguishing systems. (a) Carbon dioxide or halon cylinders forming part of a...) Carbon dioxide or halon cylinders must be rejected for further service when they— (1) Leak; (2) Are...

  20. 9 CFR 313.5 - Chemical; carbon dioxide.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 9 Animals and Animal Products 2 2014-01-01 2014-01-01 false Chemical; carbon dioxide. 313.5 Section 313.5 Animals and Animal Products FOOD SAFETY AND INSPECTION SERVICE, DEPARTMENT OF AGRICULTURE... INSPECTION AND CERTIFICATION HUMANE SLAUGHTER OF LIVESTOCK § 313.5 Chemical; carbon dioxide. The slaughtering...

  1. 9 CFR 313.5 - Chemical; carbon dioxide.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 9 Animals and Animal Products 2 2013-01-01 2013-01-01 false Chemical; carbon dioxide. 313.5 Section 313.5 Animals and Animal Products FOOD SAFETY AND INSPECTION SERVICE, DEPARTMENT OF AGRICULTURE... INSPECTION AND CERTIFICATION HUMANE SLAUGHTER OF LIVESTOCK § 313.5 Chemical; carbon dioxide. The slaughtering...

  2. 9 CFR 313.5 - Chemical; carbon dioxide.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 9 Animals and Animal Products 2 2012-01-01 2012-01-01 false Chemical; carbon dioxide. 313.5 Section 313.5 Animals and Animal Products FOOD SAFETY AND INSPECTION SERVICE, DEPARTMENT OF AGRICULTURE... INSPECTION AND CERTIFICATION HUMANE SLAUGHTER OF LIVESTOCK § 313.5 Chemical; carbon dioxide. The slaughtering...

  3. Phase-selective vanadium dioxide (VO{sub 2}) nanostructured thin films by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Masina, B. N., E-mail: BMasina@csir.co.za, E-mail: slafane@cdta.dz; School of Physics, University of KwaZulu-Natal, Private Bag X54001, Durban 4000; Lafane, S., E-mail: BMasina@csir.co.za, E-mail: slafane@cdta.dz

    2015-10-28

    Thin films of monoclinic nanostructured vanadium dioxide are notoriously difficult to produce in a selective manner. To date, post-annealing, after pulsed laser deposition (PLD), has been used to revert the crystal phase or to remove impurities, and non-glass substrates have been employed, thus reducing the efficacy of the transparency switching. Here, we overcome these limitations in PLD by optimizing a laser-ablation and deposition process through optical imaging of the laser-induced plasma. We report high quality monoclinic rutile-type vanadium dioxide (VO{sub 2}) (M1) nanoparticles without post-annealing, and on a glass substrate. Our samples demonstrate a reversible metal-to-insulator transition at ∼43 °C, withoutmore » any doping, paving the way to switchable transparency in optical materials at room temperature.« less

  4. XAFS atomistic insight of the oxygen gettering in Ti/HfO 2 based OxRRAM

    NASA Astrophysics Data System (ADS)

    Viennet, R.; Roussel, H.; Rapenne, L.; Deschanvres, J. L.; Renevier, H.; Jousseaume, V.; Jalaguier, E.; Proietti, M. G.

    2018-05-01

    Hafnia-based resistive memories technology has come to maturation and acceded to the market of nonvolatile memories. Nevertheless, the physical mechanisms involved in resistive switching are not yet fully understood and the numerous ab initio simulations studies have few many atomic-scale experimental counterparts. In this study we investigate the oxygen migration mechanism from an amorphous HfO2 layer to the Ti cap layer at a local scale before and after a thermal treatment. X-ray absorption spectroscopy at the Ti K edge and Hf LIII edge has been performed on samples as-deposited and annealed in Ar at 400 ∘C to mimic the back-end-of-line thermal budget (BEOL) of CMOS technology. The short-range Ti and Hf environments have been determined, showing that annealing promotes the migration of O from HfO2 to Ti, the amount of which is quantified. This provokes an expansion and an increase of atomic disorder in the Ti lattice. The nature of the oxygen gettering mechanism by the Ti metal is understood by comparing samples with increasing Ti-capping thickness. We show that the Ti getter effect has to be activated by thermal treatment and that the O diffusion takes place in a region of a few nanometers close to the Ti /HfO2 interface. Therefore, the thermal budget history and the Ti cap-layer thickness determine the oxygen vacancy content in the HfO2 layer, which in turn controls the electrical properties, especially the forming operation.

  5. Screen for Carbon Dioxide.

    ERIC Educational Resources Information Center

    Foster, John; And Others

    1986-01-01

    Presents a set of laboratory experiments that can assist students in the detection of carbon dioxide. Offers a variation of the supported drop method of carbon dioxide detection that provides readily visible positive results. Includes background information on carbon dioxide. (ML)

  6. Carbon Dioxide Utilization (CO2U) ICEF Roadmap 2.0. Draft October 2017

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sandalow, David; Aines, Roger; Friedmann, Julio

    Last year, experts from CO 2 Sciences, Columbia University and Valence Strategic came together to develop a roadmap. That document, Carbon Dioxide Utilization ICEF Roadmap 1.0, released at the UNFCCC Marrakesh Climate Change Conference in 2016, surveyed the commercial and technical landscape of CO 2 conversion and use. The document provided extensive background and analysis and has helped to provide a foundation for additional studies, including this one.This roadmap is meant to complement and expand upon the work of its predecessor. Based in part on a workshop at Columbia University’s Center on Global Energy Policy in July 2017, it exploresmore » three distinct categories of CO 2-based products, the technologies that can be harnessed to convert CO2 to these products, and the associated research and development needs. It also explores the complicated topic of life cycle analysis—critically important when considering the climate impacts of CO 2 conversion and use—as well as policy tools that could be used to promote CO 2-based products.« less

  7. The Increase of Energy Consumption and Carbon Dioxide (CO2) Emission in Indonesia

    NASA Astrophysics Data System (ADS)

    Sasana, Hadi; Putri, Annisa Eka

    2018-02-01

    In the last decade, the increase of energy consumption that has multiplied carbondioxide emissions becomes world problems, especially in the developing countries undergoing industrialization to be developed ones like Indonesia. This aim of this study was to analyze the effect of fossil energy consumption, population growth, and consumption of renewable energy on carbon dioxide emission. The method used was multiple linear regression analysis with Ordinary Least Square approach using time series in the period of 1990 - 2014. The result showed that fossil energy consumption and population growth have a positive influence on carbon dioxide emission in Indonesia. Meanwhile, the consumption variable of renewable energy has a negative effect on the level of carbon dioxide emissions produced.

  8. Conduction Channel Formation and Dissolution Due to Oxygen Thermophoresis/Diffusion in Hafnium Oxide Memristors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Suhas; Wang, Ziwen; Huang, Xiaopeng

    Due to the favorable operating power, endurance, speed, and density., transition-metal-oxide memristors, or resistive random-access memory (RRAM) switches, are under intense development for storage-class memory. Their commercial deployment critically depends on predictive compact models based on understanding nanoscale physiocochemical forces, which remains elusive and controversial owing to the difficulties in directly observing atomic motions during resistive switching, Here, using scanning transmission synchrotron X-ray spectromicroscopy to study in situ switching of hafnium oxide memristors, we directly observed the formation of a localized oxygen-deficiency-derived conductive channel surrounded by a low-conductivity ring of excess oxygen. Subsequent thermal annealing homogenized the segregated oxygen, resettingmore » the cells toward their as-grown resistance state. We show that the formation and dissolution of the conduction channel are successfully modeled by radial thermophoresis and Fick diffusion of oxygen atoms driven by Joule heating. This confirmation and quantification of two opposing nanoscale radial forces that affect bipolar memristor switching are important components for any future physics-based compact model for the electronic switching of these devices.« less

  9. Habituation/Fatigue behavior of a synapse memristor based on IGZO-HfO2 thin film.

    PubMed

    Jiang, Ran; Ma, Pengfei; Han, Zuyin; Du, Xianghao

    2017-08-24

    A synaptic memristor based on IGZO and oxygen-deficient HfO 2 films has been demonstrated. The memristor exhibits a fatigue response to a monotonic stimulus of voltage pulses, which is analogous to the habituation behavior of biological memory. The occurrence of habituation is nearly simultaneous with the transition from short-term memory to long-term memory. The movement and redistribution of oxygen species with the assistance of polarization in HfO 2 layer are responsible for the above results. The observation of habituation behavior proves the potential prospect of memristor on the mimic of biological neuron.

  10. Oxygen and carbon dioxide sensing

    NASA Technical Reports Server (NTRS)

    Ren, Fan (Inventor); Pearton, Stephen John (Inventor)

    2012-01-01

    A high electron mobility transistor (HEMT) capable of performing as a CO.sub.2 or O.sub.2 sensor is disclosed, hi one implementation, a polymer solar cell can be connected to the HEMT for use in an infrared detection system. In a second implementation, a selective recognition layer can be provided on a gate region of the HEMT. For carbon dioxide sensing, the selective recognition layer can be, in one example, PEI/starch. For oxygen sensing, the selective recognition layer can be, in one example, indium zinc oxide (IZO). In one application, the HEMTs can be used for the detection of carbon dioxide and oxygen in exhaled breath or blood.

  11. A COMPARISON OF EXPERIMENTS AND THREE-DIMENSIONAL ANALYSIS TECHNIQUES. PART I. UNPOISONED UNIFORM SLAB CORE WITH A PARTIALLY INSERTED HAFNIUM ROD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Renzi, N.E.; Roseberry, R.J.

    >The experimental measurements and nuclear analysis of a uniformly loaded, unpoisoned slab core with a partially insented hafnium rod are described. Comparisons of experimental data with calculated results of the UFO code and flux synthesis techniques are given. It was concluded that one of the flux synthesis techniques and the UFO code are able to predict flux distributions to within approximately 5% of experiment for most cases. An error of approximately 10% was found in the synthesis technique for a channel near the partially inserted rod. The various calculations were able to predict neutron pulsed shutdowns to only approximately 30%.more » (auth)« less

  12. Electrochemical behavior of lead dioxide deposited on reticulated vitreous carbon (RVC)

    NASA Astrophysics Data System (ADS)

    Czerwiński, Andrzej; Żelazowska, Malgorzata

    The electrochemical performance of lead dioxide deposited on reticulated vitreous carbon (RVC) has been investigated in basic and acidic solutions (0.1 M NaOH, 0.1 M Na 2BB 4OO 7 and 0.5 M H 2SSO 4)). For comparison, pure lead and lead dioxide deposited on platinized RVC (Pt/ RVC) were also included in the study. Our results indicate that the behavior of RVC covered with lead dioxide (without platinum) resembles that of lead dioxide generated electrochemically on metallic lead.

  13. Randomized Study Comparing the Effect of Carbon Dioxide Insufflation on Veins Using 2 Types of Endoscopic and Open Vein Harvesting.

    PubMed

    Krishnamoorthy, Bhuvaneswari; Critchley, William R; Nair, Janesh; Malagon, Ignacio; Carey, John; Barnard, James B; Waterworth, Paul D; Venkateswaran, Rajamiyer V; Fildes, James E; Caress, Ann L; Yonan, Nizar

    The aim of the study was to assess whether the use of carbon dioxide insufflation has any impact on integrity of long saphenous vein comparing 2 types of endoscopic vein harvesting and traditional open vein harvesting. A total of 301 patients were prospectively randomized into 3 groups. Group 1 control arm of open vein harvesting (n = 101), group 2 closed tunnel (carbon dioxide) endoscopic vein harvesting (n = 100) and Group 3 open tunnel (carbon dioxide) endoscopic vein harvesting (open tunnel endoscopic vein harvesting) (n = 100). Each group was assessed to determine the systemic level of partial arterial carbon dioxide, end-tidal carbon dioxide, and pH. Three blood samples were obtained at baseline, 10 minutes after start of endoscopic vein harvesting, and 10 minutes after the vein was retrieved. Vein samples were taken immediately after vein harvesting without further surgical handling to measure the histological level of endothelial damage. A modified validated endothelial scoring system was used to compare the extent of endothelial stretching and detachment. The level of end-tidal carbon dioxide was maintained in the open tunnel endoscopic vein harvesting and open vein harvesting groups but increased significantly in the closed tunnel endoscopic vein harvesting group (P = 0.451, P = 0.385, and P < 0.001). Interestingly, partial arterial carbon dioxide also did not differ over time in the open tunnel endoscopic vein harvesting group (P = 0.241), whereas partial arterial carbon dioxide reduced significantly over time in the open vein harvesting group (P = 0.001). A profound increase in partial arterial carbon dioxide was observed in the closed tunnel endoscopic vein harvesting group (P < 0.001). Consistent with these patterns, only the closed tunnel endoscopic vein harvesting group demonstrated a sudden drop in pH over time (P < 0.001), whereas pH remained stable for both open tunnel endoscopic vein harvesting and open vein harvesting groups (P = 0.105 and P

  14. Water and Carbon Dioxide Adsorption at Olivine Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kerisit, Sebastien N.; Bylaska, Eric J.; Felmy, Andrew R.

    2013-11-14

    Plane-wave density functional theory (DFT) calculations were performed to simulate water and carbon dioxide adsorption at the (010) surface of five olivine minerals, namely, forsterite (Mg2SiO4), calcio-olivine (Ca2SiO4), tephroite (Mn2SiO4), fayalite (Fe2SiO4), and Co-olivine (Co2SiO4). Adsorption energies per water molecule obtained from energy minimizations varied from -78 kJ mol-1 for fayalite to -128 kJ mol-1 for calcio-olivine at sub-monolayer coverage and became less exothermic as coverage increased. In contrast, carbon dioxide adsorption energies at sub-monolayer coverage ranged from -20 kJ mol-1 for fayalite to -59 kJ mol-1 for calcio-olivine. Therefore, the DFT calculations show a strong driving force for carbonmore » dioxide displacement by water at the surface of all olivine minerals in a competitive adsorption scenario. Additionally, adsorption energies for both water and carbon dioxide were found to be more exothermic for the alkaline-earth (AE) olivines than for the transition-metal (TM) olivines and to not correlate with the solvation enthalpies of the corresponding divalent cations. However, a correlation was obtained with the charge of the surface divalent cation indicating that the more ionic character of the AE cations in the olivine structure relative to the TM cations leads to greater interactions with adsorbed water and carbon dioxide molecules at the surface and thus more exothermic adsorption energies for the AE olivines. For calcio-olivine, which exhibits the highest divalent cation charge of the five olivines, ab initio molecular dynamics simulations showed that this effect leads both water and carbon dioxide to react with the surface and form hydroxyl groups and a carbonate-like species, respectively.« less

  15. Carbon dioxide recycling

    EPA Science Inventory

    The recycling of carbon dioxide to methanol and dimethyl ether is seen to offer a substantial route to renewable and environmentally carbon neutral fuels. One of the authors has championed the “Methanol Economy" in articles and a book. By recycling ambient CO2, the authors argue ...

  16. Processing development of 4 tantalum carbide-hafnium carbide and related carbides and borides for extreme environments

    NASA Astrophysics Data System (ADS)

    Gaballa, Osama Gaballa Bahig

    Carbides, nitrides, and borides ceramics are of interest for many applications because of their high melting temperatures and good mechanical properties. Wear-resistant coatings are among the most important applications for these materials. Materials with high wear resistance and high melting temperatures have the potential to produce coatings that resist degradation when subjected to high temperatures and high contact stresses. Among the carbides, Al4SiC4 is a low density (3.03 g/cm3), high melting temperature (>2000°C) compound, characterized by superior oxidation resistance, and high compressive strength. These desirable properties motivated this investigation to (1) obtain high-density Al4SiC4 at lower sintering temperatures by hot pressing, and (2) to enhance its mechanical properties by adding WC and TiC to the Al4SiC4. Also among the carbides, tantalum carbide and hafnium carbide have outstanding hardness; high melting points (3880°C and 3890°C respectively); good resistance to chemical attack, thermal shock, and oxidation; and excellent electronic conductivity. Tantalum hafnium carbide (Ta4HfC 5) is a 4-to-1 ratio of TaC to HfC with an extremely high melting point of 4215 K (3942°C), which is the highest melting point of all currently known compounds. Due to the properties of these carbides, they are considered candidates for extremely high-temperature applications such as rocket nozzles and scramjet components, where the operating temperatures can exceed 3000°C. Sintering bulk components comprised of these carbides is difficult, since sintering typically occurs above 50% of the melting point. Thus, Ta4 HfC5 is difficult to sinter in conventional furnaces or hot presses; furnaces designed for very high temperatures are expensive to purchase and operate. Our research attempted to sinter Ta4HfC5 in a hot press at relatively low temperature by reducing powder particle size and optimizing the powder-handling atmosphere, milling conditions, sintering

  17. Anaesthesia for laparoscopic nephrectomy: Does end-tidal carbon dioxide measurement correlate with arterial carbon dioxide measurement?

    PubMed

    Jayan, Nithin; Jacob, Jaya Susan; Mathew, Mohan

    2018-04-01

    Not many studies have explored the correlation between arterial carbon dioxide tension (PaCO 2 ) and end-tidal carbon dioxide tension (ETCO 2 ) in surgeries requiring pneumoperitoneum of more than 1 hour duration with the patient in non-supine position. The aim of our study was to evaluate the correlation of ETCO 2 with PaCO 2 in patients undergoing laparoscopic nephrectomy under general anaesthesia. A descriptive study was performed in thirty patients undergoing laparoscopic nephrectomy from September 2014 to August 2015. The haemodynamic parameters, minute ventilation, PaCO 2 and ETCO 2 measured at three predetermined points during the procedure were analysed. Correlation was checked using Pearson's Correlation Coefficient Test. P <0.05 was considered statistically significant. Statistical analysis of the values showed a positive correlation between ETCO 2 and PaCO 2 ( P < 0.05). Following carbon dioxide insufflation, both ETCO 2 and PaCO 2 increased by 5.4 and 6.63 mmHg, respectively, at the end of the 1 st hour. The PaCO 2 -ETCO 2 gradient was found to increase during the 1 st hour following insufflation (4.07 ± 2.05 mmHg); it returned to the pre-insufflation values in another hour (2.93 ± 1.43 mmHg). Continuous ETCO 2 monitoring is a reliable indicator of the trend in arterial CO 2 fluctuations in the American Society of Anesthesiologists Grades 1 and 2 patients undergoing laparoscopic nephrectomy under general anaesthesia.

  18. Method for carbon dioxide sequestration

    DOEpatents

    Wang, Yifeng; Bryan, Charles R.; Dewers, Thomas; Heath, Jason E.

    2015-09-22

    A method for geo-sequestration of a carbon dioxide includes selection of a target water-laden geological formation with low-permeability interbeds, providing an injection well into the formation and injecting supercritical carbon dioxide (SC--CO.sub.2) into the injection well under conditions of temperature, pressure and density selected to cause the fluid to enter the formation and splinter and/or form immobilized ganglia within the formation. This process allows for the immobilization of the injected SC--CO.sub.2 for very long times. The dispersal of scCO2 into small ganglia is accomplished by alternating injection of SC--CO.sub.2 and water. The injection rate is required to be high enough to ensure the SC--CO.sub.2 at the advancing front to be broken into pieces and small enough for immobilization through viscous instability.

  19. Carbon dioxide (CO2) sequestration in deep saline aquifers and formations: Chapter 3

    USGS Publications Warehouse

    Rosenbauer, Robert J.; Thomas, Burt

    2010-01-01

    Carbon dioxide (CO2) capture and sequestration in geologic media is one among many emerging strategies to reduce atmospheric emissions of anthropogenic CO2. This chapter looks at the potential of deep saline aquifers – based on their capacity and close proximity to large point sources of CO2 – as repositories for the geologic sequestration of CO2. The petrochemical characteristics which impact on the suitability of saline aquifers for CO2 sequestration and the role of coupled geochemical transport models and numerical tools in evaluating site feasibility are also examined. The full-scale commercial CO2 sequestration project at Sleipner is described together with ongoing pilot and demonstration projects.

  20. Efficacy of chlorine dioxide mouthwash against halitosis

    NASA Astrophysics Data System (ADS)

    Bestari, M. D.; Sunarto, H.; Kemal, Y.

    2017-08-01

    To ascertain the effectiveness of using chlorine dioxide mouthwash in addressing halitosis. Forty people were divided equally into the test group (required to gargle with mouthwash containing chlorine dioxide) and the control group (required to gargle with aquadest). The volatile sulfur compound (VSC) and organoleptic scores were measured before gargling and 30 min, 2 h, 4 h, and 6 h after. The Wilcoxon test analysis showed a significant difference (p<0.05) in the mean value of VSC scores between the test group and the control group in four testing periods after gargling. Chlorine dioxide mouthwash is effective in addressing halitosis.

  1. Effect of structural evolution of ZnO/HfO2 nanocrystals on Eu2+/Eu3+ emission in glass-ceramic waveguides for photonic applications

    NASA Astrophysics Data System (ADS)

    Ghosh, Subhabrata; N, Shivakiran Bhaktha B.

    2018-06-01

    Eu-doped 70SiO2–23HfO2–7ZnO (mol%) glass-ceramic waveguides have been fabricated by sol-gel method as a function of heat-treatment temperatures for on-chip blue-light emitting source applications. Structural evolution of spherical ZnO and spherical as well as rod-like HfO2 nanocrystalline structures have been observed with heat-treatments at different temperatures. Initially, in the as-prepared samples at 900 ◦C, both, Eu2+ as well as Eu3+ ions are found to be present in the ternary matrix. With controlled heat-treatments of up to 1000 ◦C for 2 h, local environment of Eu-ions become more crystalline in nature and the reduction of Eu3+ to Eu2+ takes place in such ZnO/HfO2 crystalline environments. In these ternary glass-ceramic waveguides, heat-treated at higher temperatures, the blue-light emission characteristic, which is the signature of 4f 65d \\to 4f 7 energy level transition of Eu2+ ions is found to be greatly enhanced. The as-prepared glass-ceramic waveguides exhibit a propagation loss of 0.4 ± 0.2 dB cm‑1 at 632.8 nm. Though the propagation losses increase with the growth of nanocrystals, the added functionalities achieved in the optimally heat-treated Eu-doped 70SiO2–23HfO2–7ZnO (mol%) waveguides, make them a viable functional optical material for the fabrication of on-chip blue-light emitting sources for integrated optic applications.

  2. Effect of structural evolution of ZnO/HfO2 nanocrystals on Eu2+/Eu3+ emission in glass-ceramic waveguides for photonic applications.

    PubMed

    Ghosh, Subhabrata; Bhaktha B N, Shivakiran

    2018-06-01

    Eu-doped 70SiO 2 -23HfO 2 -7ZnO (mol%) glass-ceramic waveguides have been fabricated by sol-gel method as a function of heat-treatment temperatures for on-chip blue-light emitting source applications. Structural evolution of spherical ZnO and spherical as well as rod-like HfO 2 nanocrystalline structures have been observed with heat-treatments at different temperatures. Initially, in the as-prepared samples at 900 ◦ C, both, Eu 2+ as well as Eu 3+ ions are found to be present in the ternary matrix. With controlled heat-treatments of up to 1000 ◦ C for 2 h, local environment of Eu-ions become more crystalline in nature and the reduction of Eu 3+ to Eu 2+ takes place in such ZnO/HfO 2 crystalline environments. In these ternary glass-ceramic waveguides, heat-treated at higher temperatures, the blue-light emission characteristic, which is the signature of 4f 6 5d [Formula: see text] 4f 7 energy level transition of Eu 2+ ions is found to be greatly enhanced. The as-prepared glass-ceramic waveguides exhibit a propagation loss of 0.4 ± 0.2 dB cm -1 at 632.8 nm. Though the propagation losses increase with the growth of nanocrystals, the added functionalities achieved in the optimally heat-treated Eu-doped 70SiO 2 -23HfO 2 -7ZnO (mol%) waveguides, make them a viable functional optical material for the fabrication of on-chip blue-light emitting sources for integrated optic applications.

  3. Effect of High-Frequency Oscillations on Cough Peak Flows Generated by Mechanical In-Exsufflation in Medically Stable Subjects With Amyotrophic Lateral Sclerosis.

    PubMed

    Sancho, Jesús; Bures, Enric; de La Asunción, Saray; Servera, Emilio

    2016-08-01

    Mechanically assisted coughing with mechanical in-exsufflation (MI-E) is recommended for noninvasive management of respiratory secretions in amyotrophic lateral sclerosis (ALS). To improve the effectiveness of the technique, a new device combining MI-E with high-frequency oscillations (HFO) has been developed. This work aimed to assess the effect of HFO on the cough peak flow generated by MI-E in medically stable subjects with ALS. This was a prospective study that included subjects with ALS in a medically stable condition. Cough peak flow generated by MI-E was measured in 4 situations: without HFO, with HFO during insufflation, with HFO during exsufflation, and with HFO in both cycles. The parameters used were: insufflation pressure of +40 cm H2O, exsufflation pressure of -40 cm H2O, insufflation time 2 s, exsufflation time 3 s, amplitude of oscillations 10 cm H2O, and frequency of oscillations 15 Hz. Forty-seven subjects with ALS were included: 66% males, 68.2 ± 9.2 y, 40% with bulbar onset, FVC = 1.7 ± 1.1 L, percent-of-predicted FVC = 54.4 ± 26.6%, cough peak flow = 3.8 ± 2.2 L/s, PImax = -39.4 ± 26.4 cm H2O, revised ALS scale = 28.5 ± 9.3, Norris bulbar subscore = 26.1 ± 10.4. No statistical differences were found in cough peak flow generated by MI-E in the 4 situations (without HFO = 4.0 ± 1.2 L/s, with insufflation HFO = 3.9 ± 1.2 L/s, with exsufflation HFO = 4.1 ± 1.2 L/s, with in-exsufflation HFO = 3.9 ± 1.1 L/s). The addition of HFO to mechanically assisted coughing with MI-E does not have an effect on the cough peak flow of medically stable subjects with ALS. Copyright © 2016 by Daedalus Enterprises.

  4. Carbon Dioxide-Water Emulsions for Enhanced Oil Recovery and Permanent Sequestration of Carbon Dioxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryan, David; Golomb, Dan; Shi, Guang

    2011-09-30

    This project involves the use of an innovative new invention Particle Stabilized Emulsions (PSEs) of Carbon Dioxide-in-Water and Water-in-Carbon Dioxide for Enhanced Oil Recovery (EOR) and Permanent Sequestration of Carbon Dioxide. The EOR emulsion would be injected into a semi-depleted oil reservoir such as Dover 33 in Otsego County, Michigan. It is expected that the emulsion would dislocate the stranded heavy crude oil from the rock granule surfaces, reduce its viscosity, and increase its mobility. The advancing emulsion front should provide viscosity control which drives the reduced-viscosity oil toward the production wells. The make-up of the emulsion would be subsequentlymore » changed so it interacts with the surrounding rock minerals in order to enhance mineralization, thereby providing permanent sequestration of the injected CO{sub 2}. In Phase 1 of the project, the following tasks were accomplished: 1. Perform laboratory scale (mL/min) refinements on existing procedures for producing liquid carbon dioxide-in-water (C/W) and water-in-liquid carbon dioxide (W/C) emulsion stabilized by hydrophilic and hydrophobic fine particles, respectively, using a Kenics-type static mixer. 2. Design and cost evaluate scaled up (gal/min) C/W and W/C emulsification systems to be deployed in Phase 2 at the Otsego County semi-depleted oil field. 3. Design the modifications necessary to the present CO{sub 2} flooding system at Otsego County for emulsion injection. 4. Design monitoring and verification systems to be deployed in Phase 2 for measuring potential leakage of CO{sub 2} after emulsion injection. 5. Design production protocol to assess enhanced oil recovery with emulsion injection compared to present recovery with neat CO{sub 2} flooding. 6. Obtain Federal and State permits for emulsion injection. Initial research focused on creating particle stabilized emulsions with the smallest possible globule size so that the emulsion can penetrate even low-permeability crude

  5. 40 CFR 91.320 - Carbon dioxide analyzer calibration.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... monthly thereafter, or within one month prior to the certification test, calibrate the NDIR carbon dioxide... the analyzer to optimize performance. (2) Zero the carbon dioxide analyzer with either purified synthetic air or zero-grade nitrogen. (3) Calibrate on each normally used operating range with carbon...

  6. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  7. 7-Phenoxy-Substituted 3,4-Dihydro-2H-1,2,4-benzothiadiazine 1,1-Dioxides as Positive Allosteric Modulators of α-Amino-3-hydroxy-5-methyl-4-isoxazolepropionic Acid (AMPA) Receptors with Nanomolar Potency.

    PubMed

    Goffin, Eric; Drapier, Thomas; Larsen, Anja Probst; Geubelle, Pierre; Ptak, Christopher P; Laulumaa, Saara; Rovinskaja, Karoline; Gilissen, Julie; Tullio, Pascal de; Olsen, Lars; Frydenvang, Karla; Pirotte, Bernard; Hanson, Julien; Oswald, Robert E; Kastrup, Jette Sandholm; Francotte, Pierre

    2018-01-11

    We report here the synthesis of 7-phenoxy-substituted 3,4-dihydro-2H-1,2,4-benzothiadiazine 1,1-dioxides and their evaluation as AMPA receptor positive allosteric modulators (AMPApams). The impact of substitution on the phenoxy ring and on the nitrogen atom at the 4-position was examined. At GluA2(Q) expressed in HEK293 cells (calcium flux experiment), the most potent compound was 11m (4-cyclopropyl-7-(3-methoxyphenoxy)-3,4-dihydro-2H-1,2,4-benzothiadiazine 1,1-dioxide, EC 50 = 2.0 nM). The Hill coefficient in the screening and the shape of the dimerization curve in small-angle X-ray scattering (SAXS) experiments using isolated GluA2 ligand-binding domain (GluA2-LBD) are consistent with binding of one molecule of 11m per dimer interface, contrary to most benzothiadiazine dioxides developed to date. This observation was confirmed by the X-ray structure of 11m bound to GluA2-LBD and by NMR. This is the first benzothiadiazine dioxide AMPApam to reach the nanomolar range.

  8. The Morphology of Titanium Dioxide Aerogels

    NASA Astrophysics Data System (ADS)

    Zhu, Zhu

    The morphology of titanium dioxide TiO _2 aerogels has been characterized by four major techniques. This work will discuss these complementary techniques such as nitrogen adsorption, X-ray powder diffraction (XRD), electron microscopies (EM- TEM, SEM), and small angle neutron scattering (SANS). The results of these characterizations have shown that the morphology of titanium dioxide TiO_2 aerogels can be characterized in terms of two length scales: 5 nm diameter, crystalline nanoparticles of anatase closely packed into mesoaggregates about 50 nm in size. The mesoaggregates are, in turn, packed into a loosely linked structure with an overall porosity of 80%.

  9. Carbon dioxide dangers demonstration model

    USGS Publications Warehouse

    Venezky, Dina; Wessells, Stephen

    2010-01-01

    Carbon dioxide is a dangerous volcanic gas. When carbon dioxide seeps from the ground, it normally mixes with the air and dissipates rapidly. However, because carbon dioxide gas is heavier than air, it can collect in snowbanks, depressions, and poorly ventilated enclosures posing a potential danger to people and other living things. In this experiment we show how carbon dioxide gas displaces oxygen as it collects in low-lying areas. When carbon dioxide, created by mixing vinegar and baking soda, is added to a bowl with candles of different heights, the flames are extinguished as if by magic.

  10. Carbon dioxide elimination and regeneration of resources in a microwave plasma torch.

    PubMed

    Uhm, Han S; Kwak, Hyoung S; Hong, Yong C

    2016-04-01

    Carbon dioxide gas as a working gas produces a stable plasma-torch by making use of 2.45 GHz microwaves. The temperature of the torch flame is measured by making use of optical spectroscopy and a thermocouple device. Two distinctive regions are exhibited, a bright, whitish region of a high-temperature zone and a bluish, dimmer region of a relatively low-temperature zone. The bright, whitish region is a typical torch based on plasma species where an analytical investigation indicates dissociation of a substantial fraction of carbon dioxide molecules, forming carbon monoxides and oxygen atoms. The emission profiles of the oxygen atoms and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. Various hydrocarbon materials may be introduced into the carbon dioxide torch, regenerating new resources and reducing carbon dioxide concentration in the torch. As an example, coal powders in the carbon dioxide torch are converted into carbon monoxide according to the reaction of CO2 + C → 2CO, reducing a substantial amount of carbon dioxide concentration in the torch. In this regards, the microwave plasma torch may be one of the best ways of converting the carbon dioxides into useful new materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Sulfur dioxide retrievals from OMI and GOME-2 in preparation of TROPOMI

    NASA Astrophysics Data System (ADS)

    Theys, Nicolas; De Smedt, Isabelle; Danckaert, Thomas; Yu, Huan; van Gent, Jeroen; Van Roozendael, Michel

    2016-04-01

    The TROPOspheric Monitoring Instrument (TROPOMI) will be launched in 2016 onboard the ESA Sentinel-5 Precursor (S5P) platform and will provide global observations of atmospheric trace gases, with unprecedented spatial resolution. Sulfur dioxide (SO2) measurements from S5P will significantly improve the current capabilities for anthropogenic and volcanic emissions monitoring, and will extend the long-term datasets from past and existing UV sensors (TOMS, GOME, SCIAMACHY, OMI, GOME-2, OMPS). This work presents the SO2 retrieval schemes performed at BIRA-IASB as part of level-2 algorithm prototyping activities for S5P and tested on OMI and GOME-2. With a focus on anthropogenic sources, we show comparisons between OMI and GOME-2 as well as ground-based measurements, and discuss the possible reasons for the differences.

  12. Distribution of Sulfur Dioxide Frost on Io

    NASA Technical Reports Server (NTRS)

    1997-01-01

    Sulfur dioxide, normally a gas at room temperatures, is known to exist on Io's surface as a frost, condensing there from the hot gases emanating from the Io volcanoes. However, the deposition patterns and relation of the frost distribution to the volcanic activity is unknown, since prior measurements lacked the spatial resolution to accurately map the surface frost.

    The Galileo Near Infrared Mapping Spectrometer (NIMS) obtained relatively high spatial and spectral resolution images during the C3 orbit, and the characteristic infrared absorptions of sulfur dioxide frost appearing in the spectra were used to produce the SO2 frost map shown on the right. The comparison image on the left (from 1979 Voyager measurements) shows the same view and indicates the surface brightness as seen in visible light.

    The frost map shows maximum SO2 concentration as white, lesser amounts as blue coloration, and areas with little or no SO2 as black. The resolution of this map is about 120 km (75 miles), which spans the latitude range 120 W to 270 W.

    It is interesting to compare this frost distribution with regions of volcanic activity. Volcanic hotspots identified from NIMS and SSI images occur in many of the dark - low SO2 - areas, a reasonable finding since sulfur dioxide would not condense on such hot regions. The Pele region (to the lower left), N. Colchis hot spots (upper center) and S. Volund (upper right) are good examples of hot spot areas depleted in sulfur dioxide. Much of the rest of this hemisphere of Io has varying amounts of sulfur dioxide present. The most sulfur dioxide-rich area is Colchis Regio, the white area to the right of center.

    Of particular interest is the dark area to the south of Colchis Regio. From the study of other NIMS images, it is seen that this region does not have any large, obvious hotspots. However, it is depleted in sulfur dioxide.

    The Jet Propulsion Laboratory, Pasadena, CA manages the mission for NASA's Office of Space Science

  13. 77 FR 9532 - Air Quality Designations for the 2010 Primary Nitrogen Dioxide (NO2

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-02-17

    ...This rule establishes air quality designations for all areas in the United States for the 2010 Primary Nitrogen Dioxide (NO2) National Ambient Air Quality Standards (NAAQS). Based on air quality monitoring data, the EPA is issuing this rule to designate all areas of the country as ``unclassifiable/attainment'' for the 2010 NO2 NAAQS. The EPA is designating areas as ``unclassifiable/attainment'' to mean that available information does not indicate that the air quality in these areas exceeds the 2010 NO2 NAAQS.

  14. 78 FR 28173 - Approval and Promulgation of Air Quality Implementation Plans; Indiana; Sulfur Dioxide and...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-05-14

    ...-9811-5] Approval and Promulgation of Air Quality Implementation Plans; Indiana; Sulfur Dioxide and Nitrogen Dioxide Ambient Air Quality Standards AGENCY: Environmental Protection Agency (EPA). ACTION... implementation plan (SIP) for nitrogen dioxide (NO 2 ) and sulfur dioxide (SO 2 ) under the Clean Air Act. This...

  15. Diffusion of cis-5,8,11,14,17-eicosapentaenoic acid (1); carbon dioxide (2)

    NASA Astrophysics Data System (ADS)

    Winkelmann, J.

    This document is part of Subvolume A `Gases in Gases, Liquids and their Mixtures' of Volume 15 `Diffusion in Gases, Liquids and Electrolytes' of Landolt-Börnstein Group IV `Physical Chemistry'. It is part of the chapter of the chapter `Diffusion in Pure Gases' and contains data on diffusion of (1) cis-5,8,11,14,17-eicosapentaenoic acid; (2) carbon dioxide

  16. REAL-TIME MEASUREMENT OF AIRWAY RESPONSES TO SULOFUR DIOXIDE (SO2) IN AN INTACT, AWAKE GUINEA PIG MODEL

    EPA Science Inventory

    Real-time measurment of airway responses to Sulfur Dioxide (SO2) in an intact, awake guinea pig model. J Stanek1,2, Q Krantz2, J Nolan2, D Winsett2, W Watkinson2, and D Costa2. 1College of Veterinary Medicine, NCSU, Raleigh, NC, USA; 2Pulmonary Toxicology Branch, ETD, NHEERL, US...

  17. Carbon Dioxide Embolism during Laparoscopic Surgery

    PubMed Central

    Park, Eun Young; Kwon, Ja-Young

    2012-01-01

    Clinically significant carbon dioxide embolism is a rare but potentially fatal complication of anesthesia administered during laparoscopic surgery. Its most common cause is inadvertent injection of carbon dioxide into a large vein, artery or solid organ. This error usually occurs during or shortly after insufflation of carbon dioxide into the body cavity, but may result from direct intravascular insufflation of carbon dioxide during surgery. Clinical presentation of carbon dioxide embolism ranges from asymptomatic to neurologic injury, cardiovascular collapse or even death, which is dependent on the rate and volume of carbon dioxide entrapment and the patient's condition. We reviewed extensive literature regarding carbon dioxide embolism in detail and set out to describe the complication from background to treatment. We hope that the present work will improve our understanding of carbon dioxide embolism during laparoscopic surgery. PMID:22476987

  18. Characterization and morphology of prepared titanium dioxide nanofibers by electrospinning.

    PubMed

    Park, Ju-Young; Lee, In-Hwa

    2010-05-01

    Dispersed titanium dioxide in polymer nanofibers were prepared by sol-gel processing and electrospinning techniques using titanium isopropoxide (TiP)/polyvinylpyrrolidone (PVP) solution. The prepared titanium dioxide nanofibers were characterized by FE-SEM, TEM, XRD, and FT-IR. Pure titanium dioxide nanofibers were obtained from calcination of inorganic-organic composite fiber. The diameter of titanium oxide nanofibers were in the range of 70 nm to 150 nm. Prepared titanium dioxide nanofibers show rough surface and rather small diameter compare with TiP/PVP composite nanofibers. After calcined at 500 degrees C, TiO2 nanofibers convert into anatase and rutile mixed phased from amorphous structure. Calcination of these composite fibers above 600 degrees C resulted in pure rutile TiO2 nanofibers.

  19. First analysis of the rotationally-resolved ν 2 and 2ν 22 bands of sulfur dioxide, 33S 16O 2

    DOE PAGES

    Blake, T. A.; Flaud, J. -M.; Lafferty, W. J.

    2017-01-03

    A Fourier transform spectrum of sulfur dioxide 33S 16O 2 has been recorded in the 18.3 μm spectral region at a resolution of 0.002 cm $-$1 using a Bruker IFS 125HR spectrometer leading to the observation of the ν 2 and 2ν 22 vibrational bands of the 33S 16O 2 molecule. The corresponding upper state ro-vibrational levels were fit using Watson-type Hamiltonians. In this way it was possible to reproduce the upper state ro-vibrational levels to within the experimental uncertainty; i.e., ~ 0.20 × 10 $-$3 cm $-$1. Finally, very accurate rotational and centrifugal distortion constants were derived frommore » the fit together with the following band centers: ν 0 (ν 2) = 515.659089(50) cm $-$1, ν 0 (2ν 2) = 1030.697723(20) cm $-$1.« less

  20. Electrochemical reduction of carbon dioxide. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LaConti, A.B.; Molter, T.M.; Zagaja, J.A.

    1986-05-01

    Many researchers have studied the electrochemical reduction of carbon dioxide and related organic species to form concentrated liquid/gaseous products in laboratory-scale hardware. Hamilton Standard has developed a high pressure SPE electrolysis cell capable of reducing carbon dioxide streams to form pure, concentrated alcohols, carboxylic acids, and other hydrocarbons. The process is unique in that the byproducts of reaction include oxygen and, under some test conditions water. In addition, a relatively simple test system was designed and constructed permitting both batch and semibatch type electrochemical reduction studies. In this study, cathode materials were developed which 1) had a characteristic high hydrogenmore » overvoltage, and 2) possessed the intrinsic affinity for electrochemical reduction of the carbon dioxide species. In addition, suitable anode electrocatalyst materials were identified. Studies involving the electrochemical reduction of carbon dioxide required the ability to identify and quantify reaction products obtained during cell evaluation. Gas chromatographic techniques were developed along with the establishment of ion chromatographic methods permitting the analysis of organic reaction products. Hamilton Standard has evaluated electrochemical carbon dioxide reduction cells under a variety of test conditions.« less

  1. 40 CFR 60.642 - Standards for sulfur dioxide.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 6 2011-07-01 2011-07-01 false Standards for sulfur dioxide. 60.642... Gas Processing: SO2 Emissions § 60.642 Standards for sulfur dioxide. (a) During the initial... reduction efficiency (Zi) to be determined from table 1 based on the sulfur feed rate (X) and the sulfur...

  2. 40 CFR 60.642 - Standards for sulfur dioxide.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 7 2012-07-01 2012-07-01 false Standards for sulfur dioxide. 60.642... Gas Processing: SO2 Emissions § 60.642 Standards for sulfur dioxide. (a) During the initial... reduction efficiency (Zi) to be determined from table 1 based on the sulfur feed rate (X) and the sulfur...

  3. 40 CFR 60.642 - Standards for sulfur dioxide.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 6 2010-07-01 2010-07-01 false Standards for sulfur dioxide. 60.642... Gas Processing: SO2 Emissions § 60.642 Standards for sulfur dioxide. (a) During the initial... reduction efficiency (Zi) to be determined from table 1 based on the sulfur feed rate (X) and the sulfur...

  4. The (2 × 2) tunnels structured manganese dioxide nanorods with α phase for lithium air batteries

    NASA Astrophysics Data System (ADS)

    Ghouri, Zafar Khan; Zahoor, Awan; Barakat, Nasser A. M.; Alsoufi, Mohammad S.; Bawazeer, Tahani M.; Mohamed, Ahmed F.; Kim, Hak Yong

    2016-02-01

    The (2 × 2) tunnels structured manganese dioxide nanorods with α phase (α-MnO2) are synthesized via simplistic hydrothermal method at low temperature. The obtained tunnels structured α-MnO2 nanorods are characterized by, Transmission electron microscopy, Scanning electron microscopy, and X-ray diffraction techniques. The oxygen reduction reaction (ORR) activity was studied by cyclic voltammetry and rotating ring-disc electrode voltammetry techniques in alkaline media. Moreover; the highly electrocatalytic tunnels structured α-MnO2 nanorods were then also applied as cathode in rechargeable Li-O2 cells. The Li-O2 cells exhibited initial discharge capacity as high as ∼4000 mAh/g with the tunnels structured α-MnO2 nanorods which was double the original capacity of the cells without any catalyst. Also we obtained 100% round trip efficiency upon cycling with limited capacity for more than 50 cycles.

  5. Development and Property Evaluation of Selected HfO2-Silicon and Rare Earth-Silicon Based Bond Coats and Environmental Barrier Coating Systems for SiC/SiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming

    2016-01-01

    Ceramic environmental barrier coatings (EBC) and SiC/SiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiC/SiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si and rare earth Si based EBC bond coat EBC systems for SiC/SiC CMC combustor and turbine airfoil applications are investigated. High temperature properties of the advanced EBC systems, including the strength, fracture toughness, creep and oxidation resistance have been studied and summarized. The advanced NASA EBC systems showed some promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  6. Microstructure Evolution and Durability of Advanced Environmental Barrier Coating Systems for SiC/SiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming; Evans, Laura J.; McCue, Terry R.; Harder, Bryan

    2016-01-01

    Environmental barrier coated SiC-SiC ceramic matrix composites (CMCs) systems will play a crucial role in next generation turbine engines for hot-section component applications because of their ability to significantly increase engine operating temperatures with improved efficiency, reduce engine weight and cooling requirements. Advanced HfO2 and rare earth silicate environmental barrier coatings (EBCs), along with multicomponent hafnium and rare earth silicide EBC bond coats have been developed. The coating degradation mechanisms in the laboratory simulated engine thermal cycling, and fatigue-creep operating environments are also being investigated. This paper will focus on the microstructural and compositional evolutions of an advanced environmental barrier coating system on a SiC-SiC CMC substrate during the high temperature simulated durability tests, by using a Field Emission Gun Scanning Electron Microscopy, Energy Dispersive Spectroscopy (EDS) and Wavelength Dispersive Spectroscopy (WDS). The effects of Calcium-Magnesium-Alumino-Silicate (CMAS) from road sand or volcano-ash deposits on the degradation mechanisms of the environmental barrier coating systems will also be discussed. The detailed analysis results help understand the EBC-CMC system performance, aiming at the durability improvements to achieve more robust, prime-reliant environmental barrier coatings.

  7. What can hafnium isotope ratios arrays tell us about orogenic processes? An insight into geodynamic processes operating in the Alpine/Mediterranean region

    NASA Astrophysics Data System (ADS)

    Henderson, B.; Murphy, J.; Collins, W. J.; Hand, M. P.

    2013-12-01

    Over the last decade, technological advances in laser-ablation sampling techniques have resulted in an increase in the number of combined U-Pb-Hf zircon isotope studies used to investigate crustal evolution on a local, regional and global scale. Hafnium isotope arrays over large time scales (>500 myr) have been interpreted to track evolving plate tectonic configurations, and the geological outputs associated with changing plate boundaries. We use the Alpine-Mediterranean region as an example of how hafnium isotope arrays record the geodynamic processes associated with the complex geological evolution of a region. The geology of Alpine-Mediterranean region preserves a complex, semi-continuous tectonic history that extends from the Neoproterozoic to the present day. Major components of the Variscan and Alpine orogens are microcontinental ribbons derived from the northern Gondwanan margin, which were transferred to the Eurasian plate during the opening and closing of the Rheic and Paleo-Tethys Oceans. Convergence of the Eurasian and African plates commenced in the Mid-Late Cretaceous, following the destruction of the Alpine-Tethys Ocean during the terminal breakup of Pangea. In general, convergence occurred slowly and is characterised by northward accretion of Gondwanan fragments, interspersed with subduction of African lithosphere and intermittent roll-back events. A consequence of this geodynamic scenario was periods of granite-dominated magmatism in an arc-backarc setting. New Hf isotope data from the peri-Gondwanan terranes (Iberia, Meguma and Avalonia) and a compilation of existing Phanerozoic data from the Alpine-Mediterranean region, indicate ~500 myr (Cambrian-Recent) of reworking of peri-Gondwanan crust. The eHf array follows a typical crustal evolution pattern (Lu/Hf=0.015) and is considered to reflect reworking of juvenile peri-Gondwanan (Neoproterozoic) crust variably mixed with an older (~1.8-2.0 Ga) source component, probably Eburnian crust from the West

  8. Hydrodynamic Controls on Carbon Dioxide Efflux from Inland Waters

    NASA Astrophysics Data System (ADS)

    Long, H. E.; Waldron, S.; Hoey, T.; Newton, J.; Quemin, S.

    2013-12-01

    Intensive research has been undertaken on carbon dioxide efflux from lakes, estuaries and oceans, but much less attention has been given to rivers and streams, especially lower order streams. River systems are often over-saturated with carbon dioxide and so tend to act as sources of carbon dioxide to the atmosphere. It has been thought that rivers act as pipes carrying this terrestrial carbon to the oceans. However, recent studies have shown that a significant amount of the carbon is reprocessed within the system in a series of transformations and losses. Fluvial evasion of carbon dioxide is now recognised to be a significant component of carbon cycles, however the factors controlling carbon dioxide efflux and its magnitude remain poorly understood and quantified. This research aims to quantify, and better understand the controls on, freshwater carbon dioxide evasion. Data are presented here from field measurements that commenced in Sept 2013 in two contrasting Scottish rivers: the River Kelvin which has a large (335 km.sq) part-urban catchment with predominantly non-peat soils and Drumtee Water, a small (9.6 km.sq) rural catchment of peat soils and agricultural land. Using a floating chamber with the headspace connected to an infrared gas analyser to measure changes in carbon dioxide concentration, efflux rates from 0.22 - 47.4 μmol CO2/m.sq/sec were measured, these close to the middle of the range of previously reported values. At one site on the River Kelvin in May 2013 an influx of -0.61 - -3.53 μmol CO2/m.sq/sec was recorded. Whereas previous research finds carbon dioxide efflux to increase with decreasing river size and a more organic-rich soil catchment, here the controls on carbon dioxide evasion are similar across the contrasting catchments. Carbon dioxide evasion shows seasonality, with maximum fluxes in the summer months being up to twice as high as the winter maxima. Linear regression demonstrates that evasion increases with increased flow velocity

  9. Integrated titanium dioxide (TiO2) nanoparticles on interdigitated device electrodes (IDEs) for pH analysis

    NASA Astrophysics Data System (ADS)

    Azizah, N.; Hashim, U.; Arshad, M. K. Md.; Gopinath, Subash C. B.; Nadzirah, Sh.; Farehanim, M. A.; Fatin, M. F.; Ruslinda, A. R.; Ayub, R. M.

    2016-07-01

    Titanium dioxide (TiO2) nanoparticles based Interdigitated Device Electrodes (IDEs) Nanobiosensor device was developed for intracellular biochemical detection. Fabrication and characterization of pH sensors using IDE nanocoated with TiO2 was studied in this paper. In this paper, a preliminary assessment of this intracellular sensor with electrical measurement under different pH levels. 3-aminopropyltriethoxysilane (APTES) was used to enhance the sensitivity of titanium dioxide layer as well as able to provide surface modification by undergoing protonation and deprotonation process. Different types of pH solution provide different resistivity and conductivity towards the surface. Base solution has the higher current compared to an acid solution. Amine and oxide functionalized TiO2 based IDE exhibit pH-dependent could be understood in terms of the change in surface charge during protonation and deprotonation. The simple fabrication process, high sensitivity, and fast response of the TiO2 based IDEs facilitate their applications in a wide range of areas. The small size of semiconductor TiO2 based IDE for sensitive, label-free, real time detection of a wide range of biological species could be explored in vivo diagnostics and array-based screening.

  10. Growth of radish and marigold following repeated exposure to nitrogen dioxide, sulfur dioxide, and ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reinert, R.A.; Sanders, J.S.

    Radish and marigold plants were exposed to 0.3 ppM of nitrogen dioxide (NO/sub 2/), sulfur dioxide (SO/sub 2/), and /or ozone (O/sub 3/) nine times during a 3-wk period. No interactions among NO/sub 2/, SO/sub 2/, and O/sub 3/ were detected in measurement of radish foliage and root dry weight. Treatments containing O/sub 3/ reduced radish foliage and root (hypocotyl) dry weight 356 and 531 mg/plant, respectively. Interactions among NO/sub 2/, SO/sub 2/ and O/sub 3/ occurred in shoots and roots of marigold. SO/sub 2/ alone reduced marigold shoot and root dry weight, but this effect was reversed in themore » presence of O/sub 3/. The suppressive effect of SO/sub 2/ on root weight was also reversed by NO/sub 2/. Treatments containing SO/sub 2/ reduced dry flower weight 0.17 g/plant, but effects of the pollutant interactions observed in shoots and roots were not present. 8 references, 2 tables.« less

  11. Characterization of amine-functionalized electrode for aqueous carbon dioxide (CO2) direct detection

    NASA Astrophysics Data System (ADS)

    Sato, Hiroshi

    2017-03-01

    In this study, fabrication of amino groups and ferrocenes co-modified sensor electrode and electrochemical detection of carbon dioxide (CO2) in the saline solution is reported. Electrochemical detection of CO2 was carried out using cyclic voltammetry in saline solution containing sodium bicarbonate as CO2 source. Oxidation and reduction peak current intensities computed from cyclic voltammograms varied as a function of concentration of CO2 molecules. The calibration curve was obtained by plotting oxidation peak current intensities as a function of CO2 concentration. The sensor electrode prepared in this study can estimate the differences between concentrations of CO2 in normal seawater up to 10 times higher. Furthermore, the surface analysis was performed to clarify the CO2 detection mechanism.

  12. Carbon materials-functionalized tin dioxide nanoparticles toward robust, high-performance nitrogen dioxide gas sensor.

    PubMed

    Zhang, Rui; Liu, Xiupeng; Zhou, Tingting; Wang, Lili; Zhang, Tong

    2018-08-15

    Carbon (C) materials, which process excellent electrical conductivity and high carrier mobility, are promising sensing materials as active units for gas sensors. However, structural agglomeration caused by chemical processes results in a small resistance change and low sensing response. To address the above issues, structure-derived carbon-coated tin dioxide (SnO 2 ) nanoparticles having distinct core-shell morphology with a 3D net-like structure and highly uniform size are prepared by careful synthesis and fine structural design. The optimum carbon-coated SnO 2 nanoparticles (SnO 2 /C)-based gas sensor exhibits a low working temperature, excellent selectivity and fast response-recovery properties. In addition, the SnO 2 /C-based gas sensor can maintain a sensitivity to nitrogen dioxide (NO 2 ) of 3 after being cycled 4 times at 140 °C for, suggesting its good long-term stability. The structural integrity, good synergistic properties, and high gas-sensing performance of SnO 2 /C render it a promising sensing material for advanced gas sensors. Copyright © 2018 Elsevier Inc. All rights reserved.

  13. Manganese dioxide causes spurious gold values in flame atomic-absorption readings from HBr-Br2 digestions

    USGS Publications Warehouse

    Campbell, W.L.

    1981-01-01

    False readings, apparently caused by the presence of high concentrations of manganese dioxide, have been observed in our current flame atomic-absorption procedure for the determination of gold. After a hydrobromic acid (HBr)-bromine (Br2) leach, simply heating the sample to boiling to remove excess Br2 prior to extraction with methyl-isobutyl-ketone (MIBK) eliminates these false readings. ?? 1981.

  14. TiO2 Processed by pressurized hot solvents as a novel photocatalyst for photocatalytic reduction of carbon dioxide

    NASA Astrophysics Data System (ADS)

    Reli, Martin; Kobielusz, Marcin; Matějová, Lenka; Daniš, Stanislav; Macyk, Wojciech; Obalová, Lucie; Kuśtrowski, Piotr; Rokicińska, Anna; Kočí, Kamila

    2017-01-01

    Anatase-brookite TiO2 photocatalysts were prepared by the sol-gel process controlled within reverse micelles and processing by pressurized hot solvents-water/methanol/water (TiO2(M)) and water/ethanol/water (TiO2(E)), as an unconventional alternative to common calcination. The main goal of this work was to prepare anatase-brookite mixtures by processing by two different alcohols (methanol and ethanol) and evaluate the influence of the alcohol on the photocatalytic activity. Prepared photocatalysts were characterized by organic elemental analysis, nitrogen physisorption, XRD, UV-vis, photoelectrochemical and spectroelectrochemical measurements and XPS. The prepared photocatalysts efficiency was tested on the photocatalytic reduction of carbon dioxide and compared with commercial TiO2 Evonik P25. Both prepared nanocomposites were more efficient towards methane production but Evonik P25 was the most efficient towards hydrogen generated through water splitting. The higher performance of anatase-brookite mixture towards methane production can be explained by (i) a higher photocatalytic activity of brookite than rutile; (ii) a large surface area of anatase-brookite composites enabling better carbon dioxide adsorption; (iii) the photoinduced electron transfer from the brookite conduction band to the anatase conduction band. On the other hand, a higher production of hydrogen in the presence of Evonik P25 is caused by a better charge separation in anatase-rutile than anatase-brookite phase compositions. TiO2(M) appeared more active than TiO2(E) in the photocatalytic reduction of carbon dioxide due to a lower density of defects created in the crystal lattice.

  15. Multi-physics transient simulation of monolithic niobium dioxide-tantalum dioxide memristor-selector structures

    NASA Astrophysics Data System (ADS)

    Sevic, John F.; Kobayashi, Nobuhiko P.

    2017-10-01

    Self-assembled niobium dioxide (NbO2) thin-film selectors self-aligned to tantalum dioxide (TaO2) memristive memory cells are studied by a multi-physics transient solution of the heat equation coupled to the nonlinear current continuity equation. While a compact model can resolve the quasi-static bulk negative differential resistance (NDR), a self-consistent coupled transport formulation provides a non-equilibrium picture of NbO2-TaO2 selector-memristor operation ab initio. By employing the drift-diffusion transport approximation, a finite element method is used to study the dynamic electrothermal behavior of our experimentally obtained selector-memristor devices, showing that existing conditions are suitable for electroformation of NbO2 selector thin-films. Both transient and steady-state simulations support our theory, suggesting that the phase change due to insulator-metal transition is responsible for NbO2 selector NDR in our as-fabricated selector-memristor devices. Simulation results further suggest that TiN nano-via may play a central role in electroforming, as its dimensions and material properties establish the mutual electrothermal interaction between TiN nano-via and the selector-memristor.

  16. The carbon dioxide chaperon efficiency for the reaction H + O2 + M yields HO2 + M from ignition delay times behind reflected shock waves

    NASA Technical Reports Server (NTRS)

    Brabbs, Theodore A.; Robertson, Thomas F.

    1987-01-01

    Ignition delay times for stoichiometric hydrogen-oxygen in argon with and without carbon dioxide were measured behind reflected shock waves. A 20-reaction kinetic mechanism models the measured hydrogen-oxygen delay times over the temperature range 950 to 1300 K. The chaperon efficiency for carbon dioxide determined for the hydrogen-oxygen carbon dioxide mixture was 7.0. This value is in agreement with literature values but much less than a recent value obtained from flow tube experiments. Delay times measured behind a reflected shock wave were about 20% longer than those measured behind incident shock waves. The kinetic mechanism successfully modeled the high-pressure data of Skinner and the hydrogen-air data of Stack. It is suggested that the lowest temperature points for the hydrogen-air data of Slack are unreliable and that the 0.27-atm data may illustrate a case where vibrational relaxation of nitrogen is important. The reaction pathway HO2 yields H2O2 yields OH yields H was required to model the high-pressure data of Skinner. The successful modeling of the stoichiometric hydrogen-air data demonstrates the appropriateness of deriving kinetic models from data for gas mixtures highly diluted with argon. The technique of reducing a detailed kinetic mechanism to only the important reactions for a limited range of experimental data may render the mechanism useless for other test conditions.

  17. Seasonal trends of atmospheric nitrogen dioxide and sulfur dioxide over North Santa Clara, Cuba.

    PubMed

    Alejo, Daniellys; Morales, Mayra C; de la Torre, Jorge B; Grau, Ricardo; Bencs, László; Van Grieken, René; Van Espen, Piet; Sosa, Dismey; Nuñez, Vladimir

    2013-07-01

    Atmospheric nitrogen dioxide (NO2) and sulfur dioxide (SO2) levels were monitored simultaneously by means of Radiello passive samplers at six sites of Santa Clara city, Cuba, in the cold and the warm seasons in 2010. The dissolved ionic forms of NO2 and SO2 as nitrate and sulfite plus sulfate, respectively, were determined by means of ion chromatography. Analysis of NO2 as nitrite was also performed by UV-Vis spectrophotometry. For NO2, significant t tests show good agreement between the results of IC and UV-Vis methods. The NO2 and SO2 concentrations peaked in the cold season, while their minimum levels were experienced in the warm season. The pollutant levels do not exceed the maximum allowable limit of the Cuban Standard 39:1999, i.e., 40 μg/m(3) and 50 μg/m(3) for NO2 and SO2, respectively. The lowest pollutant concentrations obtained in the warm season can be attributed to an increase in their removal via precipitation (scavenging) while to the decreased traffic density and industrial emission during the summer holidays (e.g., July and August).

  18. Growth of radish and marigold following repeated exposure to nitrogen dioxide, sulfur dioxide, and ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reinert, R.A.; Sanders, J.S.

    Radish and marigold plants were exposed to 0.3 ppm of nitrogen dioxide (NO/sub 2/), sulfur dioxide (SO/sub 2/), and/or ozone (O/sub 3/) nine times during a 3-wk period. No interactions among NO/sub 2/, SO/sub 2/, and O/sub 3/ were detected in measurement of radish foliage and root dry weight. Treatments containing O/sub 3/ reduced radish foliage and root (hypocotyl) dry weight 356 and 531 mg/plant, respectively. Interactions among NO/sub 2/, SO/sub 2/, and O/sub 3/ occurred in shoots and roots of marigold. SO/sub 2/ alone reduced marigold shoot and root dry weight, but this effect was reversed in the presencemore » of O/sub 3/. The suppressive effect of SO/sub 2/ on root weight was also reversed by NO/sub 3/. Treatments containing SO/sub 2/ reduced dry flower weight 0.17 g/plant, but effects of the pollutant interactions observed in shoots and roots were not present.« less

  19. 40 CFR 60.642 - Standards for sulfur dioxide.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 7 2013-07-01 2013-07-01 false Standards for sulfur dioxide. 60.642... After January 20, 1984, and on or Before August 23, 2011 § 60.642 Standards for sulfur dioxide. (a... minimum, an SO2 emission reduction efficiency (Zi) to be determined from table 1 based on the sulfur feed...

  20. 40 CFR 60.642 - Standards for sulfur dioxide.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 7 2014-07-01 2014-07-01 false Standards for sulfur dioxide. 60.642... After January 20, 1984, and on or Before August 23, 2011 § 60.642 Standards for sulfur dioxide. (a... minimum, an SO2 emission reduction efficiency (Zi) to be determined from table 1 based on the sulfur feed...