Sample records for hafnium films deposited

  1. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  2. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  3. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    PubMed

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (<5 V) pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  4. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  5. Thermal conductivity and thermal boundary resistance of atomic layer deposited high-k dielectric aluminum oxide, hafnium oxide, and titanium oxide thin films on silicon

    NASA Astrophysics Data System (ADS)

    Scott, Ethan A.; Gaskins, John T.; King, Sean W.; Hopkins, Patrick E.

    2018-05-01

    The need for increased control of layer thickness and uniformity as device dimensions shrink has spurred increased use of atomic layer deposition (ALD) for thin film growth. The ability to deposit high dielectric constant (high-k) films via ALD has allowed for their widespread use in a swath of optical, optoelectronic, and electronic devices, including integration into CMOS compatible platforms. As the thickness of these dielectric layers is reduced, the interfacial thermal resistance can dictate the overall thermal resistance of the material stack compared to the resistance due to the finite dielectric layer thickness. Time domain thermoreflectance is used to interrogate both the thermal conductivity and the thermal boundary resistance of aluminum oxide, hafnium oxide, and titanium oxide films on silicon. We calculate a representative design map of effective thermal resistances, including those of the dielectric layers and boundary resistances, as a function of dielectric layer thickness, which will be of great importance in predicting the thermal resistances of current and future devices.

  6. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  7. Tailoring the index of refraction of nanocrystalline hafnium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vargas, Mirella; Murphy, N. R.; Ramana, C. V., E-mail: rvchintalapalle@utep.edu

    2014-03-10

    Hafnium oxide (HfO{sub 2}) films were grown by sputter-deposition by varying the growth temperature (T{sub s} = 25–700 °C). HfO{sub 2} films grown at T{sub s} < 200 °C were amorphous, while those grown at T{sub s} ≥ 200 °C were monoclinic, nanocrystalline with (1{sup ¯}11) texturing. X-ray reflectivity (XRR) analyses indicate that the film-density (ρ) increases with increasing T{sub s}. The index of refraction (n) profiles derived from spectroscopic ellipsometry analyses follow the Cauchy dispersion relation. Lorentz-Lorenz analysis (n{sub (λ)} = 550 nm) and optical-model adopted agree well with the XRR data/analyses. A direct T{sub s}-ρ-n relationship suggests that tailoring the optical quality is possible by tuning T{sub s} and themore » microstructure of HfO{sub 2} films.« less

  8. Hafnium silicate and hafnium silicon oxynitride gate dielectrics for strained Si_xGe_1-x: Interface stability

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    Strained Si_xGe_1-x layers have gained considerable attention due to hole mobility enhancement, and ease of integration with Si-based CMOS technology. The deposition of stable high-κ dielectrics [1] such as hafnium silicate and hafnium silicon oxynitride in direct contact with SiGe would simultaneously improve the capacitance of the gate stack and lower the leakage current for high performance SiGe devices. However, the oxidation of the Si_xGe_1-x substrate either during dielectric deposition or post-deposition processing would degrade device performance due to the thermodynamic instability of germanium oxide [2,3]. Results from XPS, HR-TEM, and C-V, and I-V analyses after various annealing treatments will be presented for hafnium silicate and hafnium silicon oxynitride films deposited on strained Si_xGe_1-x(100), and correlated with dielectric-Si_xGe_1-x(100) interface stability. Implications to the introduction of these oxides as viable gate dielectric candidates for SiGe-based CMOS technology will be discussed. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001) [2] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [3] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995)

  9. Facing-target mid-frequency magnetron reactive sputtered hafnium oxide film: Morphology and electrical properties

    NASA Astrophysics Data System (ADS)

    Zhang, Yu; Xu, Jun; Wang, You-Nian; Choi, Chi Kyu; Zhou, Da-Yu

    2016-03-01

    Amorphous hafnium dioxide (HfO2) film was prepared on Si (100) by facing-target mid-frequency reactive magnetron sputtering under different oxygen/argon gas ratio at room temperature with high purity Hf target. 3D surface profiler results showed that the deposition rates of HfO2 thin film under different O2/Ar gas ratio remain unchanged, indicating that the facing target midfrequency magnetron sputtering system provides effective approach to eliminate target poisoning phenomenon which is generally occurred in reactive sputtering procedure. X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) demonstrated that the gradual reduction of oxygen vacancy concentration and the densification of deposited film structure with the increase of oxygen/argon (O2/Ar) gas flow ratio. Atomic force microscopy (AFM) analysis suggested that the surface of the as-deposited HfO2 thin film tends to be smoother, the root-meansquare roughness (RMS) reduced from 0.876 nm to 0.333 nm while O2/Ar gas flow ratio increased from 1/4 to 1/1. Current-Voltage measurements of MOS capacitor based on Au/HfO2/Si structure indicated that the leakage current density of HfO2 thin films decreased by increasing of oxygen partial pressure, which resulted in the variations of pore size and oxygen vacancy concentration in deposited thin films. Based on the above characterization results the leakage current mechanism for all samples was discussed systematically.

  10. Synthesis of High Symmetry Phase of Hafnium Dioxide Thin Films and Nickel Ferrite's Effect on Microstructure in Composite Heterostructure

    NASA Astrophysics Data System (ADS)

    Straka, Weston J.

    Hafnium dioxide has attracted a great deal of attention recently due to its potential use in two different electronic applications: CMOS and FeRAM. In CMOS, the usefulness of hafnia comes in due to its high dielectric constant and compatibility with current IC processing parameters. For FeRAM, hafnia's recent discovery to exhibit ferroelectricity in an orthorhombic phase makes this material attractive for replacement of the ferroelectric material in FeRAM. This study shows the feasibility of depositing thin films of hafnium oxide via chemical solution deposition for integration into these devices. The processing parameters necessary to produce this phase show how non-equilibrium processing plays a role in its synthesis. The temperature necessary to achieve the high symmetry phase was at 725 °C for 3 minutes on sapphire, silicon, and coated silicon substrates. The thermal conductivity of each was viewed as the property that allowed the hafnia formation. The dielectric constant of the hafnia films were between 30 and 32 with low dissipation factors and up to 47 with a poor dissipation factor all at 1 kHz. The formation of this phase was shown to be thickness independent with the high symmetry phase existing up to 300 nm film thickness. Interfacing the hafnia film with nickel ferrite was also studied to identify the possibility of using this composite for non-destructive reading of FeRAM. The magnetic properties showed an unchanged nickel ferrite film but the interface between the two was poor leading to the conclusion that more work must be done to successfully integrate these two films.

  11. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  12. Low-voltage high-performance organic thin film transistors with a thermally annealed polystyrene/hafnium oxide dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Acton, Orb; Ting, Guy; Weidner, Tobias; Ma, Hong; Castner, David G.; Jen, Alex K.-Y.

    2009-12-01

    Low-voltage pentacene-based organic thin film transistors (OTFTs) are demonstrated with polystyrene (PS)/hafnium oxide (HfOx) hybrid dielectrics. Thermal annealing of PS films on HfOx at 120 °C (PS-120) induces a flatter orientation of the phenyl groups (tilt angle 65°) at the surface compared to PS films without annealing (PS-RT) (tilt angle 31°). The flatter phenyl group orientation leads to better matching of surface energy between pentacene and PS. Pentacene deposited on PS-120 display higher quality thin films with larger grain sizes and higher crystallinity. Pentacene OTFTs with PS-120/HfOx hybrid dielectrics can operate at low-voltage (<3 V) with high field-effect mobilities (1 cm2/V s), high on/off current ratios (106), and low subthreshold slopes (100 mV/dec).

  13. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  14. As-Received, Ozone Cleaned and Ar+ Sputtered Surfaces of Hafnium Oxide Grown by Atomic Layer Deposition and Studied by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Herman, Jacob A.; Wallace, Robert

    2012-06-27

    In this study, X-ray photoelectron spectroscopy (XPS) characterization was performed on 47 nm thick hafnium oxide (HfO{sub 2}) films grown by atomic layer deposition using TEMA-Hf/H{sub 2}O at 250 C substrate temperature. HfO{sub 2} is currently being studied as a possible replacement for Silicon Oxide (SiO{sub 2}) as a gate dielectric in electronics transistors. XPS spectra were collected on a Physical Electronics Quantum 2000 Scanning ESCA Microprobe using a monochromatic Al K{sub a} X-ray (1486.7 eV) excitation source. The sample was analyzed under the following conditions: as received, after UV irradiation for five minutes, and after sputter cleaning with 2more » kV Ar{sup +} ions for 180 seconds. Survey scans showed carbon, oxygen, and hafnium as the major species in the film, while the only minor species of argon and carbide was detected after sputtering. Adventitious carbon initially composed approximately 18.6 AT% of the surface, but after UV cleaning it was reduced to 2.4 AT%. This demonstrated that that the majority of carbon was due to adventitious carbon. However, after 2 kV Ar{sup +} sputtering there was still only trace amounts of carbon at {approx}1 AT%, Some of this trace carbon is now in the form of a carbide due to the interaction with Ar{sup +} used for sputter cleaning. Furthermore, the stoiciometric ratio of oxygen and hafnium is consistent with a high quality HfO{sub 2} film.« less

  15. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  16. Work functions of hafnium nitride thin films as emitter material for field emitter arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gotoh, Yasuhito, E-mail: gotoh.yasuhito.5w@kyoto-u.ac.jp; Fujiwara, Sho; Tsuji, Hiroshi

    The work functions of hafnium nitride thin films prepared by radio-frequency magnetron sputtering were investigated in vacuum, before and after surface cleaning processes, with a view of improving the properties of as-fabricated field emitter arrays comprising hafnium nitride emitters. The measurement of the work function was first performed for the as-deposited films and then for films subjected to surface cleaning process, either thermal treatment or ion bombardment. Thermal treatment at a maximum temperature of 300 °C reduced the work function by 0.7 eV. Once the film was heated, the work function maintained the reduced value, even after cooling to room temperature. Amore » little change in the work function was observed for the second and third thermal treatments. The ion bombardment was conducted by exposing the sample to a thin plasma for different sample bias conditions and processing times. When the sample was biased at −10 V, the work function decreased by 0.6 eV. The work function reduction became saturated in the early stage of the ion bombardment. When the sample was biased at −50 V, the work function exhibited different behaviors, that is, first it decreased rapidly and then increased in response to the increase in processing time. The lowest attainable work function was found to be 4.00 eV. It should be noted that none of the work function values reported in this paper were obtained using surfaces that were demonstrated to be free from oxygen contamination. The present results suggest that the current–voltage characteristics of a field emitter array can be improved by a factor of 25–50 by the examined postprocesses.« less

  17. Inter-diffusion of copper and hafnium as studied by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Pearson, Justin; Chourasia, A. R.

    The Cu/Hf interface has been characterized by x-ray photoelectron spectroscopy. Thin films (thicknesses ranging from 100 nm to 150 nm) of hafnium were deposited on a silicon substrate. About 80 nm of copper was then deposited on such samples. The e-beam method was used for the deposition. The samples were annealed for 30 min at temperatures of 100, 200, 300, 400, and 500°C. The inter-diffusion of copper and hafnium was investigated by sequential sputter depth profiling and x-ray photoelectron spectroscopy. The interdiffusion in each case was analyzed by the Matano-Boltzmann's procedure using the Fick's second law. The interdiffusion coefficients and the width of the interface as determined from the data have been correlated with the annealing temperature. Supported by Organized Research, TAMU-Commerce.

  18. Zirconium and hafnium

    USGS Publications Warehouse

    Jones, James V.; Piatak, Nadine M.; Bedinger, George M.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Zirconium and hafnium are corrosion-resistant metals that are widely used in the chemical and nuclear industries. Most zirconium is consumed in the form of the main ore mineral zircon (ZrSiO4, or as zirconium oxide or other zirconium chemicals. Zirconium and hafnium are both refractory lithophile elements that have nearly identical charge, ionic radii, and ionic potentials. As a result, their geochemical behavior is generally similar. Both elements are classified as incompatible because they have physical and crystallochemical properties that exclude them from the crystal lattices of most rock-forming minerals. Zircon and another, less common, ore mineral, baddeleyite (ZrO2), form primarily as accessory minerals in igneous rocks. The presence and abundance of these ore minerals in igneous rocks are largely controlled by the element concentrations in the magma source and by the processes of melt generation and evolution. The world’s largest primary deposits of zirconium and hafnium are associated with alkaline igneous rocks, and, in one locality on the Kola Peninsula of Murmanskaya Oblast, Russia, baddeleyite is recovered as a byproduct of apatite and magnetite mining. Otherwise, there are few primary igneous deposits of zirconium- and hafnium-bearing minerals with economic value at present. The main ore deposits worldwide are heavy-mineral sands produced by the weathering and erosion of preexisting rocks and the concentration of zircon and other economically important heavy minerals, such as ilmenite and rutile (for titanium), chromite (for chromium), and monazite (for rare-earth elements) in sedimentary systems, particularly in coastal environments. In coastal deposits, heavy-mineral enrichment occurs where sediment is repeatedly reworked by wind, waves, currents, and tidal processes. The resulting heavy-mineral-sand deposits, called placers or paleoplacers, preferentially form at relatively low latitudes on passive continental margins and supply 100 percent of

  19. Effect of hafnium doping on density of states in dual-target magnetron co-sputtering HfZnSnO thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Chuan-Xin; Li, Jun, E-mail: SHUniverjunli@163.com; Fu, Yi-Zhou

    2015-11-23

    This study investigates the effect of hafnium doping on the density of states (DOSs) in HfZnSnO thin film transistors fabricated by dual-target magnetron co-sputtering system. The DOSs is extracted by temperature-dependent field-effect measurements, and they decrease from 1.1 × 10{sup 17} to 4.6 × 10{sup 16 }eV/cm{sup 3} with increasing the hafnium concentrations. The behavior of DOSs for the increasing hafnium concentration HfZnSnO thin film transistors can be confirmed by both the reduction of ΔV{sub T} under bias stress and the trapping charges calculated by capacitance voltage measurements. It suggests that the reduction in DOSs due to the hafnium doping is closely related with themore » bias stability and thermal stability.« less

  20. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    PubMed

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  1. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  2. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  3. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  4. Hafnium Films and Magnetic Shielding for TIME, A mm-Wavelength Spectrometer Array

    NASA Astrophysics Data System (ADS)

    Hunacek, J.; Bock, J.; Bradford, C. M.; Butler, V.; Chang, T.-C.; Cheng, Y.-T.; Cooray, A.; Crites, A.; Frez, C.; Hailey-Dunsheath, S.; Hoscheit, B.; Kim, D. W.; Li, C.-T.; Marrone, D.; Moncelsi, L.; Shirokoff, E.; Steinbach, B.; Sun, G.; Trumper, I.; Turner, A.; Uzgil, B.; Weber, A.; Zemcov, M.

    2018-04-01

    TIME is a mm-wavelength grating spectrometer array that will map fluctuations of the 157.7-μm emission line of singly ionized carbon ([CII]) during the epoch of reionization (redshift z ˜ 5-9). Sixty transition-edge sensor (TES) bolometers populate the output arc of each of the 32 spectrometers, for a total of 1920 detectors. Each bolometer consists of gold absorber on a ˜ 3 × 3 mm silicon nitride micro-mesh suspended near the corners by 1 × 1 × 500 μm silicon nitride legs targeting a photon-noise-dominated NEP ˜ 1 × 10^{-17} W/√{Hz} . Hafnium films are explored as a lower-T_c alternative to Ti (500 mK) for TIME TESs, allowing thicker support legs for improved yield. Hf T_c is shown to vary between 250 and 450 mK when varying the resident Ar pressure during deposition. Magnetic shielding designs and simulations are presented for the TIME first-stage SQUIDs. Total axial field suppression is predicted to be 5 × 10^7.

  5. Effect of growth rate on crystallization of HfO{sub 2} thin films deposited by RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhanunjaya, M.; Manikanthababu, N.; Pathak, A. P.

    2016-05-23

    Hafnium oxide (HfO{sub 2}) is the potentially useful dielectric material in both; electronics to replace the conventional SiO{sub 2} as gate dielectric and in Optics as anti-reflection coating material. In this present work we have synthesized polycrystalline HfO{sub 2} thin films by RF magnetron sputtering deposition technique with varying target to substrate distance. The deposited films were characterized by X-ray Diffraction, Rutherford Backscattering Spectrometry (RBS) and transmission and Reflection (T&R) measurements to study the growth behavior, microstructure and optical properties. XRD measurement shows that the samples having mixed phase of monoclinic, cubic and tetragonal crystal structure. RBS measurements suggest themore » formation of Inter Layer (IL) in between Substrate and film.« less

  6. Method for fabricating hafnia films

    DOEpatents

    Hu, Michael Z [Knoxville, TN

    2007-08-21

    The present invention comprises a method for fabricating hafnia film comprising the steps of providing a substrate having a surface that allows formation of a self-assembled monolayer thereon via covalent bonding; providing an aqueous solution that provides homogeneous hafnium ionic complexes and hafnium nanoclusters wherein the aqueous solution is capable of undergoing homogeneous precipitation under controlled conditions for a desired period of time at a controlled temperature and controlled solution acidity for desired nanocluster nucleation and growth kinetics, desired nanocluster size, desired growth rate of film thickness and desired film surface characteristics. The method further comprising forming the self-assembled monolayer on the surface of the substrate wherein the self-assembled monolayer comprises a plurality of hydrocarbon chains cross-linked together along the surface of the substrate, the hydrocarbon chains being uniformly spaced from one another and wherein each of the hydrocarbon chains having a functional anchoring group at a first end of the chain covalently bonded with the surface of the substrate and each of the hydrocarbon chains having a functional terminating group projected away from the surface wherein the functional terminating group provides a bonding site for the hafnium film to grow; and exposing the substrate to the aqueous solution for a desired period of time at a controlled temperature wherein the hafnium ionic complexes and the hafnium nanoclusters are deposited on the bonding site of the functional terminating group thereby forming the hafnia film wherein the hafnium bonded to the hydrocarbons and to one another provide a uniform ordered arrangement defined by the uniform arrangement of the hydrocarbons.

  7. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  8. Pyroelectricity of silicon-doped hafnium oxide thin films

    NASA Astrophysics Data System (ADS)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  9. Evolution of the mechanical and tribological properties of DLC thin films doped with low-concentration hafnium on 316L steel

    NASA Astrophysics Data System (ADS)

    Qi, Meng; Xiao, Jianrong; Gong, Chenyang; Jiang, Aihua; Chen, Yong

    2018-01-01

    Low concentrations (<1 at%) of hafnium doped into diamond-like thin films (Hf-DLC) were deposited on 316L stainless steel and silicon (1 0 0) substrates by magnetron sputtering to attain superior mechanical and tribological properties. Ar and CH4 were used as source gases. The microstructure, chemical composition, and morphology of the Hf-DLC thin films in various concentrations were analyzed using x-ray diffraction, Raman spectroscopy, x-ray photoelectron spectroscopy, scanning electron microscopy and atomic force microscopy. Results showed that Hf species transferred from the particulate microstructure to Hf carbide phases, and the surface roughness increased monotonically with increasing Hf concentration. Moreover, the hardness and elastic modulus exhibited high values when the doped Hf concentration was 0.42 at%. Similarly, the tribological behaviors and wear life of Hf-DLC thin films had a low friction coefficient and excellent wear resistance at 0.42 at% Hf concentration. Therefore, 0.42 at% Hf is an optimal doping concentration to improve the mechanical and tribological properties of DLC thin films. Generally, the use of low-concentration Hf doping into DLC thin films is novel, and the present results provide guidance for the selection of suitable and effective concentration to optimize Hf-DLC thin films with superior performance.

  10. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Treesearch

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  11. Atomic layer deposition of hafnium oxide: A detailed reaction mechanism from first principles

    NASA Astrophysics Data System (ADS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-08-01

    Atomic layer deposition (ALD) of hafnium oxide (HfO2) using HfCl4 and H2O as precursors is studied using density functional theory. The mechanism consists of two deposition half-reactions: (1) HfCl4 with Hf-OH sites, and (2) H2O with Hf-Cl sites. Both half-reactions exhibit stable intermediates with energies lower than those of the final products. We show that increasing the temperature reduces the stability of the complex. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors. Both half-reactions are qualitatively similar to the corresponding reactions of ZrO2 ALD using ZrCl4 and H2O.

  12. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  13. Zirconium and hafnium in the southeastern Atlantic States

    USGS Publications Warehouse

    Mertie, J.B.

    1958-01-01

    The principal source of zirconium and hafnium is zircon, though a minor source is baddeleyite, mined only in Brazil. Zircon is an accessory mineral in igneous, metamorphic, and sedimentary rocks, but rarely occurs in hardrock in minable quantities. The principal sources of zircon are therefore alluvial deposits, which are mined in many countries of five continents. The principal commercial deposits in the United States are in Florida, though others exist elsewhere in the southeastern Coastal Plain. The evidence indicates that conditions for the accumulation of workable deposits of heavy minerals were more favorable during the interglacial stages of the Pleistocene epoch than during Recent time. Therefore detrital ores of large volume and high tenor are more likely to be found in the terrace deposits than along the present beaches. Other concentrations of heavy minerals, however, are possible at favored sites close to the Fall Line where the Tuscaloosa formation rests upon the crystalline rocks of the Piedmont province. A score of heavy and semiheavy minerals occur in the detrital deposits of Florida, but the principal salable minerals are ilmenite, leucoxene, rutile, and zircon, though monazite and staurolite are saved at some mining plants. Commercial deposits of heavy minerals are generally required to have a tenor of 4 percent, though ores with a lower tenor can be mined at a profit if the content of monazite is notably high. The percentages of zircon in the concentrates ranges from 10 to 16 percent, and in eastern Florida from 13 to 15 percent. Thus the tenor in zircon of the ore-bearing sands ranges from 0.4 to 0.6 percent. The content of hafnium in zircon is immaterial for many uses, but for some purposes very high or very low tenors in hafnium are required. Alluvial zircon cannot be separated into such varieties, which, if needed, must be obtained from sources in bedrock. It thus becomes necessary to determine the Hf : Zr ratios in zircon from many kinds of

  14. Engineering the Mechanical Properties of Ultrabarrier Films Grown by Atomic Layer Deposition for the Encapsulation of Printed Electronics

    DOE PAGES

    Bulusu, Anuradha; Singh, Ankit K.; Wang, Cheng-Yin; ...

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion (CTE) mismatch, elastic mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition.more » We present the impact of architecture on the performance of aluminum oxide (Al2O3)/hafnium oxide (HfO2) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50{degree sign}C/85% RH. Inserting a SiNx layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.« less

  15. Hafnium transistor process design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2009-01-01

    A design methodology is presented that uses 1-D process simulations of Metal Insulator Semiconductor (MIS) structures to design the threshold voltage of hafnium oxide based transistors used for neural recording. The methodology is comprised of 1-D analytical equations for threshold voltage specification, and doping profiles, and 1-D MIS Technical Computer Aided Design (TCAD) to design a process to implement a specific threshold voltage, which minimized simulation time. The process was then verified with a 2-D process/electrical TCAD simulation. Hafnium oxide films (HfO) were grown and characterized for dielectric constant and fixed oxide charge for various annealing temperatures, two important design variables in threshold voltage design.

  16. RF sputtered silicon and hafnium nitrides as applied to 440C steel

    NASA Technical Reports Server (NTRS)

    Grill, A.; Aron, P. R.

    1984-01-01

    Silicon nitride and hafnium nitride coatings were deposited on oxidized and unoxidized 440C stainless steel substrates. Sputtering was done in mixtures of argon and nitrogen gases from pressed powder silicon nitride and from hafnium metal targets. The coatings and the interface between the coating and substrate were investigated by X-ray diffractometry, scanning electron microscopy, energy dispersive X-ray analysis and Auger electron spectroscopy. Oxide was found at all interfaces with an interface width of at least 600 A for the oxidized substrates and at least 300 A for the unoxidized substrates. Scratch test results demonstrate that the adhesion of hafnium nitride to both oxidized and unoxidized 440C is superior to that of silicon nitride. Oxidized 440C is found to have increased adhesion, to both nitrides, over that of unoxidized 440C. Coatings of both nitrides deposited at 8 mtorr were found to have increased adhesion to both oxidized and unoxidized 440C over those deposited at 20 mtorr.

  17. Tribo-electrochemical characterization of hafnium multilayer systems deposited on nitride/vanadium nitride AISI 4140 steel

    NASA Astrophysics Data System (ADS)

    Mora, M.; Vera, E.; Aperador, W.

    2016-02-01

    In this work is presented the synergistic behaviour among corrosion/wear (tribocorrosion) of the multilayer coatings hafnium nitride/vanadium nitride [HfN/VN]n. The multilayers were deposited on AISI 4140 steel using the technique of physical vapor deposition PVD magnetron sputtering, the tests were performed using a pin-on-disk tribometer, which has an adapted potentiostat galvanostat with three-electrode electrochemical cell. Tribocorrosive parameters such as: Friction coefficient between the coating and the counter body (100 Cr6 steel ball); Polarization resistance by means of electrochemical impedance spectroscopy technique and corrosion rate by polarization curves were determined. It was observed an increase in the polarization resistance, a decrease in the corrosion rate and a low coefficient of friction in comparison with the substrate, due to an increase on the number of bilayers.

  18. Assessing the impact of atomic oxygen in the damage threshold and stress of Hafnia films grown by ion beam sputter deposition

    NASA Astrophysics Data System (ADS)

    Patel, D.; Wang, Y.; Larotonda, M.; Lovewell, J.; Jensen, J.; Hsiao, K. J.; Krous, E.; Rocca, J. J.; Menoni, C. S.; Tomasel, F.; Kholi, S.; McCurdy, P.

    2007-01-01

    Hafnium oxide (HfO II) is undoubtedly one of the most desirable high-index optical coatings for high power laser applications. One of the key goals in the fabrication of oxide films with high Laser Induced Damage Threshold (LIDT) is to minimize the number of film imperfections, in particular stoichiometric defects. For HfO II films deposited by ion beam (reactive) sputtering (IBS) of a hafnium metal target, stoichiometry is controlled by the injection of molecular oxygen, either close to the substrate or mixed with the sputtering gas or some other combination. Good stoichiometry is important to reduce the density of unoxidized particles buried in the coatings, which affect the LIDT. This work evaluates the potential advantages of using pre-activation of oxygen in the IBS of HfO II, with special emphasis on its impact on LIDT and film stress. For the experiments, oxygen was activated by an independent plasma source and then introduced into a commercial IBS chamber. The optical properties of the films were characterized using spectrophotometry and ellipsometry. Their structural quality and composition were determined from x-ray diffraction and x-ray photoelectron emission spectroscopy. The stress was determined from interferometer measurements. For optimized conditions, 2.5 J/cm2 LIDT was measured on HfO II films at λ=800 nm with 1 ps and 25 mJ pulses from a chirped amplification Ti:Sapphire laser. In the range of oxygen variations under consideration the effects on LIDT are shown to be minimal.

  19. Hafnium radioisotope recovery from irradiated tantalum

    DOEpatents

    Taylor, Wayne A.; Jamriska, David J.

    2001-01-01

    Hafnium is recovered from irradiated tantalum by: (a) contacting the irradiated tantalum with at least one acid to obtain a solution of dissolved tantalum; (b) combining an aqueous solution of a calcium compound with the solution of dissolved tantalum to obtain a third combined solution; (c) precipitating hafnium, lanthanide, and insoluble calcium complexes from the third combined solution to obtain a first precipitate; (d) contacting the first precipitate of hafnium, lanthanide and calcium complexes with at least one fluoride ion complexing agent to form a fourth solution; (e) selectively adsorbing lanthanides and calcium from the fourth solution by cationic exchange; (f) separating fluoride ion complexing agent product from hafnium in the fourth solution by adding an aqueous solution of ferric chloride to obtain a second precipitate containing the hafnium and iron; (g) dissolving the second precipitate containing the hafnium and iron in acid to obtain an acid solution of hafnium and iron; (h) selectively adsorbing the iron from the acid solution of hafnium and iron by anionic exchange; (i) drying the ion exchanged hafnium solution to obtain hafnium isotopes. Additionally, if needed to remove residue remaining after the product is dried, dissolution in acid followed by cation exchange, then anion exchange, is performed.

  20. Passivation of InP heterojunction bipolar transistors by strain controlled plasma assisted electron beam evaporated hafnium oxide

    NASA Astrophysics Data System (ADS)

    Driad, R.; Sah, R. E.; Schmidt, R.; Kirste, L.

    2012-01-01

    We present structural, stress, and electrical properties of plasma assisted e-beam evaporated hafnium dioxide (HfO2) layers on n-type InP substrates. These layers have subsequently been used for surface passivation of InGaAs/InP heterostructure bipolar transistors either alone or in combination with plasma enhanced chemical vapor deposited SiO2 layers. The use of stacked HfO2/SiO2 results in better interface quality with InGaAs/InP heterostructures, as illustrated by smaller leakage current and improved breakdown voltage. These improvements can be attributed to the reduced defect density and charge trapping at the dielectric-semiconductor interface. The deposition at room temperature makes these films suitable for sensitive devices.

  1. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  2. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  3. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition.

    PubMed

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-12-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al 2 O 3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a , which can explain the experimental observation. A high-field effect mobility of 9.4 cm 2 /Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 10 7 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  4. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al2O3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a, which can explain the experimental observation. A high-field effect mobility of 9.4 cm2/Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 107 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  5. Pyroelectric response in crystalline hafnium zirconium oxide (Hf 1- x Zr x O 2 ) thin films

    DOE PAGES

    Smith, S. W.; Kitahara, A. R.; Rodriguez, M. A.; ...

    2017-02-13

    Pyroelectric coefficients were measured for 20 nm thick crystalline hafnium zirconium oxide (Hf 1-xZr xO 2) thin films across a composition range of 0 ≤ x ≤ 1. Pyroelectric currents were collected near room temperature under zero applied bias and a sinusoidal oscillating temperature profile to separate the influence of non-pyroelectric currents. The pyroelectric coefficient was observed to correlate with zirconium content, increased orthorhombic/tetragonal phase content, and maximum polarization response. The largest measured absolute value was 48 μCm -2K -1 for a composition with x = 0.64, while no pyroelectric response was measured for compositions which displayed no remanent polarizationmore » (x = 0, 0.91, 1).« less

  6. Ion beam sputter deposited diamond like films

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Rutledge, S. K.

    1982-01-01

    A single argon ion beam source was used to sputter deposit carbon films on fused silica, copper, and tantalum substrates under conditions of sputter deposition alone and sputter deposition combined with simultaneous argon ion bombardment. Simultaneously deposited and ion bombarded carbon films were prepared under conditions of carbon atom removal to arrival ratios of 0, 0.036, and 0.71. Deposition and etch rates were measured for films on fused silica substrates. Resulting characteristics of the deposited films are: electrical resistivity of densities of 2.1 gm/cu cm for sputter deposited films and 2.2 gm/cu cm for simultaneously sputter deposited and Ar ion bombarded films. For films approximately 1700 A thick deposited by either process and at 5550 A wavelength light the reflectance was 0.2, the absorptance was 0.7, the absorption coefficient was 67,000 cm to the -1 and the transmittance was 0.1.

  7. Ablation Resistant Zirconium and Hafnium Ceramics

    NASA Technical Reports Server (NTRS)

    Bull, Jeffrey (Inventor); White, Michael J. (Inventor); Kaufman, Larry (Inventor)

    1998-01-01

    High temperature ablation resistant ceramic composites have been made. These ceramics are composites of zirconium diboride and zirconium carbide with silicon carbide, hafnium diboride and hafnium carbide with silicon carbide and ceramic composites which contain mixed diborides and/or carbides of zirconium and hafnium. along with silicon carbide.

  8. Electrical properties of radio-frequency sputtered HfO2 thin films for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Sarkar, Pranab Kumar; Roy, Asim

    2015-08-01

    The Hafnium oxide (HfO2) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO2 film have been studied employing Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO2 film is obtained as 23,7.57×1011eV-1 cm-2 and 2.7×10-5 Acm-2, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  9. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  10. Hafnium isotope stratigraphy of ferromanganese crusts

    PubMed

    Lee; Halliday; Hein; Burton; Christensen; Gunther

    1999-08-13

    A Cenozoic record of hafnium isotopic compositions of central Pacific deep water has been obtained from two ferromanganese crusts. The crusts are separated by more than 3000 kilometers but display similar secular variations. Significant fluctuations in hafnium isotopic composition occurred in the Eocene and Oligocene, possibly related to direct advection from the Indian and Atlantic oceans. Hafnium isotopic compositions have remained approximately uniform for the past 20 million years, probably reflecting increased isolation of the central Pacific. The mechanisms responsible for the increase in (87)Sr/(86)Sr in seawater through the Cenozoic apparently had no effect on central Pacific deep-water hafnium.

  11. SEPARATION OF HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Overholser, L.B.; Barton, C.J. Sr.; Ramsey, J.W.

    1960-05-31

    The separation of hafnium impurities from zirconium can be accomplished by means of organic solvent extraction. The hafnium-containing zirconium feed material is dissolved in an aqueous chloride solution and the resulting solution is contacted with an organic hexone phase, with at least one of the phases containing thiocyanate. The hafnium is extracted into the organic phase while zirconium remains in the aqueous phase. Further recovery of zirconium is effected by stripping the onganic phase with a hydrochloric acid solution and commingling the resulting strip solution with the aqueous feed solution. Hexone is recovered and recycled by means of scrubbing the onganic phase with a sulfuric acid solution to remove the hafnium, and thiocyanate is recovered and recycled by means of neutralizing the effluent streams to obtain ammonium thiocyanate.

  12. Article having an improved platinum-aluminum-hafnium protective coating

    NASA Technical Reports Server (NTRS)

    Nagaraj, Bangalore Aswatha (Inventor); Williams, Jeffrey Lawrence (Inventor)

    2005-01-01

    An article protected by a protective coating has a substrate and a protective coating having an outer layer deposited upon the substrate surface and a diffusion zone formed by interdiffusion of the outer layer and the substrate. The protective coating includes platinum, aluminum, no more than about 2 weight percent hafnium, and substantially no silicon. The outer layer is substantially a single phase.

  13. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  14. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  15. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  16. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  17. Cathodoluminescence Study of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Purcell, Emily; Hengehold, Robert; McClory, John

    2011-10-01

    Hafnium dioxide (HfO2) is increasingly being used in place of silicon oxide as a gate insulator in field effect transistors. This is primarily due to its high dielectric constant, κ, of 25. Samples of HfO2 were grown by either atomic layer deposition (ALD) or pulsed laser deposition (PLD), with the PLD samples having assorted substrate temperatures during deposition (300 C, 500 C, and 750 C). Cathodoluminescence (CL) was chosen as the technique used for studying these HfO2 samples. The CL system used was capable of beam energies ranging from 1 keV to 20 keV and beam currents ranging from 10 μA to 50 μA. A Monte Carlo calculation using CASINO software was performed in order to determine the beam energy for the desired depth of penetration. Measurements were taken at sample temperatures ranging from 7K (closed cycled cryostat) to 300K (room temperature), as well as at various beam energies and beam currents. Comparison will be made between the PLD and ALD spectra.

  18. Ion induced crystallization and grain growth of hafnium oxide nano-particles in thin-films deposited by radio frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Dhanunjaya, M.; Khan, S. A.; Pathak, A. P.; Avasthi, D. K.; Nageswara Rao, S. V. S.

    2017-12-01

    We report on the swift heavy ion (SHI) irradiation induced crystallization and grain growth of HfO2 nanoparticles (NPs) within the HfO2 thin-films deposited by radio frequency (RF) magnetron sputtering technique. As grown films consisted of amorphous clusters of non-spherical HfO2 NPs. These amorphous clusters are transformed to crystalline grains under 100 MeV Ag ion irradiation. These crystallites are found to be spherical in shape and are well dispersed within the films. The average size of these crystallites is found to increase with fluence. Pristine and irradiated films have been characterized by high resolution transmission electron microscopy (HRTEM), selected area electron diffraction (SAED), grazing incident x-ray diffraction (GIXRD) and photo luminescence (PL) measurements. The PL measurements suggested the existence of different types of oxygen related defects in pristine and irradiated samples. The observed results on crystallization and grain growth under the influence of SHI are explained within the framework of thermal spike model. The results are expected to provide useful information for understanding the electronic excitation induced crystallization of nanoparticles and can lead to useful applications in electronic and photonic devices.

  19. Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Starschich, S.; Böttger, U.; Menzel, S.

    The wake-up effect which is observed in ferroelectric hafnium oxide is investigated in yttrium doped hafnium oxide prepared by chemical solution deposition. It can be shown that not the amount of cycles but the duration of the applied electrical field is essential for the wake-up. Temperature dependent wake-up cycling in a range of −160 °C to 100 °C reveals a strong temperature activation of the wake-up, which can be attributed to ion rearrangement during cycling. By using asymmetrical electrodes, resistive valence change mechanism switching can be observed coincident with ferroelectric switching. From the given results, it can be concluded that redistribution ofmore » oxygen vacancies is the origin of the wake-up effect.« less

  20. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.

    1956-08-21

    A dilute aqueous solution of zirconyl chloride which is 1N to 2N in HCl is passed through a column of a cation exchange resin in acid form thereby absorbing both zirconium and associated hafnium impurity in the mesin. The cation exchange material with the absorbate is then eluted with aqueous sulfuric acid of a O.8N to 1.2N strength. The first portion of the eluate contains the zirconium substantially free of hafnium.

  1. Chemistry of Non-Equilibrium Film Deposition.

    DTIC Science & Technology

    1985-12-01

    titanium isopropoxide mixed with water solutions of lanthanum and lead nitrate. The gels were dehydrated, then fired to 600C to remove all organics...OW- ’so IRO $Va. ame Thin films; titanium dioxide; -PuZT,- ion beam deposition; annealing,’ trnmiso electron microscopy. 4 - . - S \\AISST 0A ZT *Can...Deposition....... . ... *.... .. ... .. ..... .. . .... 2 C. Nonequilibrium Physical Deposition.................... 3 1. Titanium Oxide Films

  2. Deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1984-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or large planar surfaces.

  3. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  4. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  5. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  6. Pulsed deposition of silicate films

    NASA Astrophysics Data System (ADS)

    He, W.; Solanki, R.; Conley, J. F.; Ono, Y.

    2003-09-01

    A sequential pulsed process is utilized for deposition of nonstoichiometric silicate films without employing an oxidizing agent. The metal precursors were HfCl4, AlCl3, and ZrCl4, as well as Hf(NO3)4 and the silicon source was tris(tert-butoxy)silanol. Unlike atomic layer deposition, the growth per cycle was several monolayers thick, where the enhancement in growth was due to a catalytic reaction. The bulk and electrical properties of these films are similar to those of silicon dioxide. Silicon carbide devices coated with these films show good insulating characteristics.

  7. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  8. Ferroelectric memory based on molybdenum disulfide and ferroelectric hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Xia, Qiangfei; Zhu, Wenjuan

    Recently, ferroelectric hafnium oxide (HfO2) was discovered as a new type of ferroelectric material with the advantages of high coercive field, excellent scalability (down to 2.5 nm), and good compatibility with CMOS processing. In this work, we demonstrate, for the first time, 2D ferroelectric memories with molybdenum disulfide (MoS2) as the channel material and aluminum doped HfO2 as the ferroelectric gate dielectric. A 16 nm thick layer of HfO2, doped with 5.26% aluminum, was deposited via atomic layer deposition (ALD), then subjected to rapid thermal annealing (RTA) at 1000 °C, and the polarization-voltage characteristics of the resulting metal-ferroelectric-metal (MFM) capacitors were measured, showing a remnant polarization of 0.6 μC/cm2. Ferroelectric memories with embedded ferroelectric hafnium oxide stacks and monolayer MoS2 were fabricated. The transfer characteristics after program and erase pulses revealed a clear ferroelectric memory window. In addition, endurance (up to 10,000 cycles) of the devices were tested and effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, were observed. This research can potentially lead to advances of 2D materials in low-power logic and memory applications.

  9. Formulation and method for preparing gels comprising hydrous hafnium oxide

    DOEpatents

    Collins, Jack L; Hunt, Rodney D; Montgomery, Frederick C

    2013-08-06

    Formulations useful for preparing hydrous hafnium oxide gels contain a metal salt including hafnium, an acid, an organic base, and a complexing agent. Methods for preparing gels containing hydrous hafnium oxide include heating a formulation to a temperature sufficient to induce gel formation, where the formulation contains a metal salt including hafnium, an acid, an organic base, and a complexing agent.

  10. Pulsed Laser Deposition of High Temperature Protonic Films

    NASA Technical Reports Server (NTRS)

    Dynys, Fred W.; Berger, M. H.; Sayir, Ali

    2006-01-01

    Pulsed laser deposition has been used to fabricate nanostructured BaCe(0.85)Y(0.15)O3- sigma) films. Protonic conduction of fabricated BaCe(0.85)Y(0.15)O(3-sigma) films was compared to sintered BaCe(0.85)Y(0.15)O(3-sigma). Sintered samples and laser targets were prepared by sintering BaCe(0.85)Y(0.15)O(3-sigma) powders derived by solid state synthesis. Films 1 to 8 micron thick were deposited by KrF excimer laser on porous Al2O3 substrates. Thin films were fabricated at deposition temperatures of 700 to 950 C at O2 pressures up to 200 mTorr using laser pulse energies of 0.45 - 0.95 J. Fabricated films were characterized by X-ray diffraction, electron microscopy and electrical impedance spectroscopy. Single phase BaCe(0.85)Y(0.15)O(3-sigma) films with a columnar growth morphology are observed with preferred crystal growth along the [100] or [001] direction. Results indicate [100] growth dependence upon laser pulse energy. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C to 900 C. Electrical conduction behavior was dependent upon film deposition temperature. Maximum conductivity occurs at deposition temperature of 900 oC; the electrical conductivity exceeds the sintered specimen. All other deposited films exhibit a lower electrical conductivity than the sintered specimen. Activation energy for electrical conduction showed dependence upon deposition temperature, it varied

  11. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  12. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    NASA Astrophysics Data System (ADS)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  13. Deposition temperature dependent optical and electrical properties of ALD HfO{sub 2} gate dielectrics pretreated with tetrakisethylmethylamino hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, J.; School of Sciences, Anhui University of Science and Technology, Huainan 232001; He, G., E-mail: hegang@ahu.edu.cn

    2015-10-15

    Highlights: • ALD-derived HfO{sub 2} gate dielectrics have been deposited on Si substrates. • The leakage current mechanism for different deposition temperature was discussed. • Different emission at different field region has been determined precisely. - Abstract: The effect of deposition temperature on the growth rate, band gap energy and electrical properties of HfO{sub 2} thin film deposited by atomic layer deposition (ALD) has been investigated. By means of characterization of spectroscopy ellipsometry and ultraviolet–visible spectroscopy, the growth rate and optical constant of ALD-derived HfO{sub 2} gate dielectrics are determined precisely. The deposition temperature dependent electrical properties of HfO{sub 2}more » films were determined by capacitance–voltage (C–V) and leakage current density–voltage (J–V) measurements. The leakage current mechanism for different deposition temperature has been discussed systematically. As a result, the optimized deposition temperature has been obtained to achieve HfO{sub 2} thin film with high quality.« less

  14. Corrosion resistant surface for vanadium nitride and hafnium nitride layers as function of grain size

    NASA Astrophysics Data System (ADS)

    Escobar, C. A.; Caicedo, J. C.; Aperador, W.

    2014-01-01

    In this research it was studied vanadium nitride (VN) and hafnium nitride (HfN) film, which were deposited onto silicon (Si (100)) and AISI 4140 steel substrates via r.f. magnetron sputtering technique in Ar/N2 atmosphere with purity at 99.99% for both V and Hf metallic targets. Both films were approximately 1.2±0.1 μm thick. The crystallography structures that were evaluated via X-ray diffraction analysis (XRD) showed preferential orientations in the Bragg planes VN (200) and HfN (111). The chemical compositions for both films were characterized by EDX. Atomic Force Microscopy (AFM) was used to study the morphology; the results reveal grain sizes of 78±2 nm for VN and 58±2 nm for HfN and roughness values of 4.2±0.1 nm for VN and 1.5±0.1 nm for HfN films. The electrochemical performance in VN and HfN films deposited onto steel 4140 were studied by Tafel polarization curves and impedance spectroscopy methods (EIS) under contact with sodium chloride at 3.5 wt% solution, therefore, it was found that the corrosion rate decreased about 95% in VN and 99% for HfN films in relation to uncoated 4140 steel, thus demonstrating, the protecting effect of VN and HfN films under a corrosive environment as function of morphological characteristics (grain size). VN(grain size)=78±2.0 nm, VN(roughness)=4.2±0.1 nm, VN(corrosion rate)=40.87 μmy. HfN(grain size)=58±2.0 nm, HfN(roughness)=1.5±0.1 nm, HfN(corrosion rate)=0.205 μmy. It was possible to analyze that films with larger grain size, can be observed smaller grain boundary thus generating a higher corrosion rate, therefore, in this work it was found that the HfN layer has better corrosion resistance (low corrosion rate) in relation to VN film which presents a larger grain size, indicating that the low grain boundary in (VN films) does not restrict movement of the Cl- ion and in this way the corrosion rate increases dramatically.

  15. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  16. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  17. SnS2 Thin Film Deposition by Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jaber, Abdallah Yahia; Alamri, Saleh Noaiman; Aida, Mohammed Salah

    2012-06-01

    Tin disulfide (SnS2) thin films have been synthesized using a simplified spray pyrolysis technique using a perfume atomizer. The films were deposited using two different solutions prepared by the dilution of SnCl2 and thiourea in distilled water and in methanol. The obtained films have a microcrystalline structure. The film deposited using methanol as the solvent is nearly stochiometric SnS2 with a spinel phase having a (001) preferential orientation. The film prepared with an aqueous solution is Sn-rich. Scanning electronic microscopy (SEM) images reveal that the film deposited with the aqueous solution is rough and is formed with large wires. However, the film deposited with methanol is dense and smooth. Conductivity measurements indicate that the aqueous solution leads to an n-type semiconductor, while methanol leads to a p-type semiconductor.

  18. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, A.R.; Auciello, O.

    1990-05-08

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams. 10 figs.

  19. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, Alan R.; Auciello, Orlando

    1990-01-01

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams.

  20. Deposition and characterization of ZnSe nanocrystalline thin films

    NASA Astrophysics Data System (ADS)

    Temel, Sinan; Gökmen, F. Özge; Yaman, Elif; Nebi, Murat

    2018-02-01

    ZnSe nanocrystalline thin films were deposited at different deposition times by using the Chemical Bath Deposition (CBD) technique. Effects of deposition time on structural, morphological and optical properties of the obtained thin films were characterized. X-ray diffraction (XRD) analysis was used to study the structural properties of ZnSe nanocrystalline thin films. It was found that ZnSe thin films have a cubic structure with a preferentially orientation of (111). The calculated average grain size value was about 28-30 nm. The surface morphology of these films was studied by the Field Emission Scanning Electron Microscope (FESEM). The surfaces of the thin films were occurred from small stacks and nano-sized particles. The band gap values of the ZnSe nanocrystalline thin films were determined by UV-Visible absorption spectrum and the band gap values were found to be between 2.65-2.86 eV.

  1. Electrical Properties and Interfacial Studies of HfxTi1–xO2 High Permittivity Gate Insulators Deposited on Germanium Substrates

    PubMed Central

    Lu, Qifeng; Mu, Yifei; Roberts, Joseph W.; Althobaiti, Mohammed; Dhanak, Vinod R.; Wu, Jingjin; Zhao, Chun; Zhao, Ce Zhou; Zhang, Qian; Yang, Li; Mitrovic, Ivona Z.; Taylor, Stephen; Chalker, Paul R.

    2015-01-01

    In this research, the hafnium titanate oxide thin films, TixHf1–xO2, with titanium contents of x = 0, 0.25, 0.9, and 1 were deposited on germanium substrates by atomic layer deposition (ALD) at 300 °C. The approximate deposition rates of 0.2 Å and 0.17 Å per cycle were obtained for titanium oxide and hafnium oxide, respectively. X-ray Photoelectron Spectroscopy (XPS) indicates the formation of GeOx and germanate at the interface. X-ray diffraction (XRD) indicates that all the thin films remain amorphous for this deposition condition. The surface roughness was analyzed using an atomic force microscope (AFM) for each sample. The electrical characterization shows very low hysteresis between ramp up and ramp down of the Capacitance-Voltage (CV) and the curves are indicative of low trap densities. A relatively large leakage current is observed and the lowest leakage current among the four samples is about 1 mA/cm2 at a bias of 0.5 V for a Ti0.9Hf0.1O2 sample. The large leakage current is partially attributed to the deterioration of the interface between Ge and TixHf1–xO2 caused by the oxidation source from HfO2. Consideration of the energy band diagrams for the different materials systems also provides a possible explanation for the observed leakage current behavior. PMID:28793705

  2. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    NASA Astrophysics Data System (ADS)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  3. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    NASA Astrophysics Data System (ADS)

    Bao, Quanhe; Chen, Chuanzhong; Wang, Diangang; Liu, Junming

    2008-11-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 43- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA.

  4. Residual stress in obliquely deposited MgF2 thin films.

    PubMed

    Jaing, Cheng-Chung; Liu, Ming-Chung; Lee, Cheng-Chung; Cho, Wen-Hao; Shen, Wei-Ting; Tang, Chien-Jen; Liao, Bo-Huei

    2008-05-01

    MgF(2) films with a columnar microstructure are obliquely deposited on glass substrates by resistive heating evaporation. The columnar angles of the films increases with the deposition angle. Anisotropic stress does not develop in the films with tilted columns. The residual stresses in the films depend on the deposition and columnar angles in a columnar microstructure.

  5. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  6. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  7. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1986-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter deposition are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq cm resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x 10 to the -6th/ohm cm for 300 angstrom film to 2.56 x 10 to the -1/ohm cm for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  8. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy L. (Inventor)

    2007-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  9. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy (Inventor)

    2011-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  10. Oblique angle deposition-induced anisotropy in Co2FeAl films

    NASA Astrophysics Data System (ADS)

    Zhou, W.; Brock, J.; Khan, M.; Eid, K. F.

    2018-06-01

    A series of Co2FeAl Heusler alloy films, fabricated on Si/SiO2 substrates by magnetron sputtering-oblique angle deposition technique, have been investigated by magnetization and transport measurements. The morphology and magnetic anisotropy of the films strongly depended on the deposition angle. While the film deposited at zero degree (i.e. normal incidence) did not show any anisotropy, the films deposited at higher angles showed unusually strong in-plane anisotropy that increased with deposition angle. The enhanced anisotropy was well-reflected in the direction-dependent magnetization and the coercivity of the films that increased dramatically from 30 Oe to 490 Oe. In a similar vein, the electrical resistivity of the films also increased drastically, especially for deposition angles larger than 60°. These anisotropic effects and their relation to the morphology of the films are discussed.

  11. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    NASA Astrophysics Data System (ADS)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  12. Silver-hafnium braze alloy

    DOEpatents

    Stephens, Jr., John J.; Hosking, F. Michael; Yost, Frederick G.

    2003-12-16

    A binary allow braze composition has been prepared and used in a bonded article of ceramic-ceramic and ceramic-metal materials. The braze composition comprises greater than approximately 95 wt % silver, greater than approximately 2 wt % hafnium and less than approximately 4.1 wt % hafnium, and less than approximately 0.2 wt % trace elements. The binary braze alloy is used to join a ceramic material to another ceramic material or a ceramic material, such as alumina, quartz, aluminum nitride, silicon nitride, silicon carbide, and mullite, to a metal material, such as iron-based metals, cobalt-based metals, nickel-based metals, molybdenum-based metals, tungsten-based metals, niobium-based metals, and tantalum-based metals. A hermetic bonded article is obtained with a strength greater than 10,000 psi.

  13. Chemically Deposited Thin-Film Solar Cell Materials

    NASA Technical Reports Server (NTRS)

    Raffaelle, R.; Junek, W.; Gorse, J.; Thompson, T.; Harris, J.; Hehemann, D.; Hepp, A.; Rybicki, G.

    2005-01-01

    We have been working on the development of thin film photovoltaic solar cell materials that can be produced entirely by wet chemical methods on low-cost flexible substrates. P-type copper indium diselenide (CIS) absorber layers have been deposited via electrochemical deposition. Similar techniques have also allowed us to incorporate both Ga and S into the CIS structure, in order to increase its optical bandgap. The ability to deposit similar absorber layers with a variety of bandgaps is essential to our efforts to develop a multi-junction thin-film solar cell. Chemical bath deposition methods were used to deposit a cadmium sulfide (CdS) buffer layers on our CIS-based absorber layers. Window contacts were made to these CdS/CIS junctions by the electrodeposition of zinc oxide (ZnO). Structural and elemental determinations of the individual ZnO, CdS and CIS-based films via transmission spectroscopy, x-ray diffraction, x-ray photoelectron spectroscopy and energy dispersive spectroscopy will be presented. The electrical characterization of the resulting devices will be discussed.

  14. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  15. Cadmium sulfide thin films growth by chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  16. Pulsed laser deposition of niobium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farha, Ashraf Hassan, E-mail: ahass006@odu.edu; Elsayed-Ali, Hani E., E-mail: helsayed@odu.edu; Applied Research Center, Jefferson National Accelerator Facility, Newport News, VA 23606

    2015-12-04

    Niobium nitride (NbN{sub x}) films were grown on Nb and Si(100) substrates using pulsed laser deposition. NbN{sub x} films were deposited on Nb substrates using PLD with a Q-switched Nd:YAG laser (λ = 1064 nm, ∼40 ns pulse width, and 10 Hz repetition rate) at different laser fluences, nitrogen background pressures and deposition substrate temperatures. When all the fabrication parameters are fixed, except for the laser fluence, the surface roughness, nitrogen content, and grain size increase with increasing laser fluence. Increasing nitrogen background pressure leads to a change in the phase structure of the NbN{sub x} films from mixed β-Nb{sub 2}N and cubicmore » δ-NbN phases to single hexagonal β-Nb{sub 2}N. The substrate temperature affects the preferred orientation of the crystal structure. The structural and electronic, properties of NbN{sub x} deposited on Si(100) were also investigated. The NbN{sub x} films exhibited a cubic δ-NbN with a strong (111) orientation. A correlation between surface morphology, electronic, and superconducting properties was found. The observations establish guidelines for adjusting the deposition parameters to achieve the desired NbN{sub x} film morphology and phase.« less

  17. Substrate spacing and thin-film yield in chemical bath deposition of semiconductor thin films

    NASA Astrophysics Data System (ADS)

    Arias-Carbajal Reádigos, A.; García, V. M.; Gomezdaza, O.; Campos, J.; Nair, M. T. S.; Nair, P. K.

    2000-11-01

    Thin-film yield in the chemical bath deposition technique is studied as a function of separation between substrates in batch production. Based on a mathematical model, it is proposed and experimentally verified in the case of CdS thin films that the film thickness reaches an asymptotic maximum with increase in substrate separation. It is shown that at a separation less than 1 mm between substrates the yield, i.e. percentage in moles of a soluble cadmium salt deposited as a thin film of CdS, can exceed 50%. This behaviour is explained on the basis of the existence of a critical layer of solution near the substrate, within which the relevant ionic species have a higher probability of interacting with the thin-film layer than of contributing to precipitate formation. The critical layer depends on the solution composition and the temperature of the bath as well as the duration of deposition. An effective value for the critical layer thickness has been defined as half the substrate separation at which 90% of the maximum film thickness for the particular bath composition, bath temperature and duration of deposition is obtained. In the case of CdS thin films studied as an example, the critical layer is found to extend from 0.5 to 2.5 mm from the substrate surface, depending on the deposition conditions.

  18. Defect studies of thin ZnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Vlček, M.; Čížek, J.; Procházka, I.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Mosnier, J.-P.

    2014-04-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  19. Substrate-insensitive atomic layer deposition of plasmonic titanium nitride films

    DOE PAGES

    Yu, Ing-Song; Cheng, Hsyi-En; Chang, Chun-Chieh; ...

    2017-02-06

    The plasmonic properties of titanium nitride (TiN) films depend on the type of substrate when using typical deposition methods such as sputtering. We show atomic layer deposition (ALD) of TiN films with very weak dependence of plasmonic properties on the substrate, which also suggests the prediction and evaluation of plasmonic performance of TiN nanostructures on arbitrary substrates under a given deposition condition. Our results also observe that substrates with more nitrogen-terminated (N-terminated) surfaces will have significant impact on the deposition rate as well as the film plasmonic properties. Furthermore, we illustrate that the plasmonic properties of ALD TiN films canmore » be tailored by simply adjusting the deposition and/or post-deposition annealing temperatures. These characteristics and the capability of conformal coating make ALD TiN films on templates ideal for applications that require the fabrication of complex 3D plasmonic nanostructures.« less

  20. UV laser deposition of metal films by photogenerated free radicals

    NASA Technical Reports Server (NTRS)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  1. Soap-film coating: High-speed deposition of multilayer nanofilms

    PubMed Central

    Zhang, Renyun; Andersson, Henrik A.; Andersson, Mattias; Andres, Britta; Edlund, Håkan; Edström, Per; Edvardsson, Sverker; Forsberg, Sven; Hummelgård, Magnus; Johansson, Niklas; Karlsson, Kristoffer; Nilsson, Hans-Erik; Norgren, Magnus; Olsen, Martin; Uesaka, Tetsu; Öhlund, Thomas; Olin, Håkan

    2013-01-01

    The coating of thin films is applied in numerous fields and many methods are employed for the deposition of these films. Some coating techniques may deposit films at high speed; for example, ordinary printing paper is coated with micrometre-thick layers of clay at a speed of tens of meters per second. However, to coat nanometre thin films at high speed, vacuum techniques are typically required, which increases the complexity of the process. Here, we report a simple wet chemical method for the high-speed coating of films with thicknesses at the nanometre level. This soap-film coating technique is based on forcing a substrate through a soap film that contains nanomaterials. Molecules and nanomaterials can be deposited at a thickness ranging from less than a monolayer to several layers at speeds up to meters per second. We believe that the soap-film coating method is potentially important for industrial-scale nanotechnology. PMID:23503102

  2. Hafnium-Based Contrast Agents for X-ray Computed Tomography.

    PubMed

    Berger, Markus; Bauser, Marcus; Frenzel, Thomas; Hilger, Christoph Stephan; Jost, Gregor; Lauria, Silvia; Morgenstern, Bernd; Neis, Christian; Pietsch, Hubertus; Sülzle, Detlev; Hegetschweiler, Kaspar

    2017-05-15

    Heavy-metal-based contrast agents (CAs) offer enhanced X-ray absorption for X-ray computed tomography (CT) compared to the currently used iodinated CAs. We report the discovery of new lanthanide and hafnium azainositol complexes and their optimization with respect to high water solubility and stability. Our efforts culminated in the synthesis of BAY-576, an uncharged hafnium complex with 3:2 stoichiometry and broken complex symmetry. The superior properties of this asymmetrically substituted hafnium CA were demonstrated by a CT angiography study in rabbits that revealed excellent signal contrast enhancement.

  3. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  4. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  5. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  6. Precursors for the polymer-assisted deposition of films

    DOEpatents

    McCleskey, Thomas M.; Burrell, Anthony K.; Jia, Quanxi; Lin, Yuan

    2013-09-10

    A polymer assisted deposition process for deposition of metal oxide films is presented. The process includes solutions of one or more metal precursor and soluble polymers having binding properties for the one or more metal precursor. After a coating operation, the resultant coating is heated at high temperatures to yield metal oxide films. Such films can be epitaxial in structure and can be of optical quality. The process can be organic solvent-free.

  7. 40 CFR 471.90 - Applicability; description of the zirconium-hafnium forming subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... zirconium-hafnium forming subcategory. 471.90 Section 471.90 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Zirconium-Hafnium Forming Subcategory § 471.90 Applicability; description of the zirconium-hafnium forming subcategory. This subpart applies to discharges of pollutants to waters of the...

  8. Pulsed laser deposition of lithium niobate thin films

    NASA Astrophysics Data System (ADS)

    Canale, L.; Girault-Di Bin, C.; Cosset, F.; Bessaudou, A.; Celerier, A.; Decossas, J.-Louis; Vareille, J.-C.

    2000-12-01

    Pulsed laser deposition of Lithium Niobate thin films onto sapphire (0001) substrates is reported. Thin films composition and structure have been determined using Rutherford Backscattermg Spectroscopy (RBS) and X-ray diffraction ( XRD) experiments. The influe:nce of deposition parameters such as substrate temperature, oxygen pressure and target to substrate distance on the composition and the structure of the films has been studied. Deposition temperature is found to be an important parameter which enables us to grow LiNbO3 films without the Li deficient phase LiNb3O8. Nearly stoichiometric thin fihns have been obtained for an oxygen pressure of 0. 1 Ton and a substrate temperature of 800°C. Under optimized conditions the (001) preferential orientation of growth, suitable for most optical applications, has been obtained.

  9. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  10. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  11. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  12. Deposition of adherent Ag-Ti duplex films on ceramics in a multiple-cathode sputter deposition system

    NASA Technical Reports Server (NTRS)

    Honecy, Frank S.

    1992-01-01

    The adhesion of Ag films deposited on oxide ceramics can be increased by first depositing intermediate films of active metals such as Ti. Such duplex coatings can be fabricated in a widely used three target sputter deposition system. It is shown here that the beneficial effect of the intermediate Ti film can be defeated by commonly used in situ target and substrate sputter cleaning procedures which result in Ag under the Ti. Auger electron spectroscopy and wear testing of the coatings are used to develop a cleaning strategy resulting in an adherent film system.

  13. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  14. Studies of mist deposition for the formation of quantum dot CdSe films

    NASA Astrophysics Data System (ADS)

    Price, S. C.; Shanmugasundaram, K.; Ramani, S.; Zhu, T.; Zhang, F.; Xu, J.; Mohney, S. E.; Zhang, Q.; Kshirsagar, A.; Ruzyllo, J.

    2009-10-01

    Films of CdSe(ZnS) colloidal nanocrystalline quantum dots (NQDs) were deposited on bare silicon, glass and polymer coated silicon using mist deposition. This effort is a part of an exploratory investigation in which this deposition technique is studied for the first time as a method to form semiconductor NQD films. The process parameters, including deposition time, solution concentration and electric field, were varied to change the thickness of the deposited film. Blanket films and films deposited through a shadow mask were created to investigate the method's ability to pattern films during the deposition process. The differences between these deposition modes in terms of film morphology were observed. Overall, the results show that mist deposition of quantum dots is a viable method for creating thin, patterned quantum dot films using colloidal solution as the precursor. It is concluded that this technique shows very good promise for quantum dot (light emitting diode, LED) fabrication.

  15. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  16. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1985-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter depoairion are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq. cm. resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x to to the -6/ohm. cm. for 300 angstrom film to 2.56 x 10 to the -1/ohm. cm. for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  17. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    PubMed

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  18. Deposition and characterization of aluminum magnesium boride thin film coatings

    NASA Astrophysics Data System (ADS)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (< 3 x 10-6 Torr), accompanied by strong texture formation. Low vacuum level-as deposited AlMgB14 films have low hardness (10 GPa), but high vacuum level-as deposited AlMgB14 films exhibit an extremely high hardness (45 GPa - 51 GPa), and the higher deposition temperature results in still higher hardness

  19. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  20. Ultrashort pulse laser deposition of thin films

    DOEpatents

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  1. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  2. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  3. Deposition and characterization of molybdenum thin films using dc-plasma magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Majid, E-mail: majids@hotmail.com; Islam, Mohammad, E-mail: mohammad.islam@gmail.com

    2013-12-15

    Molebdenum (Mo) thin films were deposited on well-cleaned soda-lime glass substrates using DC-plasma magnetron sputtering. In the design of experiment deposition was optimized for maximum beneficial characteristics by monitoring effect of process variables such as deposition power (100–200 W). Their electrical, structural and morphological properties were analyzed to study the effect of these variables. The electrical resistivity of Mo thin films could be reduced by increasing deposition power. Within the range of analyzed deposition power, Mo thin films showed a mono crystalline nature and the crystallites were found to have an orientation along [110] direction. The surface morphology of thinmore » films showed that a highly dense micro structure has been obtained. The surface roughness of films increased with deposition power. The adhesion of Mo thin films could be improved by increasing the deposition power. Atomic force microscopy was used for the topographical study of the films and to determine the roughness of the films. X-ray diffractrometer and scanning electron microscopy analysis were used to investigate the crystallinity and surface morphology of the films. Hall effect measurement system was used to find resistivity, carrier mobility and carrier density of deposited films. The adhesion test was performed using scotch hatch tape adhesion test. Mo thin films prepared at deposition power of 200 W, substrate temperature of 23°C and Ar pressure of 0.0123 mbar exhibited a mono crystalline structure with an orientation along (110) direction, thickness of ∼550 nm and electrical resistivity value of 0.57 × 10{sup −4} Ω cm.« less

  4. 40 CFR 421.330 - Applicability: Description of the primary zirconium and hafnium subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... primary zirconium and hafnium subcategory. 421.330 Section 421.330 Protection of Environment ENVIRONMENTAL... CATEGORY Primary Zirconium and Hafnium Subcategory § 421.330 Applicability: Description of the primary zirconium and hafnium subcategory. The provisions of this subpart are applicable to discharges resulting...

  5. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  6. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  7. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  8. Thin Films of Reduced Hafnium Oxide with Excess Carbon for High-Temperature Oxidation Protection

    DTIC Science & Technology

    2010-02-01

    Cho, N. V. Nguyen, C. A. Richter, J. R. Ehrstein, B . H. Lee, and J. C. Lee, "Spectroscopic Ellipsometry Characterization of High- k Dielectric Hf02...34 Canadian Journal of Chemistry, 61 850-55 (1983). I6D. B . Chrisey and G. K . Hubler (Eds.), "Pulsed Laser Deposition of Thin Films." John Wiley...smaller than b rim. It was shown that high carbon content in the films inhibits crystaliization of HtO( at temperatures at least up to 333 C. Study ot

  9. Methods for making deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1982-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or larger planar surfaces.

  10. Iron films deposited on porous alumina substrates

    NASA Astrophysics Data System (ADS)

    Yamada, Yasuhiro; Tanabe, Kenichi; Nishida, Naoki; Kobayashi, Yoshio

    2016-12-01

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 - 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  11. Electrical properties of HfO2 high- k thin-film MOS capacitors for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Khairnar, A. G.; Patil, L. S.; Salunke, R. S.; Mahajan, A. M.

    2015-11-01

    We deposited the hafnium dioxide (HfO2) thin films on p-Si (100) substrates. The thin films were deposited with deposition time variations, viz 2, 4, 7 and 20 min using RF-sputtering technique. The thickness and refractive index of the films were measured using spectroscopic ellipsometer. The thicknesses of the films were measured to be 13.7, 21.9, 35.38 and 92.2 nm and refractive indices of 1.90, 1.93, 1.99 and 1.99, respectively, of the films deposited for 2, 4, 7 and 20 min deposition time. The crystal structures of the deposited HfO2 thin films were determined using XRD spectra and showed the monoclinic structure, confirmed with the ICDD card no 34-0104. Aluminum metallization was carried to form the Al/HfO2/ p-Si MOS structures by using thermal evaporation system with electrode area of 12.56 × 10-4 cm2. Capacitance voltage and current voltage measurements were taken to know electrical behavior of these fabricated MOS structures. The electrical parameters such as dielectric constant, flat-band shift and interface trap density determined through CV measurement were 7.99, 0.11 V and 6.94 × 1011 eV-1 cm-2, respectively. The low leakage current density was obtained from IV measurement of fabricated MOS structure at 1.5 V is 4.85 × 10-10 Acm-2. Aforesaid properties explored the suitability of the fabricated HfO2 high- k-based MOS capacitors for advanced CMOS technology.

  12. Short review on chemical bath deposition of thin film and characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  13. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    DTIC Science & Technology

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  14. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  15. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  16. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    NASA Astrophysics Data System (ADS)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  17. Self-limiting atomic layer deposition of conformal nanostructured silver films

    NASA Astrophysics Data System (ADS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-02-01

    The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  18. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  19. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  20. Cadmium sulphide (CdS) thin films deposited by chemical bath deposition (CBD) and dip coating techniques—a comparative study

    NASA Astrophysics Data System (ADS)

    Khimani, Ankurkumar J.; Chaki, Sunil H.; Malek, Tasmira J.; Tailor, Jiten P.; Chauhan, Sanjaysinh M.; Deshpande, M. P.

    2018-03-01

    The CdS thin films were deposited on glass slide substrates by Chemical Bath Deposition and dip coating techniques. The films thickness variation with deposition time showed maximum films deposition at 35 min for both the films. The energy dispersive analysis of x-ray showed both the films to be stoichiometric. The x-ray diffraction analysis confirmed the films possess hexagonal crystal structure. The transmission electron, scanning electron and optical microscopy study showed the films deposition to be uniform. The selected area electron diffraction exhibited ring patterns stating the films to be polycrystalline in nature. The atomic force microscopy images showed surface formed of spherical grains, hills and valleys. The recorded optical absorbance spectra analysis revealed the films possess direct optical bandgap having values of 2.25 eV for CBD and 2.40 eV for dip coating. The refractive index (η), extinction coefficient (k), complex dielectric constant (ε) and optical conductivity (σ 0) variation with wavelength showed maximum photon absorption till the respective wavelengths corresponding to the optical bandgap energy values. The recorded photoluminescence spectra showed two emission peaks. All the obtained results have been discussed in details.

  1. Low Temperature, Selective Atomic Layer Deposition of Nickel Metal Thin Films.

    PubMed

    Kerrigan, Marissa M; Klesko, Joseph P; Blakeney, Kyle J; Winter, Charles H

    2018-04-25

    We report the growth of nickel metal films by atomic layer deposition (ALD) employing bis(1,4-di- tert-butyl-1,3-diazadienyl)nickel and tert-butylamine as the precursors. A range of metal and insulating substrates were explored. An initial deposition study was carried out on platinum substrates. Deposition temperatures ranged from 160 to 220 °C. Saturation plots demonstrated self-limited growth for both precursors, with a growth rate of 0.60 Å/cycle. A plot of growth rate versus substrate temperature showed an ALD window from 180 to 195 °C. Crystalline nickel metal was observed by X-ray diffraction for a 60 nm thick film deposited at 180 °C. Films with thicknesses of 18 and 60 nm grown at 180 °C showed low root mean square roughnesses (<2.5% of thicknesses) by atomic force microscopy. X-ray photoelectron spectroscopies of 18 and 60 nm thick films deposited on platinum at 180 °C revealed ionizations consistent with nickel metal after sputtering with argon ions. The nickel content in the films was >97%, with low levels of carbon, nitrogen, and oxygen. Films deposited on ruthenium substrates displayed lower growth rates than those observed on platinum substrates. On copper substrates, discontinuous island growth was observed at ≤1000 cycles. Film growth was not observed on insulating substrates under any conditions. The new nickel metal ALD procedure gives inherently selective deposition on ruthenium and platinum from 160 to 220 °C.

  2. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  3. Plasma-deposited amorphous hydrogenated carbon films and their tribological properties

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.

    1989-01-01

    Recent work on the properties of diamondlike carbon films and their dependence on preparation conditions are reviewed. The results of the study indicate that plasma deposition enables one to deposit a variety of amorphous hydrogenated carbon (a-C:H ) films exhibiting more diamondlike behavior to more graphitic behavior. The plasma-deposited a-C:H can be effectively used as hard, wear-resistant, and protective lubricating films on ceramic materials such as Si(sub 3)N(sub 4) under a variety of environmental conditions such as moist air, dry nitrogrn, and vacuum.

  4. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  5. Electrowetting on plasma-deposited fluorocarbon hydrophobic films for biofluid transport in microfluidics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayiati, P.; Tserepi, A.; Petrou, P. S.

    2007-05-15

    The present work focuses on the plasma deposition of fluorocarbon (FC) films on surfaces and the electrostatic control of their wettability (electrowetting). Such films can be employed for actuation of fluid transport in microfluidic devices, when deposited over patterned electrodes. Here, the deposition was performed using C{sub 4}F{sub 8} and the plasma parameters that permit the creation of films with optimized properties desirable for electrowetting were established. The wettability of the plasma-deposited surfaces was characterized by means of contact angle measurements (in the static and dynamic mode). The thickness of the deposited films was probed in situ by means ofmore » spectroscopic ellipsometry, while the surface roughness was provided by atomic force microscopy. These plasma-deposited FC films in combination with silicon nitride, a material of high dielectric constant, were used to create a dielectric structure that requires reduced voltages for successful electrowetting. Electrowetting experiments using protein solutions were conducted on such optimized dielectric structures and were compared with similar structures bearing commercial spin-coated Teflon registered amorphous fluoropolymer (AF) film as the hydrophobic top layer. Our results show that plasma-deposited FC films have desirable electrowetting behavior and minimal protein adsorption, a requirement for successful transport of biological solutions in 'digital' microfluidics.« less

  6. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  7. Ion plating technique improves thin film deposition

    NASA Technical Reports Server (NTRS)

    Mattox, D. M.

    1968-01-01

    Ion plating technique keeps the substrate surface clean until the film is deposited, allows extensive diffusion and chemical reaction, and joins insoluble or incompatible materials. The technique involves the deposition of ions on the substrate surface while it is being bombarded with inert gas ions.

  8. Effect of electron-beam deposition process variables on the film characteristics of the CrOx films

    NASA Astrophysics Data System (ADS)

    Chiu, Po-kai; Liao, Yi-Ting; Tsai, Hung-Yin; Chiang, Donyau

    2018-02-01

    The film characteristics and optical properties of the chromium oxide films on the glass substrates prepared by electron-beam deposition with different process variables were investigated. The process variables included are the various oxygen flow rates, the different applied substrate temperatures, and the preparation process in Ar or O2 surrounding environment with and without ion-assisted deposition. The optical constants of the deposited films are determined from the reflectance and transmittance measurements obtained using a spectrophotometer with wavelengths ranging from 350 nm to 2000 nm. The microstructures of the films were examined by the XRD, SEM, and XPS. The electrical conductivity was measured by a four-point probe instrument. The resulting microstructures of all the prepared films are amorphous and the features of the films are dense, uniform and no pillar structure is observed. The refractive index of deposited films decrease with oxygen flow rate increase within studied wavelengths and the extinction coefficients have the same trend in wavelengths of UV/Vis ranges. Increasing substrate temperature to 200 oC results in increase of both refractive index and extinction coefficient, but substrate temperatures below 150 oC show negligible effect on optical constants. The optical and electrical properties in the prepared CrOx films are illustrated by the analyzed XPS results, which decompose the enveloped curve of chromium electron energy status into the constituents of metal Cr, oxides CrO2 and Cr2O3. The relative occupied area contributed from metal Cr and area contributed from the other oxides can express the concentration ratio of free electron to covalent bonds in deposited films and the ratio is applied to explain the film characteristics, including the optical constants and sheet resistance.

  9. Thin-film preparation by back-surface irradiation pulsed laser deposition using metal powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Yamauchi, Makiko; Suda, Yoshiaki

    2017-01-01

    Several kinds of functional thin films were deposited using a new thin-film preparation method named the back-surface irradiation pulsed laser deposition (BIPLD) method. In this BIPLD method, powder targets were used as the film source placed on a transparent target holder, and then a visible-wavelength pulsed laser was irradiated from the holder side to the substrate. Using this new method, titanium oxide and boron nitride thin films were deposited on the silicon substrate. Surface scanning electron microscopy (SEM) images suggest that all of the thin films were deposited on the substrate with some large droplets irrespective of the kind of target used. The deposition rate of the films prepared by using this method was calculated from film thickness and deposition time to be much lower than that of the films prepared by conventional PLD. X-ray diffraction (XRD) measurement results suggest that rutile and anatase TiO2 crystal peaks were formed for the films prepared using the TiO2 rutile powder target. Crystal peaks of hexagonal boron nitride were observed for the films prepared using the boron nitride powder target. The crystallinity of the prepared films was changed by annealing after deposition.

  10. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, B.E.; McLean, W. II

    1996-02-13

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus. 9 figs.

  11. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, Bruce E.; McLean, II, William

    1996-01-01

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus.

  12. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  13. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  14. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  15. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  16. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  17. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  18. Microenergetic Shock Initiation Studies on Deposited Films of PETN

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-06-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with surface profilometry, scanning electron microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the in-plane and out-of-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult due to the attenuated shock and the high density of the PETN films. Mesoscale models of microenergetic samples were created using the shock physics code CTH and compared with experimental results. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, and density.

  19. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2017-06-27

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves electrochemically exchanging a mediating element on a substrate with a noble metal film by alternatingly sweeping potential in forward and reverse directions for a predetermined number of times in an electrochemical cell. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis.

  20. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  1. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  2. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  3. The effects of changing deposition conditions on the similarity of sputter-deposited fluorocarbon thin films to bulk PTFE

    NASA Astrophysics Data System (ADS)

    Zandona, Philip

    Solid lubrication of space-borne mechanical components is essential to their survival and the continued human exploration of space. Recent discoveries have shown that PTFE when blended with alumina nanofillers exhibits greatly improved physical performance properties, with wear rates being reduced by several orders of magnitude. The bulk processes used to produce the PTFE-alumina blends are limiting. Co-sputter deposition of PTFE and a filler material overcomes several of these limitations by enabling the reduction of particle size to the atomic level and also by allowing for the even coating of the solid lubricant on relatively large areas and components. The goal of this study was to establish a baseline performance of the sputtered PTFE films as compared to the bulk material, and to establish deposition conditions that would result in the most bulk-like film possible. In order to coax change in the structure of the sputtered films, sputtering power and deposition temperature were increased independently. Further, post-deposition annealing was applied to half of the deposited film in an attempt to affect change in the film structure. Complications in the characterization process due to increasing film thickness were also examined. Bulk-like metrics for characterization processes the included Fourier transform infrared spectroscopy (FTIR), X-ray spectroscopy (XPS), nanoindentation via atomic force microscopy, and contact angle of water on surface measurements were established. The results of the study revealed that increasing sputtering power and deposition temperature resulted in an increase in the similarity between the fluorocarbon films and the bulk PTFE, at a cost of affecting the potential of the film thicknesses, either by affecting the deposition process directly, or by decreasing the longevity of the sputtering targets.

  4. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  5. Capillary assisted deposition of carbon nanotube film for strain sensing

    NASA Astrophysics Data System (ADS)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  6. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    PubMed

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  7. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  8. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  9. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  10. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  11. Properties of RF sputtered cadmium telluride (CdTe) thin films: Influence of deposition pressure

    NASA Astrophysics Data System (ADS)

    Kulkarni, R. R.; Pawbake, A. S.; Waykar, R. G.; Rondiya, S. R.; Jadhavar, A. A.; Pandharkar, S. M.; Karpe, S. D.; Diwate, K. D.; Jadkar, S. R.

    2016-04-01

    Influence of deposition pressure on structural, morphology, electrical and optical properties of CdTe thin films deposited at low substrate temperature (100°C) by RF magnetron sputtering was investigated. The formation of CdTe was confirmed by low angle XRD and Raman spectroscopy. The low angle XRD analysis revealed that the CdTe films have zinc blende (cubic) structure with crystallites having preferred orientation in (111) direction. Raman spectra show the longitudinal optical (LO) phonon mode peak ˜ 165.4 cm-1 suggesting high quality CdTe film were obtained over the entire range of deposition pressure studied. Scanning electron microscopy analysis showed that films are smooth, homogenous, and crack-free with no evidence of voids. The EDAX data revealed that CdTe films deposited at low deposition pressure are high-quality stoichiometric. However, for all deposition pressures, films are rich in Cd relative to Te. The UV-Visible spectroscopy analysis show the blue shift in absorption edge with increasing the deposition pressure while the band gap show decreasing trend. The highest electrical conductivity was obtained for the film deposited at deposition pressure 1 Pa which indicates that the optimized deposition pressure for our sputtering unit is 1 Pa. Based on the experimental results, these CdTe films can be useful for the application in the flexible solar cells and other opto-electronic devices.

  12. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  13. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  14. Deposition of zinc sulfide thin films by chemical bath process

    NASA Astrophysics Data System (ADS)

    Oladeji, Isaiah O.; Chow, Lee

    1996-11-01

    Deposition of high quality zinc sulfide (ZnS) thin film over a large area is required if it is to be effectively used in electroluminescent devices, solar cells, and other optoelectronic devices. Of all deposition techniques, chemical bath deposition (CBD) is the least costly technique that meets the above requirements. Recently it is found that the growth of ZnS film, of thickness less than 100 nm in a single dip, by CBD is facilitated by the use of ammonia and hydrazine as complexing agents. Here we report that the thickness of the deposited ZnS film can be increased if ammonium salt is used as a buffer. We also present an analytical study to explain our results and to further understand the ZnS growth process in CBD.

  15. The preparation and characterization of optical thin films produced by ion-assisted deposition

    NASA Astrophysics Data System (ADS)

    Martin, P. J.; Netterfield, R. P.; Sainty, W. G.; Pacey, C. G.

    1984-06-01

    Ion-based deposition techniques have been successfully used to deposit compound films suitable for photothermal applications, as well as dielectric films with stable and reproducible optical properties. Thus, thin films of TiN, a-Si:H, and PbS have been obtained by ion-assisted deposition for photothermal solar-selective elements and similarly prepared dielectric layers of ZrO2, SiO2, and Al2O3 have been used as protective coatings on Ag and Al mirrors. It is shown that the technique of ion-assisted deposition affords control over the film density, microstructure, adhesion, composition, and optical properties. Details of the process and film properties are discussed.

  16. A simple spectrophotometric method for determination of zirconium or hafnium in selected molybdenum-base alloys

    NASA Technical Reports Server (NTRS)

    Dupraw, W. A.

    1972-01-01

    A simple analytical procedure is described for accurately and precisely determining the zirconium or hafnium content of molybdenum-base alloys. The procedure is based on the reaction of the reagent Arsenazo III with zirconium or hafnium in strong hydrochloric acid solution. The colored complexes of zirconium or hafnium are formed in the presence of molybdenum. Titanium or rhenium in the alloy have no adverse effect on the zirconium or hafnium complex at the following levels in the selected aliquot: Mo, 10 mg; Re, 10 mg; Ti, 1 mg. The spectrophotometric measurement of the zirconium or hafnium complex is accomplished without prior separation with a relative standard deviation of 1.3 to 2.7 percent.

  17. The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition: examples and a case study on the application of V2O4 coated nanotubes in gas sensing.

    PubMed

    Willinger, Marc-Georg; Neri, Giovanni; Bonavita, Anna; Micali, Giuseppe; Rauwel, Erwan; Herntrich, Tobias; Pinna, Nicola

    2009-05-21

    A new atomic layer deposition (ALD) process was applied for the uniform coating of carbon nanotubes with a number of transition-metal oxide thin films (vanadium, titanium, and hafnium oxide). The presented approach is adapted from non-aqueous sol-gel chemistry and utilizes metal alkoxides and carboxylic acids as precursors. It allows the coating of the inner and outer surface of the tubes with a highly conformal film of controllable thickness and hence, the production of high surface area hybrid materials. The morphology and the chemical composition as well as the high purity of the films are evidenced through a combination of electron microscopic and electron-energy-loss spectrometric techniques. Furthermore, in order to highlight a possible application of the obtained hybrids, the electrical and sensing properties of resistive gas sensors based on hybrid vanadium oxide-coated carbon nanotubes (V2O4-CNTs) are reported and the effect of thermal treatment on the gas sensing properties is studied.

  18. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  19. Hafnium(IV) chloride complexes with chelating β-ketiminate ligands: Synthesis, spectroscopic characterization and volatility study

    NASA Astrophysics Data System (ADS)

    Patil, Siddappa A.; Medina, Phillip A.; Antic, Aleks; Ziller, Joseph W.; Vohs, Jason K.; Fahlman, Bradley D.

    2015-09-01

    The synthesis and characterization of four new β-ketiminate hafnium(IV) chloride complexes dichloro-bis[4-(phenylamido)pent-3-en-2-one]-hafnium (4a), dichloro-bis[4-(4-methylphenylamido)pent-3-en-2-one]-hafnium (4b), dichloro-bis[4-(4-methoxyphenylamido)pent-3-en-2-one]-hafnium (4c), and dichloro-bis[4-(4-chlorophenylamido)pent-3-en-2-one]-hafnium (4d) are reported. All the complexes (4a-d) were characterized by spectroscopic methods (1H NMR, 13C NMR, IR), and elemental analysis while the compound 4c was further examined by single-crystal X-ray diffraction, revealing that the complex is monomer with the hafnium center in octahedral coordination environment and oxygens of the chelating N-O ligands are trans to each other and the chloride ligands are in a cis arrangement. Volatile trends are established for four new β-ketiminate hafnium(IV) chloride complexes (4a-d). Sublimation enthalpies (ΔHsub) were calculated from thermogravimetric analysis (TGA) data, which show that, the dependence of ΔHsub on the molecular weight (4a-c) and inductive effects from chlorine (4d).

  20. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  1. Vacuum and low oxygen pressure influence on BaFe12O19 film deposited by pulse laser deposition

    NASA Astrophysics Data System (ADS)

    Kumar, Pawan; Gaur, Anurag; Choudhary, R. J.

    2018-05-01

    BaFe12O19 hexaferrite thin films are deposited on Si (111) substrate by the pulse laser deposition (PLD) technique in high vacuum 10-6 Torr and low oxygen pressure (10 mTorr) at 650°C substrate temperature. The effects of high vacuum and low pressure on magnetic and optical properties are studied. These films are characterized by the x-ray diffractometer (XRD), SQUID-VSM magnetometer, and Photo-luminescence spectroscopy. XRD pattern reveals that the BaFe12O19 film well formed in both environments without any impurity pick. High magnetic saturazation 317 emu/cm3 and coercivity 130 Oe are observed for the film deposited in vacuum. Photoluminescence emission spectrum of BaFe12O19 film reveals that the higher intensity emission peak at ˜372 nm under the excitation wavelength of 270 nm is observed for the film grown in vacuum.

  2. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  3. Properties of NiO thin films deposited by intermittent spray pyrolysis process

    NASA Astrophysics Data System (ADS)

    Reguig, B. A.; Khelil, A.; Cattin, L.; Morsli, M.; Bernède, J. C.

    2007-02-01

    NiO thin films have been grown on glass substrates by intermittent spray pyrolysis deposition of NiCl 2·6H 2O diluted in distilled water, using a simple "perfume atomizer". The effect of the solution molarity on their properties was studied and compared to those of NiO thin films deposited with a classical spray system. It is shown that NiO thin films crystallized in the NiO structure are achieved after deposition. Whatever the precursor molarity, the grain size is around 25-30 nm. The crystallites are preferentially oriented along the (1 1 1) direction. All the films are p-type. However, the thickness and the conductivity of the NiO films depend on the precursor contraction. By comparison with the properties of films deposited by classical spray technique, it is shown that the critical precursor concentration, which induces strong thin films properties perturbations, is higher when a perfume atomizer is used. This broader stability domain can be attributed to better chlorides decomposition during the rest time used in the perfume atomizer technique.

  4. Nanostructure iron-silicon thin film deposition using plasma focus device

    NASA Astrophysics Data System (ADS)

    Kotb, M.; Saudy, A. H.; Hassaballa, S.; Eloker, M. M.

    2013-03-01

    The presented study in this paper reports the deposition of nano-structure iron-silicon thin film on a glass substrate using 3.3 KJ Mather-type plasma focus device. The iron-silicon powder was put on the top of hollow copper anode electrode. The deposition was done under different experimental conditions such as numbers of electric discharge shots and angular position of substrate. The film samples were exposed to energetic argon ions generated by plasma focus device at different distances from the top of the central electrode. The exposed samples were then analyzed for their structure and optical properties using X-ray diffraction (XRD) and UV-visible spectroscopy. The structure of iron-silicon thin films deposited using plasma focus device depends on the distance from the anode, the number of focus deposition shots and the angular position of the sample

  5. Photoluminescence and anti-deliquesce of cesium iodide and its sodium-doped films deposited by thermal evaporation at high deposition rates

    NASA Astrophysics Data System (ADS)

    Hsu, Jin-Cherng; Chiang, Yueh-Sheng; Ma, Yu-Sheng

    2013-03-01

    Cesium iodide (CsI) and sodium iodide (NaI) are good scintillators due to their high luminescence efficiency. These alkali halides can be excited by ultra-violet or by ionizing radiation. In this study, CsI and its Na-doped films about 8 μm thick were deposited by thermal evaporation boat without heating substrates at high deposition rates of 30, 50, 70, 90, and 110 nm/sec, respectively. The as-deposited films were sequentially deposited a silicon dioxide film to protect from deliquesce. And, the films were also post-annealed in vacuum at 150, 200, 250, and 300 °C, respectively. We calculated the packing densities of the samples according to the measurements of Fourier transform infrared spectroscopy (FTIR) and observed the luminescence properties by photoluminescence (PL) system. The surfaces and cross sections of the films were investigated by scanning electron microscope (SEM). From the above measurements we can find the optimal deposition rate of 90 nm/sec and post-annealing temperature of 250 °C in vacuum for the asdeposited cesium iodide and its sodium-doped films.

  6. Properties of spray-deposited liquid-phase exfoliated graphene films

    NASA Astrophysics Data System (ADS)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  7. Friction and wear of plasma-deposited diamond films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Garscadden, Alan; Barnes, Paul N.; Jackson, Howard E.

    1993-01-01

    Reciprocating sliding friction experiments in humid air and in dry nitrogen and unidirectional sliding friction experiments in ultrahigh vacuum were conducted with a natural diamond pin in contact with microwave-plasma-deposited diamond films. Diamond films with a surface roughness (R rms) ranging from 15 to 160 nm were produced by microwave-plasma-assisted chemical vapor deposition. In humid air and in dry nitrogen, abrasion occurred when the diamond pin made grooves in the surfaces of diamond films, and thus the initial coefficients of friction increased with increasing initial surface roughness. The equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. In vacuum the friction for diamond films contacting a diamond pin arose primarily from adhesion between the sliding surfaces. In these cases, the initial and equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. The equilibrium coefficients of friction were 0.02 to 0.04 in humid air and in dry nitrogen, but 1.5 to 1.8 in vacuum. The wear factor of the diamond films depended on the initial surface roughness, regardless of environment; it increased with increasing initial surface roughness. The wear factors were considerably higher in vacuum than in humid air and in dry nitrogen.

  8. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  9. Deposition And Characterization Of Ultra Thin Diamond Like Carbon Films

    NASA Astrophysics Data System (ADS)

    Tomcik, B.

    2010-07-01

    Amorphous hydrogenated and/or nitrogenated carbon films, a-C:H/a-C:N, in overall thickness up to 2 nm are materials of choice as a mechanical and corrosion protection layer of the magnetic media in modern hard disk drive disks. In order to obtain high density and void-free films the sputtering technology has been replaced by different plasma and ion beam deposition techniques. Hydrocarbon gas precursors, like C2H2 or CH4 with H2 and N2 as reactive gases are commonly used in Kaufman DC ion and RF plasma beam sources. Optimum incident energy of carbon ions, C+, is up to 100 eV while the typical ion current densities during the film formation are in the mA/cm2 range. Other carbon deposition techniques, like filtered cathodic arc, still suffer from co-deposition of fine nanosized carbon clusters (nano dust) and their improvements are moving toward arc excitation in the kHz and MHz frequency range. Non-destructive film analysis like μ-Raman optical spectroscopy, spectroscopic ellipsometry, FTIR and optical surface analysis are mainly used in the carbon film characterization. Due to extreme low film thicknesses the surface enhanced Raman spectroscopy (SERS) with pre-deposited layer of Au can reduce the signal collection time and minimize photon-induced damage during the spectra acquisition. Standard approach in the μ-Raman film evaluation is the measurement of the position (shift) and area of D and G-peaks under the deconvoluted overall carbon spectrum. Also, a slope of the carbon spectrum in the 1000-2000 cm-1 wavenumber range is used as a measure of the hydrogen intake within a film. Diamond like carbon (DLC) film should possess elasticity and self-healing properties during the occasional crash of the read-write head flying only couple of nanometers above the spinning film. Film corrosion protection capabilities are mostly evaluated by electrochemical tests, potentio-dynamic and linear polarization method and by business environmental method. Corrosion mechanism

  10. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    NASA Astrophysics Data System (ADS)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  11. Morphogenesis of nanostructures in glancing angle deposition of metal thin film coatings

    NASA Astrophysics Data System (ADS)

    Brown, Timothy James

    Atomic vapors condensed onto solid surfaces form a remarkable category of condensed matter materials, the so-called thin films, with a myriad of compositions, morphological structures, and properties. The dynamic process of atomic condensation exhibits self-assembled pattern formation, producing morphologies with atomic-scale three- dimensional structures of seemingly limitless variety. This study attempts to shed new light on the dynamical growth processes of thin film deposition by analyzing in detail a previously unreported specific distinct emergent structure, a crystalline triangular-shaped spike that grows within copper and silver thin films. I explored the deposition parameters that lead to the growth of these unique structures, referred to as "nanospikes", fabricating approximately 55 thin films and used scanning electron microscopy and x-ray diffraction analysis. The variation of parameters include: vapor incidence angle, film thickness, substrate temperature, deposition rate, deposition material, substrate, and source-to-substrate distance. Microscopy analysis reveals that the silver and copper films deposited at glancing vapor incidence angles, 80 degrees and greater, have a high degree of branching interconnectivity between adjacent inclined nanorods. Diffraction analysis reveals that the vapor incidence angle influences the sub-populations of crystallites in the films, producing two different [110] crystal texture orientations. I hypothesize that the growth of nanospikes from nanorods is initiated by the stochastic arrival of vapor atoms and photons emitted from the deposition source at small diameter nanorods, and then driven by localized heating from vapor condensation and photon absorption. Restricted heat flow due to nanoscale thermal conduction maintains an elevated local temperature at the nanorod, enhancing adatom diffusion and enabling fast epitaxial crystal growth, leading to the formation and growth of nanospikes. Electron microscopy and x

  12. Thermochemistry of amorphous and crystalline zirconium and hafnium silicates.

    NASA Astrophysics Data System (ADS)

    Ushakov, S.; Brown, C. E.; Navrotsky, Alexandra; Boatner, L. A.; Demkov, A. A.; Wang, C.; Nguyen, B.-Y.

    2003-03-01

    Calorimetric investigation of amorphous and crystalline zirconium and hafnium silicates was performed as part of a research program on thermochemistry of alternative gate dielectrics. Amorphous hafnium and zirconium silicates with varying SiO2 content were synthesized by a sol-gel process. Crystalline zirconium and hafnium silicates (zircon and hafnon) were synthesized by solid state reaction at 1450 °C from amorphous gels and grown as single crystals from flux. High temperature oxide melt solution calorimetry in lead borate (2PbO.B2O3) solvent at 800 oC was used to measure drop solution enthalpies for amorphous and crystalline zirconium and hafnium silicates and corresponding oxides. Applying appropriate thermochemical cycles, formation enthalpy of crystalline ZrSiO4 (zircon) from binary oxides (baddeleite and quartz) at 298 K was calculated as -23 +/-2 kJ/mol and enthalpy difference between amorphous and crystalline zirconium silicate (vitrification enthalpy) was found to be 61 +/-3 kJ/mol. Crystallization onset temperatures of amorphous zirconium and hafnium silicates, as measured by differential scanning calorimetry (DSC), increased with silica content. The resulting crystalline phases, as characterized by X-ray diffraction (XRD), were tetragonal HfO2 and ZrO2. Critical crystallite size for tetragonal to monoclinic transformation of HfO2 in the gel was estimated as 6 +/-2 nm from XRD data Crystallization enthalpies per mole of hafnia and zirconia in gels decrease slightly together with crystallite size with increasing silica content, for example from -22 to -15 +/-1 kJ per mol of HfO2 crystallized at 740 and 1006 °C from silicates with 10 and 70 mol Applications of thermal analyses and solution calorimetry techniques together with first-principles density functional calculations to estimate interface and surface energies are discussed.

  13. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  14. Structural and gasochromic properties of WO3 films prepared by reactive sputtering deposition

    NASA Astrophysics Data System (ADS)

    Yamamoto, S.; Hakoda, T.; Miyashita, A.; Yoshikawa, M.

    2015-02-01

    The effects of deposition temperature and film thickness on the structural and gasochromic properties of tungsten trioxide (WO3) films used for the optical detection of diluted cyclohexane gas have been investigated. The WO3 films were prepared on SiO2 substrates by magnetron sputtering, with the deposition temperature ranging from 300 to 550 °C in an Ar and O2 gas mixture. The films were characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), and Rutherford backscattering spectroscopy (RBS). The gasochromic properties of the WO3 films, coated with a catalytic Pt layer, were examined by exposing them to up to 5% cyclohexane in N2 gas. It was found that (001)-oriented monoclinic WO3 films, with a columnar structure, grew at deposition temperatures between 400 and 450 °C. Furthermore, (010)-oriented WO3 films were preferably formed at deposition temperatures higher than 500 °C. The gasochromic characterization of the Pt/WO3 films revealed that (001)-oriented WO3 films, with cauliflower-like surface morphology, were appropriate for the optical detection of cyclohexane gas.

  15. Nanostructuring and texturing of pulsed laser deposited hydroxyapatite thin films

    NASA Astrophysics Data System (ADS)

    Kim, Hyunbin; Catledge, Shane; Vohra, Yogesh; Camata, Renato; Lacefield, William

    2003-03-01

    Hydroxyapatite (HA) [Ca_10(PO_4)_6(OH)_2] is commonly deposited onto orthopedic and dental metallic implants to speed up bone formation around devices, allowing earlier stabilization in a patient. Pulsed laser deposition (PLD) is a suitable means of placing thin HA films on these implants because of its control over stoichiometry, crystallinity, and nanostructure. These characteristics determine the mechanical properties of the films that must be optimized to improve the performance of load-bearing implants and other devices that undergo bone insertion. We have used PLD to produce nanostructured and preferentially oriented HA films and evaluated their mechanical properties. Pure, highly crystalline HA films on Ti-6Al-4V substrates were obtained using a KrF excimer laser (248nm) with energy density of 4-8 J/cm^2 and deposition temperature of 500-700^rcC. Scanning electron and atomic force microscopies reveal that our careful manipulation of energy density and substrate temperature has led to films made up of HA grains in the nanometer scale. Broadening of x-ray diffraction peaks as a function of deposition temperature suggests it may be possible to control the film nanostructure to a great extent. X-ray diffraction also shows that as the laser energy density is increased in the 4-8 J/cm^2 range, the hexagonal HA films become preferentially oriented along the c-axis perpendicular to the substrate. Texture, nanostructure, and phase make-up all significantly influence the mechanical properties. We will discuss how each of these factors affects hardness and Young's modulus of the HA films as measured by nanoindentation.

  16. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  17. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  18. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  19. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    NASA Astrophysics Data System (ADS)

    Tucker, Mark D.; Czigány, Zsolt; Broitman, Esteban; Näslund, Lars-Åke; Hultman, Lars; Rosen, Johanna

    2014-04-01

    Carbon and carbon nitride films (CNx, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A "fullerene-like" (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CNx films, was observed in films deposited at 175 °C and above, with N2 pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradual transition from majority sp3-hybridized films to sp2 films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CNx films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.

  20. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    PubMed

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  1. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1989-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  2. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.

    1990-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  3. Impact of deposition-rate fluctuations on thin-film thickness and uniformity

    DOE PAGES

    Oliver, Joli B.

    2016-11-04

    Variations in deposition rate are superimposed on a thin-film–deposition model with planetary rotation to determine the impact on film thickness. Variations in magnitude and frequency of the fluctuations relative to the speed of planetary revolution lead to thickness errors and uniformity variations up to 3%. Sufficiently rapid oscillations in the deposition rate have a negligible impact, while slow oscillations are found to be problematic, leading to changes in the nominal film thickness. Finally, superimposing noise as random fluctuations in the deposition rate has a negligible impact, confirming the importance of any underlying harmonic oscillations in deposition rate or source operation.

  4. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  5. Investigation of microstructure, micro-mechanical and optical properties of HfTiO{sub 4} thin films prepared by magnetron co-sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mazur, Michal, E-mail: michal.mazur@pwr.edu.pl; Wojcieszak, Damian; Domaradzki, Jaroslaw

    2015-12-15

    Highlights: • HfTiO{sub 4} thin films were deposited by magnetron co-sputtering. • As-prepared and annealed at 800 °C thin films were nanocrystalline. • Optical properties and hardness were investigated in relation to thin films structure. • Hardness was 3-times higher in the case of as-deposited thin films. • HfTiO{sub 4} thin films are suitable for use as optical coatings with protective properties. - Abstract: Titania (TiO{sub 2}) and hafnium oxide (HfO{sub 2}) thin films are in the focus of interest to the microelectronics community from a dozen years. Because of their outstanding properties like, among the others, high stability, highmore » refractive index, high electric permittivity, they found applications in many optical and electronics domains. In this work discussion on the hardness, microstructure and optical properties of as-deposited and annealed HfTiO{sub 4} thin films has been presented. Deposited films were prepared using magnetron co-sputtering method. Performed investigations revealed that as-deposited coatings were nanocrystalline with HfTiO{sub 4} structure. Deposited films were built from crystallites of ca. 4–12 nm in size and after additional annealing an increase in crystallites size up to 16 nm was observed. Micro-mechanical properties, i.e., hardness and elastic modulus were determined using conventional load-controlled nanoindentation testing. the annealed films had 3-times lower hardness as-compared to as-deposited ones (∼9 GPa). Based on optical investigations real and imaginary components of refractive index were calculated, both for as-deposited and annealed thin films. The real refractive index component increased after annealing from 2.03 to 2.16, while extinction coefficient increased by an order from 10{sup −4} to 10{sup −3}. Structure modification was analyzed together with optical energy band-gap, Urbach energy and using Wemple–DiDomenico model.« less

  6. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  7. Simultaneous determination of tantalum and hafnium in silicates by neutron activation analysis

    USGS Publications Warehouse

    Greenland, L.P.

    1968-01-01

    A neutron activation procedure suitable for the routine determination of tantalum and hafnium in silicates is described. The irradiated sample is fused with sodium peroxide and leached, and the insoluble hydroxides are dissolved in dilute hydrofluoric acid-hydrochloric acid. After LaF3 and AgCl scavenges, tantalum and hafnium are separated by anion exchange. Tantalum is obtained radiochemically pure; 233Pa and 95Zr contaminants in the hafnium fraction are resolved by ??-ray spectrometry. The chemical yield of the procedure is detemined after counting by re-irradiation. Values for the 8 U.S. Geological Survey standard rocks are reported. ?? 1968.

  8. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  9. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  10. Silicon nanomembranes as a means to evaluate stress evolution in deposited thin films

    Treesearch

    Anna M. Clausen; Deborah M. Paskiewicz; Alireza Sadeghirad; Joseph Jakes; Donald E. Savage; Donald S. Stone; Feng Liu; Max G. Lagally

    2014-01-01

    Thin-film deposition on ultra-thin substrates poses unique challenges because of the potential for a dynamic response to the film stress during deposition. While theoretical studies have investigated film stress related changes in bulk substrates, little has been done to learn how stress might evolve in a film growing on a compliant substrate. We use silicon...

  11. Synthesis and tribological properties of diamond-like carbon films by electrochemical anode deposition

    NASA Astrophysics Data System (ADS)

    Li, Yang; Zhang, GuiFeng; Hou, XiaoDuo; Deng, DeWei

    2012-06-01

    Diamond-like carbon films (DLC) are deposited on Ti substrate by electrochemical anodic deposition at room temperature in pure methanol solution using a pulsed DC voltage at a range from 200 V to 2000 V. Raman spectroscopy analysis of the films reveals two broaden characteristic absorption peaks centred at ˜1350 cm-1 and 1580 cm-1, relating to D- and G-band of typical DLC films, respectively. A broad peak centred at 1325-1330 cm-1 is observed when an applied potential is 1200 V, which can confirm that the deposited films contained diamond structure phase. Tribological properties of the coated Ti substrates have been measured by means of a ball-on-plate wear test machine. A related growth mechanism of DLC films by the anodic deposition mode has also been discussed.

  12. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Shaji, S.; Garcia, L. V.; Loredo, S. L.; Krishnan, B.; Aguilar Martinez, J. A.; Das Roy, T. K.; Avellaneda, D. A.

    2017-01-01

    Antimony sulfide (Sb2S3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb2S3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV-vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb2S3 thin films for optoelectronic applications.

  13. Silicon doped hafnium oxide (HSO) and hafnium zirconium oxide (HZO) based FeFET: A material relation to device physics

    NASA Astrophysics Data System (ADS)

    Ali, T.; Polakowski, P.; Riedel, S.; Büttner, T.; Kämpfe, T.; Rudolph, M.; Pätzold, B.; Seidel, K.; Löhr, D.; Hoffmann, R.; Czernohorsky, M.; Kühnel, K.; Thrun, X.; Hanisch, N.; Steinke, P.; Calvo, J.; Müller, J.

    2018-05-01

    The recent discovery of ferroelectricity in thin film HfO2 materials renewed the interest in ferroelectric FET (FeFET) as an emerging nonvolatile memory providing a potential high speed and low power Flash alternative. Here, we report more insight into FeFET performance by integrating two types of ferroelectric (FE) materials and varying their properties. By varying the material type [HfO2 (HSO) versus hafnium zirconium oxide (HZO)], optimum content (Si doping/mixture ratio), and film thickness, a material relation to FeFET device physics is concluded. As for the material type, an improved FeFET performance is observed for HZO integration with memory window (MW) comparable to theoretical values. For different Si contents, the HSO based FeFET exhibited a MW trend with different stabilized phases. Similarly, the HZO FeFET shows MW dependence on the Hf:Zr mixture ratio. A maximized MW is obtained with cycle ratios of 16:1 (HfO2:Si) and 1:1 (Hf:Zr) as measured on HSO and HZO based FeFETs, respectively. The thickness variation shows a trend of increasing MW with the increased FE layer thickness confirming early theoretical predictions. The FeFET material aspects and stack physics are discussed with insight into the interplay factors, while optimum FE material parameters are outlined in relation to performance.

  14. Low-Temperature Wafer-Scale Deposition of Continuous 2D SnS2 Films.

    PubMed

    Mattinen, Miika; King, Peter J; Khriachtchev, Leonid; Meinander, Kristoffer; Gibbon, James T; Dhanak, Vin R; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-04-19

    Semiconducting 2D materials, such as SnS 2 , hold immense potential for many applications ranging from electronics to catalysis. However, deposition of few-layer SnS 2 films has remained a great challenge. Herein, continuous wafer-scale 2D SnS 2 films with accurately controlled thickness (2 to 10 monolayers) are realized by combining a new atomic layer deposition process with low-temperature (250 °C) postdeposition annealing. Uniform coating of large-area and 3D substrates is demonstrated owing to the unique self-limiting growth mechanism of atomic layer deposition. Detailed characterization confirms the 1T-type crystal structure and composition, smoothness, and continuity of the SnS 2 films. A two-stage deposition process is also introduced to improve the texture of the films. Successful deposition of continuous, high-quality SnS 2 films at low temperatures constitutes a crucial step toward various applications of 2D semiconductors. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. In situ electric properties of Ag films deposited on rough substrates

    NASA Astrophysics Data System (ADS)

    Zhou, Hong; Yu, Sen-Jiang; Zhang, Yong-Ju; Chen, Miao-Gen; Jiao, Zhi-Wei; Si, Ping-Zhan

    2013-01-01

    Silver (Ag) films have been deposited on rough substrates (including frosted glass and silicone grease), and for comparison on flat glass, by DC-magnetron sputtering, and their sheet resistances measured in situ during deposition. It is found that the growth of Ag films proceeds through three distinct stages: discontinuous, semi-continuous, and continuous regimes. The sheet resistance on rough substrates jumps in the vicinity of the percolation threshold, whereas the resistance on flat substrates decreases monotonically during deposition. The abnormal in situ electric properties on rough substrates are well explained based on the differences of the growth mechanism and microstructure of Ag films on different substrates.

  16. Thin films deposited by femtosecond pulsed laser ablation of tungsten carbide

    NASA Astrophysics Data System (ADS)

    De Bonis, A.; Teghil, R.; Santagata, A.; Galasso, A.; Rau, J. V.

    2012-09-01

    Ultra-short Pulsed Laser Deposition has been applied to the production of thin films from a tungsten carbide target. The gaseous phase obtained by the laser ablation shows a very weak primary plume, in contrast with a very strong secondary one. The deposited films, investigated by Scanning Electron Microscopy, Atomic Force Microscopy, X-Ray Photoelectron Spectroscopy and X-Ray Diffraction, present a mixture of WC and other phases with lower carbon content. All films are amorphous, independently from the substrate temperature. The characteristics of the deposits have been explained in terms of thermal evaporation and cooling rate of molten particles ejected from the target.

  17. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    NASA Astrophysics Data System (ADS)

    Ma, B.; Li, M.; Koritala, R. E.; Fisher, B. L.; Markowitz, A. R.; Erck, R. A.; Baurceanu, R.; Dorris, S. E.; Miller, D. J.; Balachandran, U.

    2003-04-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx9° was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55°. In-plane texture in the ISD MgO films developed in the first approx0.5 mum from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the substrate normal have a unique orientation relationship with the ISD MgO films. An orientation relationship of YBCOlangle100rangleparallelMgOlangle111rangle and YBCOlangle010rangleparallelMgOlangle110rangle was measured by x-ray pole figure analyses and confirmed by transmission electron microscopy. A Tc of 91 K with a sharp transition and transport Jc of 5.5 × 105 A cm-2 at 77 K in self-field were measured on a YBCO film that was 0.46 mum thick, 4 mm wide and 10 mm long.

  18. A new approach to the deposition of nanostructured biocatalytic films

    NASA Astrophysics Data System (ADS)

    Troitsky, V. I.; Berzina, T. S.; Pastorino, L.; Bernasconi, E.; Nicolini, C.

    2003-06-01

    In the present work, monolayer engineering was used to fabricate biocatalytic nanostructured thin films based on the enzyme penicillin G acylase. The biocatalytic films with enhanced characteristics were produced by the deposition of alternate-layer assemblies with a predetermined structure using a combination of Langmuir-Blodgett and adsorption techniques. The value of enzyme activity and the level of protein detachment were measured in dependence on the variation of film composition and on the sequence of layer alternation. As a result, highly active and stable structures were found, which could be promising candidates for practical applications. The method of modification of the deposition method to provide continuous film formation on large-area supports is discussed.

  19. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  20. AFM investigation and optical band gap study of chemically deposited PbS thin films

    NASA Astrophysics Data System (ADS)

    Zaman, S.; Mansoor, M.; Abubakar; Asim, M. M.

    2016-08-01

    The interest into deposition of nanocrystalline PbS thin films, the potential of designing and tailoring both the topographical features and the band gap energy (Eg) by controlling growth parameters, has significant technological importance. Nanocrystalline thin films of lead sulfide were grown onto glass substrates by chemical bath deposition (CBD) method. The experiments were carried out by varying deposition temperature. We report on the modification of structural and optical properties as a function of deposition temperature. The morphological changes of the films were analyzed by using SEM and AFM. AFM was also used to calculate average roughness of the films. XRD spectra indicated preferred growth of cubic phase of PbS films in (200) direction with increasing deposition time. Optical properties have been studied by UV-Spectrophotometer. From the diffused reflectance spectra we have calculated the optical Eg shift from 0.649-0.636 eV with increasing deposition time.

  1. Electrolytically deposited Cadmium Selenide Films for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    Dervos, C. T.; Palaiologopoulou, M. D.

    2012-10-01

    CdSe films were electrodeposited on pure nickel substrates. The nickel substrate was polished to a mirror finish by Al2O3 paste, etched in 10% HCl solution for 40 s and rinsed thoroughly by de-ionized water. The deposition bath contained solutions with excessive Cd2+ (0.2M) from CdSO4 and small amounts of SeO2 (1x10-3 M). The pH of the bath was adjusted to a value of 2.2 at RT by adding 10% H2SO4. The bath was first thermostated at the required temperature, which varied from 55°C to 65°C. Plating was accomplished at deposition potential 1000 mV (vs. Hg/Hg2SO4). The films formed had a uniform thickness and it was found to be approximately 2.0 μm thick (for 20 min electrodeposition process. The produced CdSe films were characterized by X-Ray diffraction and SEM. The induced semiconductor doping effect by thermal annealing in pure dry nitrogen gas was also investigated. Gold contacts were placed on top of the CdSe films, either by evaporation, or mechanically. Depending on the deposition parameters the electrical characteristics of the Ni/CdSe/Au structures may exhibit rectification properties. The optical excitation of the structure was investigated for various CdSe thicknesses.

  2. Stripe domains and magnetoresistance in thermally deposited nickel films

    NASA Astrophysics Data System (ADS)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  3. Magnetic properties of LCMO deposited films

    NASA Astrophysics Data System (ADS)

    Park, Seung-Iel; Jeong, Kwang Ho; Cho, Young Suk; Kim, Chul Sung

    2002-04-01

    La-Ca-Mn-O films were deposited with various thickness (500, 1000 and 1500°C) by RF-magnetron sputtering at 700°C and by the spin coating of sol-gel method at 400°C on LaAlO 3(1 0 0) and Si(1 0 0) single-crystal substrates. The crystal structure and chemical composition of the film grown by RF sputtering method were orthorhombic and La 0.89Ca 0.11MnO 3, respectively, while the film prepared by sol-gel spin coating was cubic with La 0.7Ca 0.3MnO 3. The temperature dependence of the resistance for the film grown by RF sputtering method with the thickness of 1000°C shows that a semiconductor-metal transition occurs at 242 K. The relative maximum magnetoresistance is about 273% at 226 K.

  4. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  5. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  6. Polymer-assisted aqueous deposition of metal oxide films

    DOEpatents

    Li, DeQuan [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM

    2003-07-08

    An organic solvent-free process for deposition of metal oxide thin films is presented. The process includes aqueous solutions of necessary metal precursors and an aqueous solution of a water-soluble polymer. After a coating operation, the resultant coating is fired at high temperatures to yield optical quality metal oxide thin films.

  7. (abstract) Optical Scattering and Surface Microroughness of Ion Beam Deposited Au and Pt Thin Films

    NASA Technical Reports Server (NTRS)

    Al-Jumaily, Ghanim A.; Raouf, Nasrat A.; Edlou, Samad M.; Simons, John C.

    1994-01-01

    Thin films of gold and platinum have been deposited onto superpolished fused silica substrates using thermal evaporation, ion assisted deposition (IAD), and ion assisted sputtering. The influence of ion beam flux, thin film material, and deposition rate on the films microroughness have been investigated. Short range surface microroughness of the films has been examined using scanning tunneling microscopy (STM) and atomic force microscopy (AFM). Long range surface microroughness has been characterized using an angle resolved optical scatterometer. Results indicate that ion beam deposited coatings have improved microstructure over thermally evaporated films.

  8. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  9. The Hot-Pressing of Hafnium Carbide (Melting Point, 7030 F)

    NASA Technical Reports Server (NTRS)

    Sanders, William A.; Grisaffe, Salvatore J.

    1960-01-01

    An investigation was undertaken to determine the effects of the hot-pressing variables (temperature, pressure, and time) on the density and grain size of hafnium carbide disks. The purpose was to provide information necessary for the production of high-density test shapes for the determination of physical and mechanical properties. Hot-pressing of -325 mesh hafnium carbide powder was accomplished with a hydraulic press and an inductively heated graphite die assembly. The ranges investigated for each variable were as follows: temperature, 3500 to 4870 F; pressure, 1000 to 6030 pounds per square inch; and time, 5 to 60 minutes. Hafnium carbide bodies of approximately 98 percent theoretical density can be produced under the following minimal conditions: 4230 F, 3500 pounds per square inch, and 15 minutes. Further increases in temperature and time resulted only in greater grain size.

  10. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    NASA Astrophysics Data System (ADS)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  11. Comparison of the properties of Pb thin films deposited on Nb substrate using thermal evaporation and pulsed laser deposition techniques

    NASA Astrophysics Data System (ADS)

    Perrone, A.; Gontad, F.; Lorusso, A.; Di Giulio, M.; Broitman, E.; Ferrario, M.

    2013-11-01

    Pb thin films were prepared at room temperature and in high vacuum by thermal evaporation and pulsed laser deposition techniques. Films deposited by both the techniques were investigated by scanning electron microscopy to determine their surface topology. The structure of the films was studied by X-ray diffraction in θ-2θ geometry. The photoelectron performances in terms of quantum efficiency were deduced by a high vacuum photodiode cell before and after laser cleaning procedures. Relatively high quantum efficiency (>10-5) was obtained for all the deposited films, comparable to that of corresponding bulk. Finally, film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Weak and strong points of these two competitive techniques are illustrated and discussed.

  12. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tucker, Mark D., E-mail: martu@ifm.liu.se; Broitman, Esteban; Näslund, Lars-Åke

    Carbon and carbon nitride films (CN{sub x}, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A “fullerene-like” (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CN{sub x} films, was observed in films deposited at 175 °C and above, with N{sub 2} pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradualmore » transition from majority sp{sup 3}-hybridized films to sp{sup 2} films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CN{sub x} films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.« less

  13. Near-failure detonation behavior of vapor-deposited hexanitrostilbene (HNS) films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan R.; Marquez, Michael P.; Tappan, Alexander S.

    2017-01-01

    Hexanitrostilbene (HNS) films were deposited onto polycarbonate substrates using vacuum thermal sublimation. The deposition conditions were varied in order to alter porosity in the films, and the resulting microstructures were quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation were determined. The polycarbonate substrates also acted as recording plates for detonation experiments, and films near the critical thickness displayed distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions.

  14. Deposition of functional nanoparticle thin films by resonant infrared laser ablation.

    NASA Astrophysics Data System (ADS)

    Haglund, Richard; Johnson, Stephen; Park, Hee K.; Appavoo, Kannatessen

    2008-03-01

    We have deposited thin films containing functional nanoparticles, using tunable infrared light from a picosecond free-electron laser (FEL). Thin films of the green light-emitting molecule Alq3 were first deposited by resonant infrared laser ablation at 6.68 μm, targeting the C=C ring mode of the Alq3. TiO2 nanoparticles 50-100 nm diameter were then suspended in a water matrix, frozen, and transferred by resonant infrared laser ablation at 2.94 μm through a shadow mask onto the Alq3 film. Photoluminescence was substantially enhanced in the regions of the film covered by the TiO2 nanoparticles. In a second experiment, gold nanoparticles with diameters in the range of 50-100 nm were suspended in the conducting polymer and anti-static coating material PEDOT:PSS, which was diluted by mixing with N-methyl pyrrolidinone (NMP). The gold nanoparticle concentration was 8-10% by weight. The mixture was frozen and then ablated by tuning the FEL to 3.47 μm, the C-H stretch mode of NMP. Optical spectroscopy of the thin film deposited by resonant infrared laser ablation exhibited the surface-plasmon resonance characteristic of the Au nanoparticles. These experiments illustrate the versatility of matrix-assisted resonant infrared laser ablation as a technique for depositing thin films containing functionalized nanoparticles.

  15. Pulsed laser deposition of functionalized Mg-Al layered double hydroxide thin films

    NASA Astrophysics Data System (ADS)

    Vlad, A.; Birjega, R.; Tirca, I.; Matei, A.; Mardare, C. C.; Hassel, A. W.; Nedelcea, A.; Dinescu, M.; Zavoianu, R.

    2018-02-01

    In this paper, magnesium-aluminium layered double hydroxide (LDH) has been functionalized with sodium dodecyl sulfate (DS) and deposited as thin film by pulsed laser deposition (PLD). Mg, Al-LDH powders were prepared by co-precipitation and used as reference material. Intercalation of DS as an anionic surfactant into the LDHs host layers has been prepared in two ways: co-precipitation (P) and reconstruction (R). DS intercalation occurred in LDH powder via both preparation methods. The films deposited via PLD, in particular at 532 and 1064 nm, preserve the organic intercalated layered structure of the targets prepared from these powders. The results reveal the ability of proposed deposition technique to produce functional composite organo-modified LDHs thin films.

  16. Influence of solution deposition rate on properties of V2O5 thin films deposited by spray pyrolysis technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-07-01

    Vanadium oxide (V2O5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films' crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V2O5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  17. Mechanical and physicochemical properties of AlN thin films obtained by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Cibert, C.; Tétard, F.; Djemia, P.; Champeaux, C.; Catherinot, A.; Tétard, D.

    2004-10-01

    AlN thin films have been deposited on Si(100) substrates by a pulsed laser deposition method. The deposition parameters (pressure, temperature, purity of target) play an important role in the mechanical and physicochemical properties. The films have been characterized using X-ray diffraction, atomic force microscopy, Brillouin light scattering, Fourier transform infrared spectroscopy and wettability testing. With a high purity target of AlN and a temperature deposition of 750 ∘C, the measured Rayleigh wave velocity is close to the one previously determined for AlN films grown at high temperature by metal-organic chemical vapour deposition. Growth of nanocrystalline AlN at low temperature and of AlN film with good crystallinity for samples deposited at higher temperature is confirmed by infrared spectroscopy, as it was by atomic force microscopy, in agreement with X-ray diffraction results. A high hydrophobicity has been measured with zero polar contribution for the surface energy. These results confirm that films made by pulsed laser deposition of pure AlN at relatively low temperature have good prospects for microelectromechanical systems applications.

  18. Dense nanocrystalline yttrium iron garnet films formed at room temperature by aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Scooter D., E-mail: scooter.johnson@nrl.navy.mil; Glaser, Evan R.; Cheng, Shu-Fan

    Highlights: • We deposit yttrium iron garnet films at room temperature using aerosol deposition. • Films are 96% of theoretical density for yttrium iron garnet. • We report magnetic and structural properties post-deposition and post-annealing. • Low-temperature annealing decreases the FMR linewidth. • We discuss features of the FMR spectra at each anneal temperature. - Abstract: We have employed aerosol deposition to form polycrystalline yttrium iron garnet (YIG) films on sapphire at room temperature that are 90–96% dense. We characterize the structural and dynamic magnetic properties of the dense films using scanning electron microscopy, X-ray diffraction, and ferromagnetic resonance techniques.more » We find that the as-deposited films are pure single-phase YIG formed of compact polycrystallites ∼20 nm in size. The ferromagnetic resonance mode occurs at 2829 G with a linewidth of 308 G. We perform a series of successive anneals up to 1000 °C on a film to explore heat treatment on the ferromagnetic resonance linewidth. We find the narrowest linewidth of 98 G occurs after a 750 °C anneal.« less

  19. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  20. Adhesion, friction, and wear of plasma-deposited thin silicon nitride films at temperatures to 700 C

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Pouch, J. J.; Alterovitz, S. A.; Pantic, D. M.; Johnson, G. A.

    1988-01-01

    The adhesion, friction, and wear behavior of silicon nitride films deposited by low- and high-frequency plasmas (30 kHz and 13.56 MHz) at various temperatures to 700 C in vacuum were examined. The results of the investigation indicated that the Si/N ratios were much greater for the films deposited at 13.56 MHz than for those deposited at 30 kHz. Amorphous silicon was present in both low- and high-frequency plasma-deposited silicon nitride films. However, more amorphous silicon occurred in the films deposited at 13.56 MHz than in those deposited at 30 kHz. Temperature significantly influenced adhesion, friction, and wear of the silicon nitride films. Wear occurred in the contact area at high temperature. The wear correlated with the increase in adhesion and friction for the low- and high-frequency plasma-deposited films above 600 and 500 C, respectively. The low- and high-frequency plasma-deposited thin silicon nitride films exhibited a capability for lubrication (low adhesion and friction) in vacuum at temperatures to 500 and 400 C, respectively.

  1. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  2. Liquid phase deposition synthesis of hexagonal molybdenum trioxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deki, Shigehito; Beleke, Alexis Bienvenu; Kotani, Yuki

    2009-09-15

    Hexagonal molybdenum trioxide thin films with good crystallinity and high purity have been fabricated by the liquid phase deposition (LPD) technique using molybdic acid (H{sub 2}MoO{sub 4}) dissolved in 2.82% hydrofluoric acid (HF) and H{sub 3}BO{sub 3} as precursors. The crystal was found to belong to a hexagonal hydrate system MoO{sub 3}.nH{sub 2}O (napprox0.56). The unit cell lattice parameters are a=10.651 A, c=3.725 A and V=365.997 A{sup 3}. Scanning electron microscope (SEM) images of the as-deposited samples showed well-shaped hexagonal rods nuclei that grew and where the amount increased with increase in reaction time. X-ray photon electron spectroscopy (XPS) spectramore » showed a Gaussian shape of the doublet of Mo 3d core level, indicating the presence of Mo{sup 6+} oxidation state in the deposited films. The deposited films exhibited an electrochromic behavior by lithium intercalation and deintercalation, which resulted in coloration and bleaching of the film. Upon dehydration at about 450 deg. C, the hexagonal MoO{sub 3}.nH{sub 2}O was transformed into the thermodynamically stable orthorhombic phase. - Abstract: SEM photograph of typical h-MoO{sub 3}.nH{sub 2}O thin film nuclei obtained after 36 h at 40 deg. C by the LPD method. Display Omitted« less

  3. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    NASA Astrophysics Data System (ADS)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  4. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  5. Effect of sputtering power on the growth of Ru films deposited by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jhanwar, Prachi, E-mail: prachijhanwar87@gmail.com; Department of Electronics, Banasthali University-304022, Rajasthan; Kumar, Arvind

    2016-04-13

    Ruthenium is deposited by DC magnetron sputtering at different powers and is characterized. The effect of sputtering power on the electrical and structural properties of the film is investigated experimentally. High resolution X-ray diffraction is used to characterize the microstructure of Ru films deposited on SiO{sub 2} surface. The peak (002) is more sharp and intense with full width at half maximum (FWHM) of 0.37° at 250W. The grain size increases with increase in sputtering power improving the crystallinity of the film. The film deposited at high sputtering power also showed lower resistivity (12.40 µΩ-cm) and higher mobility (4.82 cm{sup 2}/V.s) asmore » compared to the film deposited at low power. The surface morphology of the film is studied by atomic force microscopy (AFM).« less

  6. Effects of film thickness on the linear and nonlinear refractive index of p-type SnO films deposited by e-beam evaporation process

    NASA Astrophysics Data System (ADS)

    El-Gendy, Y. A.

    2017-12-01

    Tin monoxide (SnO) films of different thickness have been deposited onto glass substrates at vacuum pressure of ∼ 8 × 10-6 mbar using an e-beam evaporation system. A hot probe test revealed that the deposited films showed p-type conduction. The structure characterization and phase purity of the deposited films was confirmed using X-ray diffraction (XRD) and Raman spectroscopy. The optical transmission and reflection spectra of the deposited films recorded in the wavelength range 190-2500 nm were used to calculate the optical constants employing the Murmann's exact equations. The refractive index dispersion was adequately described by the well-known effective-single-oscillator model proposed by Wemple-DiDomenico, whereby the dispersion parameters were calculated. The nonlinear refractive index and nonlinear optical susceptibility of the deposited films were successfully evaluated using the Miller empirical relations. The lattice dielectric constant and the carrier concentration to the effective mass ratio were also calculated as a function of film thickness using the Spitzer and Fan model. The variation of the optical band gap of the deposited films as a function of film thickness was also presented.

  7. Ion beam deposition of in situ superconducting Y-Ba-Cu-O films

    NASA Astrophysics Data System (ADS)

    Klein, J. D.; Yen, A.; Clauson, S. L.

    1990-01-01

    Oriented superconducting YBa2Cu3O7 thin films were deposited on yttria-stabilized zirconia substrates by ion beam sputtering of a nonstoichiometric oxide target. The films exhibited zero-resistance critical temperatures as high as 80.5 K without post-deposition anneals. Both the deposition rate and the c lattice parameter data displayed two distinct regimes of dependence on the beam power of the ion source. Low-power sputtering yielded films with large c dimensions and low Tc's. Higher power sputtering produced a continuous decrease in the c lattice parameter and an increase in critical temperatures.

  8. Effect of Zinc Oxide Film Deposition Position on the Characteristics of Zinc Oxide Thin Film Transistors Fabricated by Low-Temperature Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Takechi, Kazushige; Nakata, Mitsuru; Eguchi, Toshimasa; Otsuki, Shigeyoshi; Yamaguchi, Hirotaka; Kaneko, Setsuo

    2008-09-01

    We report on the effect of zinc oxide (ZnO) film deposition position on the characteristics of ZnO thin-film transistors (TFTs) fabricated by magnetron sputtering with no intentional heating of the substrate. We evaluate the properties of ZnO (channel semiconductor) films deposited at various positions with respect to the target position. We show that the film deposition at a position off-centered from the target results in good TFT characteristics. This might be due to the fact that the off-centered deposition position is effective for suppressing the effect of energetic negative ions in the plasma.

  9. Molecular layer deposition of alucone films using trimethylaluminum and hydroquinone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Devika; Sarkar, Shaibal K., E-mail: shaibal.sarkar@iitb.ac.in; Mahuli, Neha

    2015-01-01

    A hybrid organic–inorganic polymer film grown by molecular layer deposition (MLD) is demonstrated here. Sequential exposures of trimethylaluminum [Al(CH{sub 3}){sub 3}] and hydroquinone [C{sub 6}H{sub 4}(OH){sub 2}] are used to deposit the polymeric films, which is a representative of a class of aluminum oxide polymers known as “alucones.” In-situ quartz crystal microbalance (QCM) studies are employed to determine the growth characteristics. An average growth rate of 4.1 Å per cycle at 150 °C is obtained by QCM and subsequently verified with x-ray reflectivity measurements. Surface chemistry during each MLD-half cycle is studied in depth by in-situ Fourier transform infrared (FTIR) vibrationmore » spectroscopy. Self limiting nature of the reaction is confirmed from both QCM and FTIR measurements. The conformal nature of the deposit, typical for atomic layer deposition and MLD, is verified with transmission electron microscopy imaging. Secondary ion mass spectroscopy measurements confirm the uniform elemental distribution along the depth of the films.« less

  10. Photobiomolecular deposition of metallic particles and films

    DOEpatents

    Hu, Zhong-Cheng

    2005-02-08

    The method of the invention is based on the unique electron-carrying function of a photocatalytic unit such as the photosynthesis system I (PSI) reaction center of the protein-chlorophyll complex isolated from chloroplasts. The method employs a photo-biomolecular metal deposition technique for precisely controlled nucleation and growth of metallic clusters/particles, e.g., platinum, palladium, and their alloys, etc., as well as for thin-film formation above the surface of a solid substrate. The photochemically mediated technique offers numerous advantages over traditional deposition methods including quantitative atom deposition control, high energy efficiency, and mild operating condition requirements.

  11. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  12. Supercritical fluid molecular spray film deposition and powder formation

    DOEpatents

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  13. Microstructure-related properties of magnesium fluoride films at 193nm by oblique-angle deposition.

    PubMed

    Guo, Chun; Kong, Mingdong; Lin, Dawei; Liu, Cunding; Li, Bincheng

    2013-01-14

    Magnesium fluoride (MgF2) films deposited by resistive heating evaporation with oblique-angle deposition have been investigated in details. The optical and micro-structural properties of single-layer MgF2 films were characterized by UV-VIS and FTIR spectrophotometers, scanning electron microscope (SEM), atomic force microscope (AFM), and x-ray diffraction (XRD), respectively. The dependences of the optical and micro-structural parameters of the thin films on the deposition angle were analyzed. It was found that the MgF2 film in a columnar microstructure was negatively inhomogeneous of refractive index and polycrystalline. As the deposition angle increased, the optical loss, extinction coefficient, root-mean-square (rms) roughness, dislocation density and columnar angle of the MgF2 films increased, while the refractive index, packing density and grain size decreased. Furthermore, IR absorption of the MgF2 films depended on the columnar structured growth.

  14. Composition variations in pulsed-laser-deposited Y-Ba-Cu-O thin films as a function of deposition parameters

    NASA Technical Reports Server (NTRS)

    Foote, M. C.; Jones, B. B.; Hunt, B. D.; Barner, J. B.; Vasquez, R. P.; Bajuk, L. J.

    1992-01-01

    The composition of pulsed-ultraviolet-laser-deposited Y-Ba-Cu-O films was examined as a function of position across the substrate, laser fluence, laser spot size, substrate temperature, target conditioning, oxygen pressure and target-substrate distance. Laser fluence, laser spot size, and substrate temperature were found to have little effect on composition within the range investigated. Ablation from a fresh target surface results in films enriched in copper and barium, both of which decrease in concentration until a steady state condition is achieved. Oxygen pressure and target-substrate distance have a significant effect on film composition. In vacuum, copper and barium are slightly concentrated at the center of deposition. With the introduction of an oxygen background pressure, scattering results in copper and barium depletion in the deposition center, an effect which increases with increasing target-substrate distance. A balancing of these two effects results in stoichiometric deposition.

  15. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  16. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  17. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  18. In-situ deposition of sodium titanate thin film as anode for sodium-ion micro-batteries developed by pulsed laser deposition.

    PubMed

    Rambabu, A; Senthilkumar, B; Sada, K; Krupanidhi, S B; Barpanda, P

    2018-03-15

    Sodium-ion thin-film micro-batteries form a niche sector of energy storage devices. Sodium titanate, Na 2 Ti 6 O 13 (NTO) thin films were deposited by pulsed laser deposition (PLD) using solid-state synthesized polycrystalline Na 2 Ti 6 O 13 compound. The phase-purity and crystallinity of NTO in bulk and thin-film forms were confirmed by Rietveld refinement. Electron microscopy and atomic force microscopy revealed the formation of uniform ∼100 nm thin film with roughness of ∼4 nm consisting of homogeneous nanoscale grains. These PLD-deposited NTO thin-films, when tested in Na-half cell architecture, delivered a near theoretical reversible capacity close to 42 mA h g -1 involving Ti 4+ /Ti 3+ redox activity along with good cycling stability and rate kinetics. Na 2 Ti 6 O 13 can work as an efficient and safe anode in designing sodium-ion thin-film micro-batteries. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Holmelund, E.; Schou, J.; Tougaard, S.; Larsen, N. B.

    2002-09-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced with Sn concentrations up to 16%. The specific resistivity is found to increase and the transmission of visible light to decrease with increasing Sn concentration.

  20. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films preparedmore » by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.« less

  1. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    PubMed

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  2. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  3. Structural and mechanical properties of Al-C-N films deposited at room temperature by plasma focus device

    NASA Astrophysics Data System (ADS)

    Z, A. Umar; R, Ahmad; R, S. Rawat; M, A. Baig; J, Siddiqui; T, Hussain

    2016-07-01

    The Al-C-N films are deposited on Si substrates by using a dense plasma focus (DPF) device with aluminum fitted central electrode (anode) and by operating the device with CH4/N2 gas admixture ratio of 1:1. XRD results verify the crystalline AlN (111) and Al3CON (110) phase formation of the films deposited using multiple shots. The elemental compositions as well as chemical states of the deposited Al-C-N films are studied using XPS analysis, which affirm Al-N, C-C, and C-N bonding. The FESEM analysis reveals that the deposited films are composed of nanoparticles and nanoparticle agglomerates. The size of the agglomerates increases at a higher number of focus deposition shots for multiple shot depositions. Nanoindentation results reveal the variation in mechanical properties (nanohardness and elastic modulus) of Al-C-N films deposited with multiple shots. The highest values of nanohardness and elastic modulus are found to be about 11 and 185 GPa, respectively, for the film deposited with 30 focus deposition shots. The mechanical properties of the films deposited using multiple shots are related to the Al content and C-N bonding.

  4. [Preparation and transmissivity of ZnS nanocolumn thin films with glancing angle deposition technology].

    PubMed

    Lu, Li-Fang; Xu, Zheng; Zhang, Fu-Jun; Zhao, Su-Ling; Song, Dan-Dan; Li, Jun-Ming; Wang, Yong-Sheng; Xu, Xu-Rong

    2010-02-01

    Nanocrystalline ZnS thin films were fabricated by glancing angle deposition (GLAD) technology in an electron beam evaporation system. Deposition was carried out in the custom vacuum chamber at a base pressure 3 x 10(-4) Pa, and the deposition rate was fixed at 0.2 nm x s(-1). ZnS films were deposited on pieces of indium tin oxide (ITO) substrates when the oblique angle of the substrate relative to the incoming molecular flux was set to 0 degrees, 80 degrees and 85 degrees off the substrate normal respectively. X-ray diffraction (XRD) spectra and scanning electron microscope (SEM) images showed that ZnS nanocrystalline films were formed on the substrates at different oblique angle, but the nanocolumn structure was only formed under the situation of alpha = 80 degrees and 85 degrees. The dynamics during the deposition process of the ZnS films at alpha = 0 degrees, 80 degrees and 85 degrees was analyzed. The transmitted spectra of ZnS thin films deposited on ITO substrates showed that the ZnS nanocolumn thin films could enhance the transmissivity in visible range. The ZnS nanocolumn could be used into electroluminescence device, and it would enhance the luminous efficiency of the device.

  5. Effect of oxygen deposition pressure and temperature on the structure and properties of pulsed laser-deposited La0.67Ca0.33MnOδ films

    NASA Astrophysics Data System (ADS)

    Horwitz, James S.; Dorsey, Paul C.; Koon, N. C.; Rubinstein, M.; Byers, J. M.; Gillespie, D. J.; Osofsky, Michael S.; Harris, V. G.; Grabowski, K. S.; Knies, D. L.; Donovan, Edward P.; Treece, Randolph E.; Chrisey, Douglas B.

    1996-04-01

    The effect of substrate temperature and oxygen deposition pressure on the structure and properties of thin films of LaxCa1-xMnO(delta ) has been investigated. Thin films (approximately 1000 angstroms) of La0.67Ca0.33MnO(delta ) were deposited onto LaAlO3 (100) substrates by pulsed laser deposition at a substrate temperature of 600 and 700 degree(s)C. A series of films were grown on different oxygen pressures, between 15 and 400 mTorr, which systematically changed the oxygen concentrations in the films. As-deposited films exhibited an oriented orthorhombic structure. At low oxygen deposition pressures films were preferentially (202) oriented. At high pressures deposited films had a (040) preferred orientation. A 900 degree(s)C anneal in flowing oxygen of a film deposited at low oxygen pressure resulted in a decrease in the a lattice parameter and a change in the preferred orientation from (202) to (040). Vacuum annealing at 550 degree(s)C resulted in an increase in the a lattice parameter. The resistivity as a function of temperature showed a significant variation as a function of growth conditions. The peak in the resistivity curve (Tm) varied between 73 and 150 K depending upon the growth conditions. The activation energy associated with the semiconducting phase was approximately the same for all films (approximately 100 meV).

  6. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  7. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    NASA Astrophysics Data System (ADS)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  8. Polyethylene-Carbon Nanotube Composite Film Deposited by Cold Spray Technique

    NASA Astrophysics Data System (ADS)

    Ata, Nobuhisa; Ohtake, Naoto; Akasaka, Hiroki

    2017-10-01

    Carbon nanotubes (CNTs) are high-performance materials because of their superior electrical conductivity, thermal conductivity, and self-lubrication, and they have been studied for application to polymer composite materials as fillers. However, the methods of fabricating polymer composites with CNTs, such as injection molding, are too complicated for industrial applications. We propose a simple cold spray (CS) technique to obtain a polymer composite of polyethylene (PE) and CNTs. The composite films were deposited by CS on polypropylene and nano-porous structured aluminum substrates. The maximum thickness of the composite film was approximately 1 mm. Peaks at G and D bands were observed in the Raman spectra of the films. Scanning electron microscopy images of the film surface revealed that PE particles were melted by the acceleration gas and CNTs were attached with melted PE. The PE particles solidified after contact with the substrate. These results indicate that PE-CNT composite films were successfully deposited on polypropylene and nano-porous structured aluminum substrates by CS.

  9. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    PubMed Central

    Wilson, Rachel L.; Blackman, Christopher S.; Carmalt, Claire J.; Stanoiu, Adelina; Di Maggio, Francesco

    2018-01-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated. PMID:29494504

  10. Cataphoretic assembly of cationic dyes and deposition of carbon nanotube and graphene films.

    PubMed

    Su, Y; Zhitomirsky, I

    2013-06-01

    Cathodic electrophoretic deposition (EPD) method has been developed for the fabrication of thin films from aqueous solutions of crystal violet (CV) dyes. The films contained rod-like particles with a long axis oriented perpendicular to the substrate surface. The proposed deposition mechanism involved cataphoresis of cationic CV(+) species, base generation in the cathodic reactions, and charge neutralization at the electrode surface. The assembly of rod-like particles was governed by π-π interactions of polyaromatic CV molecules. The deposition kinetics was studied by quartz crystal microbalance. CV dyes allowed efficient dispersion of multiwalled carbon nanotubes (MWCNTs) and graphene in water at relatively low CV concentrations. The feasibility of cathodic EPD of MWCNT and graphene from aqueous suspensions, containing CV, has been demonstrated. The deposition yield was investigated at different CV concentrations and deposition voltages. The relatively high deposition yield of MWCNT and graphene indicated that CV is an efficient dispersing, charging, and film forming agent for EPD. Electron microscopy data showed that at low CV concentrations in MWCNT or graphene suspensions and low deposition voltages, the films contained mainly MWCNT or graphene. The increase in the CV concentration and/or deposition voltage resulted in enhanced co-deposition of CV. The EPD method developed in this investigation paves the way for the fabrication of advanced nanocomposites by cathodic electrodeposition. Copyright © 2013 Elsevier Inc. All rights reserved.

  11. Internal Stress and Microstructure of Zinc Oxide Films Sputter-Deposited with Carbon Dioxide Gas

    NASA Astrophysics Data System (ADS)

    Toru Ashida,; Kazuhiro Kato,; Hideo Omoto,; Atsushi Takamatsu,

    2010-06-01

    The internal stress and microstructure of ZnO films were investigated as a function of carbon dioxide (CO2) gas flow ratio [CO2/(O2+CO2)] during sputter deposition. The internal stress of the ZnO films decreased with increasing CO2 gas flow ratio. The carbon concentration in the films deposited using CO2 gas increased by up to 4.0 at. %. Furthermore, the ZnO films deposited without CO2 gas exhibited a preferred orientation of (002); however, the C-doped ZnO films exhibited random orientations. These findings suggest that the C atoms incorporated in the ZnO crystal lattice induce this random orientation, thereby relaxing the internal stress of C-doped ZnO films.

  12. Effect of deposition temperature on thermal stabilities of copper-carbon films in barrier-less Cu metallization

    NASA Astrophysics Data System (ADS)

    Zhu, Huan; Fu, Zhiqiang; Xie, Qi; Yue, Wen; Wang, Chengbiao; Kang, Jiajie; Zhu, Lina

    2018-01-01

    Copper-carbon alloy films have been applied in barrier-less Cu metallization as seed layers for improving the thermal stabilities. The effect of the deposition temperature on the microstructure and properties of C-doped Cu films on Si substrates was investigated. The films were prepared by ion beam-assisted deposition at various deposition temperatures by co-sputtering of Cu and graphite targets. No inter-diffusion between Cu and Si was observed in Cu(C) films throughout this experiment, because XRD patterns corresponding to their deep-level reaction product, namely, Cu3Si, were not observed in XRD patterns and EDS results of Cu(C) films. Amorphous carbon layer and SiC layer were found in the interface of Cu(C) as-deposited films when deposition temperature rose to 100 °C by TEM, high-resolution image and Fourier transformation pattern. The Cu(C) films deposited at 100 °C had the best thermal stabilities and the lowest electrical resistivity of 4.44 μW cm after annealing at 400 °C for 1 h. Cu agglomeration was observed in Cu(C) alloy films with deposition temperatures of 200, 300 and 400 °C, and the most serious agglomeration occurred in Cu(C) films deposited at 200 °C. Undesired Cu agglomeration resulted in a sharp increase in the resistivity after annealing at 300 °C for 1 h. The deposition temperature of 100 °C reflected the superior thermal stabilities of Cu(C) seed layers compared with those of other layers.

  13. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J.; Halpern, B.L.

    1994-10-18

    A method and apparatus are disclosed for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases. 8 figs.

  14. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, Jerome J.; Halpern, Bret L.

    1994-01-01

    A method and apparatus for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases.

  15. Microstructure of ZnO Thin Films Deposited by High Power Impulse Magnetron Sputtering (Postprint)

    DTIC Science & Technology

    2015-03-01

    AFRL-RX-WP-JA-2015-0185 MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON SPUTTERING (POSTPRINT) A. N. Reed...COVERED (From – To) 29 January 2013 – 16 February 2015 4. TITLE AND SUBTITLE MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON...ABSTRACT High power impulse magnetron sputtering was used to deposit thin (~100 nm) zinc oxide (ZnO) films from a ceramic ZnO target onto substrates

  16. Synthesis and application of ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) films using electrophoretic deposition

    DOE PAGES

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; ...

    2016-11-02

    In this paper, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-raymore » diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (P r) of around 4 μC/cm 2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates.« less

  17. Synthesis and Application of Ferroelectric Poly(Vinylidene Fluoride-co-Trifluoroethylene) Films using Electrophoretic Deposition

    PubMed Central

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; Park, Eugene; Hong, Seungbum

    2016-01-01

    In this study, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (Pr) of around 4 μC/cm2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates. PMID:27805008

  18. Separation of Zirconium and Hafnium: A Review

    NASA Astrophysics Data System (ADS)

    Xu, L.; Xiao, Y.; van Sandwijk, A.; Xu, Q.; Yang, Y.

    Zirconium is an ideal material for nuclear reactors due to its low absorption cross-section for thermal neutrons, whereas the typically contained hafnium with strong neutron-absorption is very harmful for zirconium. This paper provides an overview of the processes for separating hafnium from zirconium. The separation processes are roughly classified into hydro- and pyrometallurgical routes. The current dominant zirconium production route involves pyrometallurgical ore cracking, multi-step hydrometallurgical liquid-liquid extraction for hafnium removal and the reduction of zirconium tetrachloride to the pure metal by the Kroll process. The lengthy hydrometallurgical Zr-Hf separation operations leads to high production cost, intensive labour and heavy environmental burden. Using a compact pyrometallurgical separation method can simplify the whole production flowsheet with a higher process efficiency. The known separation methods are discussed based on the following reaction features: redox characteristics, volatility, electrochemical properties and molten salt extraction. The commercially operating extractive distillation process is a significant advance in Zr-Hf separation technology but it suffers from high process maintenance cost. The recently developed new process based on molten salt-metal equilibrium for Zr-Hf separation shows a great potential for industrial application, which is compact for nuclear grade zirconium production starting from crude ore. In the present paper, the available separation technologies are compared. The advantages and disadvantages as well as future directions of research and development for nuclear grade zirconium production are discussed.

  19. Effect of film thickness on structural and mechanical properties of AlCrN nanocompoite thin films deposited by reactive DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Ravi; Kaur, Davinder, E-mail: dkaurfph@iitr.ac.in

    2016-05-06

    In this study, the influence of film thickness on the structural, surface morphology and mechanical properties of Aluminum chromium nitride (AlCrN) thin films has been successfully investigated. The AlCrN thin films were deposited on silicon (100) substrate using dc magnetron reactive co-sputtering at substrate temperature 400° C. The structural, surface morphology and mechanical properties were studied using X-ray diffraction, field-emission scanning electron microscopy and nanoindentation techniques respectively. The thickness of these thin films was controlled by varying the deposition time therefore increase in deposition time led to increase in film thickness. X-ray diffraction pattern of AlCrN thin films with differentmore » deposition time shows the presence of (100) and (200) orientations. The crystallite size varies in the range from 12.5 nm to 36.3 nm with the film thickness due to surface energy minimization with the higher film thickness. The hardness pattern of these AlCrN thin films follows Hall-Petch relation. The highest hardness 23.08 Gpa and young modulus 215.31 Gpa were achieved at lowest grain size of 12.5 nm.« less

  20. Titanium dioxide thin films by atomic layer deposition: a review

    NASA Astrophysics Data System (ADS)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  1. TiCN thin films grown by reactive crossed beam pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Escobar-Alarcón, L.; Camps, E.; Romero, S.; Muhl, S.; Camps, I.; Haro-Poniatowski, E.

    2010-12-01

    In this work, we used a crossed plasma configuration where the ablation of two different targets in a reactive atmosphere was performed to prepare nanocrystalline thin films of ternary compounds. In order to assess this alternative deposition configuration, titanium carbonitride (TiCN) thin films were deposited. Two crossed plasmas were produced by simultaneously ablating titanium and graphite targets in an Ar/N2 atmosphere. Films were deposited at room temperature onto Si (100) and AISI 4140 steel substrates whilst keeping the ablation conditions of the Ti target constant. By varying the laser fluence on the carbon target it was possible to study the effect of the carbon plasma on the characteristics of the deposited TiCN films. The structure and composition of the films were analyzed by X-ray Diffraction, Raman Spectroscopy and non-Rutherford Backscattering Spectroscopy. The hardness and elastic modulus of the films was also measured by nanoindentation. In general, the experimental results showed that the TiCN thin films were highly oriented in the (111) crystallographic direction with crystallite sizes as small as 6.0 nm. It was found that the hardness increased as the laser fluence was increased, reaching a maximum value of about 33 GPa and an elastic modulus of 244 GPa. With the proposed configuration, the carbon content could be easily varied from 42 to 5 at.% by changing the laser fluence on the carbon target.

  2. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  3. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  4. Magnetism of Amorphous and Nano-Crystallized Dc-Sputter-Deposited MgO Thin Films

    PubMed Central

    Mahadeva, Sreekanth K.; Fan, Jincheng; Biswas, Anis; Sreelatha, K.S.; Belova, Lyubov; Rao, K.V.

    2013-01-01

    We report a systematic study of room-temperature ferromagnetism (RTFM) in pristine MgO thin films in their amorphous and nano-crystalline states. The as deposited dc-sputtered films of pristine MgO on Si substrates using a metallic Mg target in an O2 containing working gas atmosphere of (N2 + O2) are found to be X-ray amorphous. All these films obtained with oxygen partial pressure (PO2) ~10% to 80% while maintaining the same total pressure of the working gas are found to be ferromagnetic at room temperature. The room temperature saturation magnetization (MS) value of 2.68 emu/cm3 obtained for the MgO film deposited in PO2 of 10% increases to 9.62 emu/cm3 for film deposited at PO2 of 40%. However, the MS values decrease steadily for further increase of oxygen partial pressure during deposition. On thermal annealing at temperatures in the range 600 to 800 °C, the films become nanocrystalline and as the crystallite size grows with longer annealing times and higher temperature, MS decreases. Our study clearly points out that it is possible to tailor the magnetic properties of thin films of MgO. The room temperature ferromagnetism in MgO films is attributed to the presence of Mg cation vacancies. PMID:28348346

  5. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  6. Deposition of device quality low H content, amorphous silicon films

    DOEpatents

    Mahan, A.H.; Carapella, J.C.; Gallagher, A.C.

    1995-03-14

    A high quality, low hydrogen content, hydrogenated amorphous silicon (a-Si:H) film is deposited by passing a stream of silane gas (SiH{sub 4}) over a high temperature, 2,000 C, tungsten (W) filament in the proximity of a high temperature, 400 C, substrate within a low pressure, 8 mTorr, deposition chamber. The silane gas is decomposed into atomic hydrogen and silicon, which in turn collides preferably not more than 20--30 times before being deposited on the hot substrate. The hydrogenated amorphous silicon films thus produced have only about one atomic percent hydrogen, yet have device quality electrical, chemical, and structural properties, despite this lowered hydrogen content. 7 figs.

  7. Deposition of device quality low H content, amorphous silicon films

    DOEpatents

    Mahan, Archie H.; Carapella, Jeffrey C.; Gallagher, Alan C.

    1995-01-01

    A high quality, low hydrogen content, hydrogenated amorphous silicon (a-Si:H) film is deposited by passing a stream of silane gas (SiH.sub.4) over a high temperature, 2000.degree. C., tungsten (W) filament in the proximity of a high temperature, 400.degree. C., substrate within a low pressure, 8 mTorr, deposition chamber. The silane gas is decomposed into atomic hydrogen and silicon, which in turn collides preferably not more than 20-30 times before being deposited on the hot substrate. The hydrogenated amorphous silicon films thus produced have only about one atomic percent hydrogen, yet have device quality electrical, chemical, and structural properties, despite this lowered hydrogen content.

  8. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  9. Studies on the high electronic energy deposition in polyaniline thin films

    NASA Astrophysics Data System (ADS)

    Deshpande, N. G.; Gudage, Y. G.; Vyas, J. C.; Singh, F.; Sharma, Ramphal

    2008-05-01

    We report here the physico-chemical changes brought about by high electronic energy deposition of gold ions in HCl doped polyaniline (PANI) thin films. PANI thin films were synthesized by in situ polymerization technique. The as-synthesized PANI thin films of thickness 160 nm were irradiated using Au7+ ion of 100 MeV energy at different fluences, namely, 5 × 1011 ions/cm2 and 5 × 1012 ions/cm2, respectively. A significant change was seen after irradiation in electrical and photo conductivity, which may be related to increased carrier concentration, and structural modifications in the polymer film. In addition, the high electronic energy deposition showed other effects like cross-linking of polymer chains, bond breaking and creation of defect sites. AFM observations revealed mountainous type features in all (before and after irradiation) PANI samples. The average size (diameter) and density of such mountainous clusters were found to be related with the ion fluence. The AFM profiles also showed change in the surface roughness of the films with respect to irradiation, which is one of the peculiarity of the high electronic energy deposition technique.

  10. HA/Bioglass composite films deposited by pulsed laser with different substrate temperature

    NASA Astrophysics Data System (ADS)

    Wang, D. G.; Chen, C. Z.; Jin, Q. P.; Li, H. C.; Pan, Y. K.

    2014-03-01

    In this experiment, the HA/Bioglass composite films on Ti-6Al-4V were deposited by a pulsed laser at Ar atmosphere, and the influence of substrate temperature on the morphology, phase constitutions, bonding configurations and adhesive strength of the films was studied. The obtained films were characterized by an electron probe microanalyzer (EPMA), scanning electron microscope (SEM), X-ray diffractometer (XRD), Fourier transform infrared spectrometer (FTIR), scratch apparatus, and so on. The results show that the amount of the droplets, the crystallinity, and the critical load of the deposited films all increase with the increase of the substrate temperature; however, the substrate temperature has little influence on the functional groups of the films.

  11. Process for thin film deposition of cadmium sulfide

    DOEpatents

    Muruska, H. Paul; Sansregret, Joseph L.; Young, Archie R.

    1982-01-01

    The present invention teaches a process for depositing layers of cadmium sulfide. The process includes depositing a layer of cadmium oxide by spray pyrolysis of a cadmium salt in an aqueous or organic solvent. The oxide film is then converted into cadmium sulfide by thermal ion exchange of the O.sup.-2 for S.sup.-2 by annealing the oxide layer in gaseous sulfur at elevated temperatures.

  12. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    NASA Astrophysics Data System (ADS)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  13. Thick adherent dielectric films on plastic substrates and method for depositing same

    DOEpatents

    Wickboldt, Paul; Ellingboe, Albert R.; Theiss, Steven D.; Smith, Patrick M.

    2002-01-01

    Thick adherent dielectric films deposited on plastic substrates for use as a thermal barrier layer to protect the plastic substrates from high temperatures which, for example, occur during laser annealing of layers subsequently deposited on the dielectric films. It is desirable that the barrier layer has properties including: a thickness of 1 .mu.m or greater, adheres to a plastic substrate, does not lift-off when cycled in temperature, has few or no cracks and does not crack when subjected to bending, resistant to lift-off when submersed in fluids, electrically insulating and preferably transparent. The thick barrier layer may be composed, for example, of a variety of dielectrics and certain metal oxides, and may be deposited on a variety of plastic substrates by various known deposition techniques. The key to the method of forming the thick barrier layer on the plastic substrate is maintaining the substrate cool during deposition of the barrier layer. Cooling of the substrate maybe accomplished by the use of a cooling chuck on which the plastic substrate is positioned, and by directing cooling gas, such as He, Ar and N.sub.2, between the plastic substrate and the cooling chucks. Thick adherent dielectric films up to about 5 .mu.m have been deposited on plastic substrates which include the above-referenced properties, and which enable the plastic substrates to withstand laser processing temperatures applied to materials deposited on the dielectric films.

  14. Optically active Er-Yb doped glass films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Serna, R.; Ballesteros, J. M.; Jiménez de Castro, M.; Solis, J.; Afonso, C. N.

    1998-08-01

    Active rare-earth Er3+-Yb3+ co-doped phosphate glass films are produced in a single step by pulsed laser deposition. The films are multimode waveguides and exhibit the highest refractive index, optical density and 1.54 μm photoluminescence intensity and lifetime when deposited at low oxygen pressure (Pox⩽4×10-5 Torr). The density of the films obtained under these conditions is higher than that of the target material as a consequence of the high kinetic energy of the species generated during ablation. Luminescent emission can be excited by optical pumping the Er3+ ions either directly or through cross-relaxation of the Yb3+. Post-deposition annealing allows us to improve the luminescence performance.

  15. Non-conventional photocathodes based on Cu thin films deposited on Y substrate by sputtering

    NASA Astrophysics Data System (ADS)

    Perrone, A.; D'Elia, M.; Gontad, F.; Di Giulio, M.; Maruccio, G.; Cola, A.; Stankova, N. E.; Kovacheva, D. G.; Broitman, E.

    2014-07-01

    Copper (Cu) thin films were deposited on yttrium (Y) substrate by sputtering. During the deposition, a small central area of the Y substrate was shielded to avoid the film deposition and was successively used to study its photoemissive properties. This configuration has two advantages: the cathode presents (i) the quantum efficiency and the work function of Y and (ii) high electrical compatibility when inserted into the conventional radio-frequency gun built with Cu bulk. The photocathode was investigated by scanning electron microscopy to determine surface morphology. X-ray diffraction and atomic force microscopy studies were performed to compare the structure and surface properties of the deposited film. The measured electrical resistivity value of the Cu film was similar to that of high purity Cu bulk. Film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Finally, the photoelectron performance in terms of quantum efficiency was obtained in a high vacuum photodiode cell before and after laser cleaning procedures. A comparison with the results obtained with a twin sample prepared by pulsed laser deposition is presented and discussed.

  16. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    PubMed

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  17. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  18. Substrates suitable for deposition of superconducting thin films

    DOEpatents

    Feenstra, Roeland; Boatner, Lynn A.

    1993-01-01

    A superconducting system for the lossless transmission of electrical current comprising a thin film of superconducting material Y.sub.1 Ba.sub.2 Cu.sub.3 O.sub.7-x epitaxially deposited upon a KTaO.sub.3 substrate. The KTaO.sub.3 is an improved substrate over those of the prior art since the it exhibits small lattice constant mismatch and does not chemically react with the superconducting film.

  19. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Astrophysics Data System (ADS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-06-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  20. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Technical Reports Server (NTRS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-01-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  1. Characterization of rhenium nitride films produced by reactive pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soto, G.; Rosas, A.; Farias, M.H.

    2007-06-15

    Rhenium nitride (ReN {sub x}) films were grown on (100)-Si substrates by the reactive pulsed laser deposition (PLD) method using a high purity Re rod in an environment of molecular nitrogen. The resulting films are characterized by several techniques, which include in situ Auger electron spectroscopy, X-ray photoelectron spectroscopy and ex situ X-ray diffraction, scanning electron and atomic force microscopy. Additionally, the four-probe method is used to determine the sheet resistance of deposited layers. Results show that films with N/Re ratios (x) lower than 1.3 are very good conductors. In fact, the resistivity of ReN films for 0.2 < xmore » < 1.3 is of the order of 5% of that of Re films, while at x = 1.3 there is an abrupt increment in resistivity, resulting in dielectric films for 1.3 < x < 1.35. These results differ from the prior understanding that in transition metals, resistivity should increase with nitrogen incorporation.« less

  2. Metal copper films deposited on cenosphere particles by magnetron sputtering method

    NASA Astrophysics Data System (ADS)

    Yu, Xiaozheng; Xu, Zheng; Shen, Zhigang

    2007-05-01

    Metal copper films with thicknesses from several nanometres to several micrometres were deposited on the surface of cenosphere particles by the magnetron sputtering method under different working conditions. An ultrasonic vibrating generator equipped with a conventional magnetron sputtering apparatus was used to prevent the cenosphere substrates from accumulating during film growth. The surface morphology, the chemical composition, the average grain size and the crystallization of cenosphere particles were characterized by field emission scanning electron microscopy (FE-SEM), inductively coupled plasma-atom emission spectrometer, x-ray photoelectron spectroscopy and x-ray diffraction (XRD) analysis, respectively, before and after the plating process. The results indicate that the copper films were successfully deposited on cenosphere particles. It was found from the FE-SEM results that the films were well compacted and highly uniform in thickness. The XRD results show that the copper film coated on cenospheres has a face centred cubic structure and the crystallization of the film sample increases with increasing sputtering power.

  3. High P-T phase transitions and P-V-T equation of state of hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hrubiak, Rostislav; Drozd, Vadym; Karbasi, Ali

    2016-07-29

    We measured the volume of hafnium at several pressures up to 67 GPa and at temperatures between 300 to 780 K using a resistively heated diamond anvil cell with synchrotron x-ray diffraction at the Advanced Photon Source. The measured data allows us to determine the P-V-T equation of state of hafnium. The previously described [Xia et al., Phys. Rev. B 42, 6736-6738 (1990)] phase transition from hcp ({alpha}) to simple hexagonal ({omega}) phase at 38 GPa at room temperature was not observed even up to 51 GPa. The {omega} phase was only observed at elevated temperatures. Our measurements have alsomore » improved the experimental constraint on the high P-T phase boundary between the {omega} phase and high pressure bcc ({beta}) phase of hafnium. Isothermal room temperature bulk modulus and its pressure derivative for the {alpha}-phase of hafnium were measured to be B{sub 0} = 112.9{+-}0.5 GPa and B{sub 0}'=3.29{+-}0.05, respectively. P-V-T data for the {alpha}-phase of hafnium was used to obtain a fit to a thermodynamic P-V-T equation of state based on model by Brosh et al. [CALPHAD 31, 173-185 (2007)].« less

  4. Pulsed Laser Deposited Ferromagnetic Chromium Dioxide thin Films for Applications in Spintronics

    NASA Astrophysics Data System (ADS)

    Dwivedi, S.; Jadhav, J.; Sharma, H.; Biswas, S.

    Stable rutile type tetragonal chromium dioxide (CrO2) thin films have been deposited on lattice-matched layers of TiO2 by KrF excimer laser based pulsed laser deposition (PLD) technique using Cr2O3 target. The TiO2 seed layer was deposited on oxidized Si substrates by the same PLD process followed by annealing at 1100 °C for 4 h. The lattice-matched interfacial layer is required for the stabilization of Cr (IV) phase in CrO2, since CrO2 behaves as a metastable compound under ambient conditions and readily converts into its stable phase of Cr (III) oxide, Cr2O3. Analyses with X-ray diffraction (XRD), Glancing-angle XRD (GIXRD), Raman spectroscopy and grazing-angle Fourier transform infra-red (FTIR) spectroscopy confirm the presence of tetragonal CrO2 phase in the as-deposited films. Microstructure and surface morphology in the films were studied with field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). Electrical and magnetic characterizations of the films were performed at room temperature. Such type of stable half-metallic CrO2 thin films with low field magnetoresistive switching behaviour are in demand for applications as diverse as spin-FETs, magnetic sensors, and magneto-optical devices.

  5. Thermoelectric properties of V2O5 thin films deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Santos, R.; Loureiro, J.; Nogueira, A.; Elangovan, E.; Pinto, J. V.; Veiga, J. P.; Busani, T.; Fortunato, E.; Martins, R.; Ferreira, I.

    2013-10-01

    This work reports the structural, optical, electrical and thermoelectric properties of vanadium pentoxide (V2O5) thin films deposited at room temperature by thermal evaporation on Corning glass substrates. A post-deposition thermal treatment up to 973 K under atmospheric conditions induces the crystallization of the as-deposited amorphous films with an orthorhombic V2O5 phase with grain sizes around 26 nm. As the annealing temperature rises up to 773 K the electrical conductivity increases. The films exhibit thermoelectric properties with a maximum Seebeck coefficient of -218 μV/K and electrical conductivity of 5.5 (Ω m)-1. All the films show NIR-Vis optical transmittance above 60% and optical band gap of 2.8 eV.

  6. Characterization of Diamond-like Carbon (DLC) films deposited by RF ICP PECVD method

    NASA Astrophysics Data System (ADS)

    Oleszkiewicz, Waldemar; Kijaszek, Wojciech; Gryglewicz, Jacek; Zakrzewski, Adrian; Gajewski, Krzysztof; Kopiec, Daniel; Kamyczek, Paulina; Popko, Ewa; Tłaczała, Marek

    2013-07-01

    The work presents the results of a research carried out with Plasmalab Plus 100 system, manufactured by Oxford Instruments Company. The system was configured for deposition of diamond-like carbon films by ICP PECVD method. The deposition processes were carried out in CH4 or CH4/H2 atmosphere and the state of the plasma was investigated by the OES method. The RF plasma was capacitively coupled by 13.56 MHz generator with supporting ICP generator (13.56 Mhz). The deposition processes were conducted in constant value of RF generator's power and resultant value of the DC Bias. The power values of RF generator was set at 70 W and the power values of ICP generator was set at 300 W. In this work we focus on the influence of DLC film's thickness on optical, electrical and structural properties of the deposited DLC films. The quality of deposited DLC layers was examined by the Raman spectroscopy, AFM microscopy and spectroscopic ellipsometry. In the investigated DLC films the calculated sp3 content was ranging from 60 % to 70 %. The films were characterized by the refractive index ranging from 2.03 to 2.1 and extinction coefficient ranging from 0.09 to 0.12.

  7. Glancing-angle-deposited magnesium oxide films for high-fluence applications

    DOE PAGES

    Oliver, J. B.; Smith, C.; Spaulding, J.; ...

    2016-06-15

    Here, Birefringent magnesium oxide thin films are formed by glancing angle deposition to perform as quarter-wave plates at a wavelength of 351 nm. These films are being developed to fabricate a large aperture distributed-polarization rotator for use in vacuum, with an ultimate laser-damage–threshold goal of up to 12 J/cm 2 for a 5-ns flat-in-time pulse. The laser-damage threshold, ease of deposition, and optical film properties are evaluated. While the measured large-area laser-damage threshold is limited to ~4 J/cm 2 in vacuum, initial results based on small-spot testing in air (>20 J/cm 2) suggest MgO may be suitable with further processmore » development.« less

  8. Low-Temperature Atomic Layer Deposition of MoS2 Films.

    PubMed

    Jurca, Titel; Moody, Michael J; Henning, Alex; Emery, Jonathan D; Wang, Binghao; Tan, Jeffrey M; Lohr, Tracy L; Lauhon, Lincoln J; Marks, Tobin J

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe 2 ) 4 with H 2 S for the low-temperature synthesis of MoS 2 . This observation motivated an investigation of Mo(NMe 2 ) 4 as a volatile precursor for the atomic layer deposition (ALD) of MoS 2 thin films. Herein we report that Mo(NMe 2 ) 4 enables MoS 2 film growth at record low temperatures-as low as 60 °C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Crystallization Mechanism and Charge Carrier Transport in MAPLE-Deposited Conjugated Polymer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Ban Xuan; Strzalka, Joseph; Jiang, Zhang

    Although spin casting and chemical surface reactions are the most common methods used for fabricating functional polymer films onto substrates, they are limited with regard to producing films of certain morphological characteristics on different wetting and nonwetting substrates. The matrix-assisted pulsed laser evaporation (MAPLE) technique offers advantages with regard to producing films of different morphologies on different types of substrates. Here, we provide a quantitative characterization, using X-ray diffraction and optical methods, to elucidate the additive growth mechanism of MAPLE-deposited poly(3-hexylthiophene) (P3HT) films on substrates that have undergone different surface treatments, enabling them to possess different wettabilities. We show thatmore » MAPLE-deposited films are composed of crystalline phases, wherein the overall P3HT aggregate size and crystallite coherence length increase with deposition time. A complete pole figure constructed from X-ray diffraction measurements reveals that in these MAPLE-deposited films, there exist two distinct crystallite populations: (i) highly oriented crystals that grow from the flat dielectric substrate and (ii) misoriented crystals that preferentially grow on top of the existing polymer layers. The growth of the highly oriented crystals is highly sensitive to the chemistry of the substrate, whereas the effect of substrate chemistry on misoriented crystal growth is weaker. The use of a self-assembled monolayer to treat the substrate greatly enhances the population and crystallite coherence length at the buried interfaces, particularly during the early stage of deposition. Furthermore, the evolution of the in-plane carrier mobilities during the course of deposition is consistent with the development of highly oriented crystals at the buried interface, suggesting that this interface plays a key role toward determining carrier transport in organic thin-film transistors.« less

  10. Crystallization Mechanism and Charge Carrier Transport in MAPLE-Deposited Conjugated Polymer Thin Films

    DOE PAGES

    Dong, Ban Xuan; Strzalka, Joseph; Jiang, Zhang; ...

    2017-11-23

    Although spin casting and chemical surface reactions are the most common methods used for fabricating functional polymer films onto substrates, they are limited with regard to producing films of certain morphological characteristics on different wetting and nonwetting substrates. The matrix-assisted pulsed laser evaporation (MAPLE) technique offers advantages with regard to producing films of different morphologies on different types of substrates. Here, we provide a quantitative characterization, using X-ray diffraction and optical methods, to elucidate the additive growth mechanism of MAPLE-deposited poly(3-hexylthiophene) (P3HT) films on substrates that have undergone different surface treatments, enabling them to possess different wettabilities. We show thatmore » MAPLE-deposited films are composed of crystalline phases, wherein the overall P3HT aggregate size and crystallite coherence length increase with deposition time. A complete pole figure constructed from X-ray diffraction measurements reveals that in these MAPLE-deposited films, there exist two distinct crystallite populations: (i) highly oriented crystals that grow from the flat dielectric substrate and (ii) misoriented crystals that preferentially grow on top of the existing polymer layers. The growth of the highly oriented crystals is highly sensitive to the chemistry of the substrate, whereas the effect of substrate chemistry on misoriented crystal growth is weaker. The use of a self-assembled monolayer to treat the substrate greatly enhances the population and crystallite coherence length at the buried interfaces, particularly during the early stage of deposition. Furthermore, the evolution of the in-plane carrier mobilities during the course of deposition is consistent with the development of highly oriented crystals at the buried interface, suggesting that this interface plays a key role toward determining carrier transport in organic thin-film transistors.« less

  11. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  12. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  13. Deposition of silicon carbide thin films by pulsed excimer laser ablation technique in the 25-700°C deposition temperature range

    NASA Astrophysics Data System (ADS)

    El Khakani, My A.; Gat, E.; Beaudoin, Yves; Chaker, Mohamed; Monteil, C.; Guay, Daniel; Letourneau, G.; Pepin, Henri

    1995-04-01

    Laser ablation deposition technique was used to deposit silicon carbide thin films on both Si(100) and quartz substrates. The deposition was accomplished by ablating SiC sintered ceramic targets, using a KrF (248 nm) excimer laser. At a laser intensity of about 1 X 109 W/cm2, substrate temperatures in the (25-700) degree(s)C range were investigated. When the deposition temperature is varied from 27 to 650 degree(s)C, (i) the density of a-SiC films increases from 2.6 to 3.0 g cm-3, while their mean roughness value (for a film thickness of about 1 micrometers ) slightly changes from 0.44 to 0.5 nm; (ii) the optical transmission of a-SiC films is significantly improved (the absorption coefficient at 632.8 nm wavelength was reduced by a factor of about 5); and (iii) their Si-C bond density, as determined by FTIR spectroscopy, increases from (13.1 +/- 1.3) to (23.4 +/- 2.4) 1022 bond cm-3. The increased number of Si-C bonds is correlated to the increase of the optical transmission. Over all the investigated deposition temperature range, the a-SiC films were found to be under high compressive stress around a mean value of about 1.26 GPa. The control of the stress of a-SiC films was achieved by means of post- thermal annealings and the annealed a-SiC films were successfully used to fabricate x-ray membranes.

  14. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  15. Cu-Doped ZnO Thin Films Grown by Co-deposition Using Pulsed Laser Deposition for ZnO and Radio Frequency Sputtering for Cu

    NASA Astrophysics Data System (ADS)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-05-01

    Cu-doped ZnO (CZO) thin films were fabricated on single-crystalline (0001) Al2O3 substrates by co-deposition using pulsed laser deposition for ZnO and radio frequency sputtering for Cu. CZO thin films with 0-20% molar concentrations are obtained by adjusting the deposition rates of ZnO and Cu. The CZO thin films exhibit room temperature ferromagnetism, and CZO with 5% Cu molar concentration has maximum remanent magnetization, which is consistent with theoretical results.

  16. Deposition and characterization of far-infrared absorbing gold black films

    NASA Technical Reports Server (NTRS)

    Advena, Donna J.; Bly, Vincent T.; Cox, J. T.

    1993-01-01

    A process is described for producing gold black films with high absorptance in the far IR. The optical and electrical properties of these films have been studied with particular emphasis on the absorptance of films at wavelengths as long as 50 microns. A substantial decrease in absorptance near 50 microns has been observed for pure gold black films on aging in air. This degradation can be largely avoided by alloying the gold with a small percentage of copper during the deposition. Preliminary results on two methods for delineating gold black films are also presented.

  17. High mobility n-type organic thin-film transistors deposited at room temperature by supersonic molecular beam deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiarella, F., E-mail: fabio.chiarella@spin.cnr.it; Barra, M.; Ciccullo, F.

    In this paper, we report on the fabrication of N,N′-1H,1H-perfluorobutil dicyanoperylenediimide (PDIF-CN{sub 2}) organic thin-film transistors by Supersonic Molecular Beam Deposition. The devices exhibit mobility up to 0.2 cm{sup 2}/V s even if the substrate is kept at room temperature during the organic film growth, exceeding by three orders of magnitude the electrical performance of those grown at the same temperature by conventional Organic Molecular Beam Deposition. The possibility to get high-mobility n-type transistors avoiding thermal treatments during or after the deposition could significantly extend the number of substrates suitable to the fabrication of flexible high-performance complementary circuits by using this compound.

  18. Investigation of the optical property and structure of WO3 thin films with different sputtering depositions

    NASA Astrophysics Data System (ADS)

    Chen, Hsi-Chao; Jan, Der-Jun; Chen, Chien-Han; Huang, Kuo-Ting; Lo, Yen-Ming; Chen, Sheng-Hui

    2011-09-01

    The purpose of this research was to compare the optical properties and structure of tungsten oxide (WO3) thin films that was deposited by different sputtering depositions. WO3 thin films deposited by two different depositions of direct current (DC) magnetron sputtering and pulsed DC sputtering. A 99.95% WO3 target was used as the starting material for these depositions. These WO3 thin films were deposited on the ITO glass, PET and silicon substrate by different ratios of oxygen and argon. A shadow moiré interferometer would be introduced to measure the residual stress for PET substrate. RF magnetron sputtering had the large residual stress than the other's depositions. A Raman spectrum could exhibit the phase of oxidation of WO3 thin film by different depositions. At the ratio of oxygen and argon was about 1:1, and the WO3 thin films had the best oxidation. However, it was important at the change of the transmittance (ΔT = Tbleached - Tcolored) between the coloring and bleaching for the smart window. Therefore, we also found the WO3 thin films had the large variation of transmittance between the coloring and bleaching at the gas ratios of oxygen and argon of 1:1.

  19. Investigations into the formation of nanocrystalline quantum dot thin films by mist deposition process

    NASA Astrophysics Data System (ADS)

    Kshirsagar, Aditya

    Semiconductor nanocrystalline quantum dots (NQDs) have material properties remarkably different compared to bulk semiconductors with the same material composition. These NQDs have various novel applications in the electronic and photonic industry, such as light emitting diodes (LEDs) and flat-panel displays. In these applications, ultra-thin films of NQDs in the monolayer regime are needed to ensure optimal current transport properties and device efficiency. There is ongoing search to find a suitable method to deposit and pattern such ultra-thin films of quantum dots with few monolayer thicknesses. Several competing approaches are available, each with its pros and cons. This study explores mist deposition as the technique to fill this void. In this study, ultra-thin films of quantum dots are deposited on diverse substrates and are characterized to understand the mechanics of mist deposition. Various applications of blanket deposited and patterned quantum dot films are studied. The results discussed here include atomic force microscopy analysis of the films to study surface morphology, fluorescence microscopy to study light emission and optical microscope images to study patterning techniques. These results demonstrate the ability of mist deposition to form 1-4 monolayers thick, uniform, defect-free patterned films with root mean square (RMS) surface roughness less than 2 nm. LEDs fabricated using mist deposition show a peak luminescence greater than 500 cd/m2 for matched red, yellow and green devices using Alq3 as the electron transport layer, and over 9000 cd/m2 for red devices using ZnO as the electron transport layer, respectively. In addition to the experimental approach to study the process and explore potential applications, simulation and modeling are carried out to understand the various aspects of mist deposition. A mathematical model is presented which discusses the atomization process of the precursor solution, the physics involved during the deposition

  20. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  1. Physical properties of a non-transparent cadmium oxide thick film deposited at low fluence by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quiñones-Galván, J.G., E-mail: erk_183@hotmail.com; Lozada-Morales, R.; Jiménez-Sandoval, S.

    Highlights: • A non-transparent cadmium oxide film has been deposited by pulsed laser deposition. • The CdO film is polycrystalline and highly oriented in the (2 0 0) direction. • Thermal treatment was applied in order to see the effect on its physical properties. - Abstract: A stable non-transparent CdO film was grown by pulsed laser deposition. The sample was thermally annealed at 500 °C in air. A (2 0 0) highly oriented polycrystalline film was obtained. The annealed sample has not preferred orientation. Scanning electron micrographs show a grain size reduction for the annealed sample. By Raman spectroscopy, themore » defects related second order vibrational modes of CdO were observed. Chemical composition analysis shows the presence of CdO together with a substoichiometric CdO{sub x} phase for the as-grown sample. For the annealed sample a compensation of oxygen vacancies was observed. Electrical resistivity measurements give a value of 8.602 × 10{sup −4} (Ω cm) for the as-grown film. For the annealed sample the electrical resistivity increased to a value of 9.996 × 10{sup −3} (Ω cm). Zero transmission has never been reported for CdO films. The photoluminescence spectra were measured in order to shed some light on the origin of the zero transmission.« less

  2. Some studies on TiO2 films deposited by sol-gel technique

    NASA Astrophysics Data System (ADS)

    Narasimha Rao, K.; Vishwas, M.; Kumar Sharma, Sudhir; Arjuna Gowda, K. V.

    2008-08-01

    TiO2 films are extensively used in various applications including optical multi-layers, sensors, photo catalysis, environmental purification, and solar cells etc. These are prepared by both vacuum and non-vacuum methods. In this paper, we present the results on TiO2 thin films prepared by a sol-gel spin coating process in non-aqueous solvent. Titanium isopropoxide is used as TiO2 precursor. The films were annealed at different temperatures up to 3000 C for 5 hours in air. The influence of the various deposition parameters like spinning speed, spinning time and annealing temperature on the thickness of the TiO2 films has been studied. The variation of film thickness with time in ambient atmosphere was also studied. The optical, structural and morphological characteristics were investigated by optical transmittance-reflectance measurements, X-ray diffraction (XRD) and scanning electron microscopy (SEM) respectively. The refractive index and extinction coefficient of the films were determined by envelope technique and spectroscopic ellipsometry. TiO2 films exhibited high transparency (92%) in the visible region with a refractive index of 2.04 at 650 nm. The extinction coefficient was found to be negligibly small. The X-ray diffraction analysis showed that the TiO2 film deposited on glass substrate changes from amorphous to crystalline (anatase) phase with annealing temperature above 2500 C. SEM results show that the deposited films are uniform and crack free.

  3. Low-loss deposition of solgel-derived silica films on tapered fibers.

    PubMed

    Kakarantzas, G; Leon-Saval, S G; Birks, T A; Russell, P St J

    2004-04-01

    Films of porous silica are deposited on the uniform waists of tapered fibers in minutes by a modified solgel dip coating method, inducing less than 0.2 dB of loss. The coated tapers are an ideal platform for realizing all-fiber devices that exploit evanescent-field interactions with the deposited porous film. As an example we demonstrate structural long-period gratings in which a periodic index variation in the film arises from the porosity variation produced by spatially varying exposure of the waist to a scanned CO2 laser beam. The long period grating is insensitive to temperature up to 800 degrees C.

  4. Hafnium transistor design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2008-01-01

    A design methodology is presented that uses the EKV model and the g(m)/I(D) biasing technique to design hafnium oxide field effect transistors that are suitable for neural recording circuitry. The DC gain of a common source amplifier is correlated to the structural properties of a Field Effect Transistor (FET) and a Metal Insulator Semiconductor (MIS) capacitor. This approach allows a transistor designer to use a design flow that starts with simple and intuitive 1-D equations for gain that can be verified in 1-D MIS capacitor TCAD simulations, before final TCAD process verification of transistor properties. The DC gain of a common source amplifier is optimized by using fast 1-D simulations and using slower, complex 2-D simulations only for verification. The 1-D equations are used to show that the increased dielectric constant of hafnium oxide allows a higher DC gain for a given oxide thickness. An additional benefit is that the MIS capacitor can be employed to test additional performance parameters important to an open gate transistor such as dielectric stability and ionic penetration.

  5. Method for continuous control of composition and doping of pulsed laser deposited films

    DOEpatents

    Lowndes, Douglas H.; McCamy, James W.

    1995-01-01

    A method for growing a deposit upon a substrate of semiconductor material involves the utilization of pulsed laser deposition techniques within a low-pressure gas environment. The substrate and a target of a first material are positioned within a deposition chamber and a low-pressure gas atmosphere is developed within the chamber. The substrate is then heated, and the target is irradiated, so that atoms of the target material are ablated from the remainder of the target, while atoms of the gas simultaneously are adsorbed on the substrate/film surface. The ablated atoms build up upon the substrate, together with the adsorbed gas atoms to form the thin-film deposit on the substrate. By controlling the pressure of the gas of the chamber atmosphere, the composition of the formed deposit can be controlled, and films of continuously variable composition or doping can be grown from a single target of fixed composition.

  6. Preparation of pentacene thin film deposited using organic material auto-feeding system for the fabrication of organic thin film transistor.

    PubMed

    Kim, Young Baek; Choi, Bum Ho; Lim, Yong Hwan; Yoo, Ha Na; Lee, Jong Ho; Kim, Jin Hyeok

    2011-02-01

    In this study, pentacene organic thin film was prepared using newly developed organic material auto-feeding system integrated with linear cell and characterized. The newly developed organic material auto-feeding system consists of 4 major parts: reservoir, micro auto-feeder, vaporizer, and linear cell. The deposition of organic thin film could be precisely controlled by adjusting feeding rate, main tube size, position and size of nozzle. 10 nm thick pentacene thin film prepared on glass substrate exhibited high uniformity of 3.46% which is higher than that of conventional evaporation method using point cell. The continuous deposition without replenishment of organic material can be performed over 144 hours with regulated deposition control. The grain size of pentacene film which affect to mobility of OTFT, was controlled as a function of the temperature.

  7. Ion plasma deposition of oxide films with graded-stoichiometry composition: Experiment and simulation

    NASA Astrophysics Data System (ADS)

    Volpyas, V. A.; Tumarkin, A. V.; Mikhailov, A. K.; Kozyrev, A. B.; Platonov, R. A.

    2016-07-01

    A method of ion plasma deposition is proposed for obtaining thin multicomponent films with continuously graded composition in depth of the film. The desired composition-depth profile is obtained by varying the working gas pressure during deposition in the presence of an additional adsorbing screen in the drift space between a sputtered target and substrate. Efficiency of the proposed method is confirmed by Monte Carlo simulation of the deposition of thin films of Ba x Sr1- x TiO3 (BSTO) solid solution. It is demonstrated that, during sputtering of a Ba0.3Sr0.7TiO3 target, the parameter of composition stoichiometry in the growing BSTO film varies in the interval of x = 0.3-0.65 when the gas pressure is changed within 2-60 Pa.

  8. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  9. Theoretical investigation about secondary deposition of thin-film formation by molecular dynamics simulation

    NASA Astrophysics Data System (ADS)

    Chen, Huawei; Hagiwara, Ichiro; Kiet Tieu, A.; Kishimoto, Kikuo; Liu, Qiang

    2007-05-01

    The thin-film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin-film in short time as gas fluids through surface of substrate. Such growth mechanism has been mainly investigated on the basis of experiment. Due to immense cost of the experimental equipment and low level of current measurement technology, the comprehension about authentic effect of formation condition on properties of nanomaterial is limited in qualitative manner. Three quantitative items: flatness of primary deposition, adhesion between cluster and substrate, and degree of epitaxial growth were proposed to evaluate the property of thin-film. In this simulation, three different cluster sizes of 203, 653, and 1563 atoms with different velocities (0, 10, 100, 1000, and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. To increase initial velocity not only enhanced the speed of epitaxial growth, adhesion between clusters and substrate, but also increased the degree of epitaxy for primary deposition and secondary deposition. Exfoliation pattern of thin-film was profoundly dependent on initial velocity through comparison between adhesion of primary and secondary deposition. Moreover, the epitaxial growth became well as the temperature of substrate was raised, and the degree of epitaxy of small cluster was larger than that of larger cluster, no matter of primary and secondary deposition.

  10. Fast electrochemical deposition of Ni(OH)2 precursor involving water electrolysis for fabrication of NiO thin films

    NASA Astrophysics Data System (ADS)

    Koyama, Miki; Ichimura, Masaya

    2018-05-01

    Ni(OH)2 precursor films were deposited by galvanostatic electrochemical deposition (ECD), and NiO thin films were fabricated by annealing in air. The effects of the deposition current densities were studied in a range that included current densities high enough to electrolyze water and generate hydrogen bubbles. The films fabricated by ECD involving water electrolysis had higher transparency and smoother surface morphology than those deposited with lower current densities. In addition, the annealed NiO films clearly had preferred (111) orientation when the deposition was accompanied by water electrolysis. p-type conduction was confirmed for the annealed films.

  11. Apparatus and process for deposition of hard carbon films

    DOEpatents

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-01

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  12. Pulsed laser deposition and characterization of cellulase thin films

    NASA Astrophysics Data System (ADS)

    Cicco, N.; Morone, A.; Verrastro, M.; Viggiano, V.

    2013-08-01

    Thin films of cellulase were obtained by pulsed laser deposition (PLD) on an appropriate substrate. Glycoside hydrolase cellulase has received our attention because it emerges among the antifouling enzymes (enzymes being able to remove and prevent the formation of micro-organism biofilms) used in industry and medicine field. Pressed cellulase pellets, used as target material, were ablated with pulses of a Nd-YAG laser working at wavelength of 532 nm. In this work, we evaluated the impact of PLD technique both on molecular structure and hydrolytic activity of cellulase. Characteristic chemical bonds and morphology of deposited layers were investigated by FTIR spectroscopy and SEM respectively. The hydrolytic activity of cellulase thin films was detected by a colorimetric assay.

  13. Apparatus and process for deposition of hard carbon films

    DOEpatents

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-03

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  14. Control of composition and crystallinity in hydroxyapatite films deposited by electron cyclotron resonance plasma sputtering

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-01-01

    Hydroxyapatite (HAp) films were deposited by electron cyclotron resonance plasma sputtering under a simultaneous flow of H2O vapor gas. Crystallization during sputter-deposition at elevated temperatures and solid-phase crystallization of amorphous films were compared in terms of film properties. When HAp films were deposited with Ar sputtering gas at temperatures above 460 °C, CaO byproducts precipitated with HAp crystallites. Using Xe instead of Ar resolved the compositional problem, yielding a single HAp phase. Preferentially c-axis-oriented HAp films were obtained at substrate temperatures between 460 and 500 °C and H2O pressures higher than 1×10-2 Pa. The absorption signal of the asymmetric stretching mode of the PO43- unit (ν3) in the Fourier-transform infrared absorption (FT-IR) spectra was the narrowest for films as-crystallized during deposition with Xe, but widest for solid-phase crystallized films. While the symmetric stretching mode of PO43- (ν1) is theoretically IR-inactive, this signal emerged in the FT-IR spectra of solid-phase crystallized films, but was absent for as-crystallized films, indicating superior crystallinity for the latter. The Raman scattering signal corresponding to ν1 PO43- sensitively reflected this crystallinity. The surface hardness of as-crystallized films evaluated by a pencil hardness test was higher than that of solid-phase crystallized films.

  15. Iron-Terephthalate Coordination Network Thin Films Through In-Situ Atomic/Molecular Layer Deposition.

    PubMed

    Tanskanen, A; Karppinen, M

    2018-06-12

    Iron terephthalate coordination network thin films can be fabricated using the state-of-the-art gas-phase atomic/molecular layer deposition (ALD/MLD) technique in a highly controlled manner. Iron is an Earth-abundant and nonhazardous transition metal, and with its rich variety of potential applications an interesting metal constituent for the inorganic-organic coordination network films. Our work underlines the role of the metal precursor used when aiming at in-situ ALD/MLD growth of crystalline inorganic-organic thin films. We obtain crystalline iron terephthalate films when FeCl 3 is employed as the iron source whereas depositions based on the bulkier Fe(acac) 3 precursor yield amorphous films. The chemical composition and structure of the films are investigated with GIXRD, XRR, FTIR and XPS.

  16. Nanocomposite film prepared by depositing xylan on cellulose nanowhiskers matrix

    Treesearch

    Qining Sun; Anurag Mandalika; Thomas Elder; Sandeep S. Nair; Xianzhi Meng; Fang Huang; Art J. Ragauskas

    2014-01-01

    Novel bionanocomposite films have been prepared by depositing xylan onto cellulose nanowhiskers through a pH adjustment. Analysis of strength properties, water vapour transmission, transparency, surface morphology and thermal decomposition showed the enhancement of film performance. This provides a new green route to the utilization of biomass for sustainable...

  17. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    NASA Astrophysics Data System (ADS)

    Huang, Yanwei; Zhang, Qun; Xi, Junhua; Ji, Zhenguo

    2012-07-01

    Transparent p-type Li0.25Ni0.75O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li0.25Ni0.75O/n-SnO2:W was fabricated by depositing n-SnO2:W on top of the p-Li0.25Ni0.75O, which exhibits typical rectifying current-voltage characteristics.

  18. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  19. Microenergetic Shock Initiation Studies on Deposited Films of Petn

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-12-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the out-of-plane and in-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult. Initiation was possible with an explosively-driven 0.13-mm thick Kapton flyer and direct observation of initiation behavior was examined using streak camera photography at different flyer velocities. Models of this configuration were created using the shock physics code CTH.

  20. Plasma deposition of amorphous silicon carbide thin films irradiated with neutrons

    NASA Astrophysics Data System (ADS)

    Huran, J.; Bohacek, P.; Kucera, M.; Kleinova, A.; Sasinkova, V.; IEE SAS, Bratislava, Slovakia Team; Polymer Institute, SAS, Bratislava, Slovakia Team; Institute of Chemistry, SAS, Bratislava, Slovakia Team

    2015-09-01

    Amorphous silicon carbide and N-doped silicon carbide thin films were deposited on P-type Si(100) wafer by plasma enhanced chemical vapor deposition (PECVD) technology using silane, methane, ammonium and argon gases. The concentration of elements in the films was determined by RBS and ERDA method. Chemical compositions were analyzed by FTIR spectroscopy. Photoluminescence properties were studied by photoluminescence spectroscopy (PL). Irradiation of samples with various neutron fluencies was performed at room temperature. The films contain silicon, carbon, hydrogen, nitrogen and small amount of oxygen. From the IR spectra, the films contained Si-C, Si-H, C-H, Si-N, N-H and Si-O bonds. No significance effect on the IR spectra after neutron irradiation was observed. PL spectroscopy results of films showed decreasing PL intensity after neutron irradiation and PL intensity decreased with increased neutron fluencies. The measured current of the prepared structures increased after irradiation with neutrons and rise up with neutron fluencies.

  1. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    NASA Astrophysics Data System (ADS)

    Li, Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-04-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al2O3, ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al2O3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  2. Gas sensing properties of very thin TiO2 films prepared by atomic layer deposition (ALD)

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Baji, Zs; Gáber, F.; Szilágyi, I. M.

    2014-11-01

    Very thin titanium dioxide (TiO2) films of less than 10 nm were deposited by atomic layer deposition (ALD) in order to study their gas sensing properties. Applying the quartz crystal microbalance (QCM) method, prototype structures with the TiO2 ALD deposited thin films were tested for sensitivity to NO2. Although being very thin, the films were sensitive at room temperature and could register low concentrations as 50-100 ppm. The sorption is fully reversible and the films seem to be capable to detect for long term. These initial results for very thin ALD deposited TiO2 films give a promising approach for producing gas sensors working at room temperature on a fast, simple and cost-effective technology.

  3. Effect of deposition temperature on the structural and optical properties of CdSe QDs thin films deposited by CBD method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Laatar, F., E-mail: fakher8laatar@gmail.com; Harizi, A.; Smida, A.

    2016-06-15

    Highlights: • Synthesis of CdSe QDs with L-Cysteine capping agent for applications in nanodevices. • The films of CdSe QDs present uniform and good dispersive particles at the surface. • Effect of bath temperature on the structural and optical properties of CdSe QDs thin films. • Investigation of the optical constants and dispersion parameters of CdSe QDs thin films. - Abstract: Cadmium selenide quantum dots (CdSe QDs) thin films were deposited onto glass substrates by a chemical bath deposition (CBD) method at different temperatures from an aqueous solution containing L-Cysteine (L-Cys) as capping agent. The evolution of the surface morphologymore » and elemental composition of the CdSe films were studied by AFM, SEM, and EDX analyses. Structural and optical properties of CdSe thin films were investigated by XRD, UV–vis and PL spectroscopy. The dispersion behavior of the refractive index is described using the single oscillator Wemple-DiDomenico (W-D) model, and the physical dispersion parameters are calculated as a function of deposition temperature. The dispersive optical parameters such as average oscillator energy (E{sub o}), dispersion energy (E{sub d}), and static refractive index (n{sub o}) were found to vary with the deposition temperature. Besides, the electrical free carrier susceptibility (χ{sub e}) and the carrier concentration of the effective mass ratio (N/m*) were evaluated according to the Spitzer-Fan model.« less

  4. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  5. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    NASA Astrophysics Data System (ADS)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  6. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P. E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  7. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  8. Etude de la nitruration carbothermique du dioxyde de hafnium par diffraction X à haute température

    NASA Astrophysics Data System (ADS)

    Pialoux, A.

    1993-03-01

    The carbothermal reduction of hafnium dioxide under atmospheric level nitrogen pressure has been investigated using a graphite resistance high temperature X-ray diffractometer up to around 2300 K. A carbon transfer reaction through the gaseous phase (N 2, CO/CO 2) is shown to precede, then to compete the direct reduction of the hafnium oxide by the graphite in pure nitrogen. A complex mechanism has been found that accounts for the formation of hafnium dioxynitride and possibly of three other hafnium oxynitrides, then of hafnium mononitride and hafnium monocarbonitride, along two different steps between 1613 and 1923 K. An evaluation has been made concerning the composition of these γ 1- HfO 2-xN x/2□ x/2 (CaF 2-type structure), γ 2- Hf 7O 11N 2, γ 3- Hf 7O 8N 4 (rhombohedral), γ 4- Hf 2ON 2 (Mn 2O 3-type structure), HfN and HfN 1-zC z (NaCl-type structure) phases, considering the variations of their lattice parameters and the available data in the literature, especially on the isomorphous compounds of zirconium. It must be emphasized the new γ 1- HfO 2-xN x/2 phase, the dilatation of which is linear ( overlineα = 12×10 -6K -1), shows a constant composition from 2158 down to 1473 K (x ≈ 0,2). But under 1473 K, inevitably, the hafnium dioxynitride disappears, and poorly crystallized monoclinic αHfO 2 and rhombohedral γ 2- Hf 7O 11N 2 are formed.

  9. Influence of the deposition conditions on radiofrequency magnetron sputtered MoS2 films

    NASA Technical Reports Server (NTRS)

    Steinmann, Pierre A.; Spalvins, Talivaldis

    1990-01-01

    By varying the radiofrequency (RF) power, the Ar pressure, and the potential on the substrates, MoS(x) films of various stoichiometry, density, adhesion, and morphology were produced. An increase of RF power increased the deposition rate and density of the MoS2 films as well as improved adhesion. However, the stoichiometry remained constant. An increase of Ar pressure increased the deposition rate but decreased the density, wheras both stoichiometry and adhesion were maximized at around 20 mtorr Ar pressure. Furthermore, a transition from compact film growth to columnar film growth was observed when the pressure was varied from 5 to 15 mtorr. Substoichiometric films were grown when a negative (bias) voltage was applied to the substrates.

  10. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  11. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  12. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    NASA Astrophysics Data System (ADS)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  13. Development of Thick-Film Thermoelectric Microcoolers Using Electrochemical Deposition

    NASA Technical Reports Server (NTRS)

    Fleurial, J.-P.; Borshchevsky, A.; Ryan, M. A.; Phillips, W. M.; Snyder, J. G.; Caillat, T.; Kolawa, E. A.; Herman, J. A.; Mueller, P.; Nicolet, M.

    2000-01-01

    Advanced thermoelectric microdevices integrated into thermal management packages and low power, electrical source systems are of interest for a variety of space and terrestrial applications. By shrinking the size of the thermoelements, or legs, of these devices, it becomes possible to handle much higher heat fluxes, as well as operate at much lower currents and higher voltages that are more compatible with electronic components. The miniaturization of state-of-the-art thermoelectric module technology based on Bi2Te3 alloys is limited due to mechanical and manufacturing constraints for both leg dimensions (100-200 gm thick minimum) and the number of legs (100-200 legs maximum). We are investigating the development of novel microdevices combining high thermal conductivity substrate materials such as diamond, thin film metallization and patterning technology, and electrochemical deposition of thick thermoelectric films. It is anticipated that thermoelectric microcoolers with thousands of thermocouples and capable of pumping more than 200 W/sq cm over a 30 to 60 K temperature difference can be fabricated. In this paper, we report on our progress in developing an electrochemical deposition process for obtaining 10-50 microns thick films of Bi2Te3 and its solid solutions. Results presented here indicate that good quality n-type Bi2Te3, n-type Bi2Te(2.95)Se(0.05) and p-type Bi(0.5)Sb(1.5)Te3 thick films can be deposited by this technique. Some details about the fabrication of the miniature thermoelements are also described.

  14. The effects of two thick film deposition methods on tin dioxide gas sensor performance.

    PubMed

    Bakrania, Smitesh D; Wooldridge, Margaret S

    2009-01-01

    This work demonstrates the variability in performance between SnO(2) thick film gas sensors prepared using two types of film deposition methods. SnO(2) powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 - 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis.

  15. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    PubMed Central

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 – 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis. PMID:22399977

  16. Structural and mechanical properties of CVD deposited titanium aluminium nitride (TiAlN) thin films

    NASA Astrophysics Data System (ADS)

    Das, Soham; Guha, Spandan; Ghadai, Ranjan; Kumar, Dhruva; Swain, Bibhu P.

    2017-06-01

    Titanium aluminium nitride (TiAlN) thin films were deposited by chemical vapour deposition using TiO2 powder, Al powder and N2 gas. The morphology and mechanical properties of the films were characterized by scanning electron microscopy and nanoindentation technique, respectively. The structural properties were characterized by Raman spectroscopy and X-ray diffraction. The XRD result shows TiAlN films are of NaCl-type metal nitride structure. Micro-Raman peaks of the TiAlN thin film were observed within 450 and 642 cm-1 for acoustic and optic range, respectively. A maximum hardness and Young modulus up to 22 and 272.15 GPa, respectively, were observed in the TiAlN film deposited at 1200 °C.

  17. Dense zig-zag microstructures in YSZ thin films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Stender, Dieter; Schäuble, Nina; Weidenkaff, Anke; Montagne, Alex; Ghisleni, Rudy; Michler, Johann; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-01-01

    The very brittle oxygen ion conductor yttria stabilized zirconia (YSZ) is a typical solid electrolyte for miniaturized thin film fuel cells. In order to decrease the fuel cell operating temperature, the thickness of yttria stabilized zirconia thin films is reduced. Often, these thin membranes suffer from mechanical failure and gas permeability. To improve these mechanical issues, a glancing angle deposition approach is used to grow yttria stabilized zirconia thin films with tilted columnar structures. Changes of the material flux direction during the deposition result in a dense, zigzag-like structure with columnar crystallites. This structure reduces the elastic modulus of these membranes as compared to columnar yttria stabilized zirconia thin films as monitored by nano-indentation which makes them more adaptable to applied stress.

  18. Fabrication of ultrathin film capacitors by chemical solution deposition

    DOE PAGES

    Brennecka, Geoff L.; Tuttle, Bruce A.

    2007-10-01

    We present that a facile solution-based processing route using standard spin-coating deposition techniques has been developed for the production of reliable capacitors based on lead lanthanum zirconate titanate (PLZT) with active areas of ≥1 mm 2 and dielectric layer thicknesses down to 50 nm. With careful control of the dielectric phase development through improved processing, ultrathin capacitors exhibited slim ferroelectric hysteresis loops and dielectric constants of >1000, similar to those of much thicker films. Furthermore, it has been demonstrated that chemical solution deposition is a viable route to the production of capacitor films which are as thin as 50 nmmore » but are still macroscopically addressable with specific capacitance values >160 nF/mm 2.« less

  19. Continuous Microreactor-Assisted Solution Deposition for Scalable Production of CdS Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramprasad, Sudhir; Su, Yu-Wei; Chang, Chih-Hung

    2013-06-13

    Solution deposition offers an attractive, low temperature option in the cost effective production of thin film solar cells. Continuous microreactor-assisted solution deposition (MASD) was used to produce nanocrystalline cadmium sulfide (CdS) films on fluorine doped tin oxide (FTO) coated glass substrates with excellent uniformity. We report a novel liquid coating technique using a ceramic rod to efficiently and uniformly apply reactive solution to large substrates (152 mm × 152 mm). This technique represents an inexpensive approach to utilize the MASD on the substrate for uniform growth of CdS films. Nano-crystalline CdS films have been produced from liquid phase at ~90°C,more » with average thicknesses of 70 nm to 230 nm and with a 5 to 12% thickness variation. The CdS films produced were characterized by UV-Vis spectroscopy, transmission electron microscopy, and X-Ray diffraction to demonstrate their suitability to thin-film solar technology.« less

  20. Process Parameter-Growth Environment-Film Property Relationships for Reactive Sputter Deposited Metal (V, Nb, Zr, Y, Au) Oxide, Nitride, and Oxynitride Films

    DTIC Science & Technology

    1993-09-30

    speed of light in vac- ring within the first 5 min of exposure. In a separate ex- uum, and g(A) is the detected fraction of emitted radia- periment...fold: film growth by reactive sputter deposition, in situ discharge diagnostics, film charcterization. A radio frequency diode apparatus was used to...l-’ZrO, films is reported.)3 1) Films were grown on Supers!]I II fused silica in a hot-oil pumped rf diode sputter deposition system using a 13-cm

  1. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  2. Electrical properties of multilayer (DLC-TiC) films produced by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Alawajji, Raad A.; Kannarpady, Ganesh K.; Nima, Zeid A.; Kelly, Nigel; Watanabe, Fumiya; Biris, Alexandru S.

    2018-04-01

    In this work, pulsed laser deposition was used to produce a multilayer diamond like carbon (ML (DLC-TiC)) thin film. The ML (DLC-TiC) films were deposited on Si (100) and glass substrates at various substrate temperatures in the range of 20-450 °C. Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and atomic force microscopy were utilized to characterize the prepared films. Raman analysis revealed that as the substrate temperature increased, the G-peak position shifted to a higher raman shift and the full width at half maximum of the G and D bands decreased. XPS analysis indicated a decrease in sp3/sp2 ratio and an increase in Ti-C bond intensity when the substrate temperature was increased. Additionally, the surface roughness of ML (DLC-TiC) filmswas affected by the type and temperature of the substrate. The electrical measurement results indicated that the electrical resistivity of the ML (DLC-TiC) film deposited on Si and glass substrates showed the same behavior-the resistivity decreased when substrate temperature increased. Furthermore, the ML (DLC-TiC) films deposited on silicon showed lower electrical resistivity, dropping from 8.39E-4 Ω-cm to 5.00E-4 Ω-cm, and, similarly, the films on the glass substrate displayed a drop in electrical resistivity from 1.8E-2 Ω-cm to 1.2E-3 Ω-cm. These enhanced electrical properties indicate that the ML (DLC-TiC) films have widespread potential as transducers for biosensors in biological research; electrochemical electrodes, because these films can be chemically modified; biocompatible coatings for medicals tools; and more.

  3. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition.

    DOE PAGES

    Craciun, D.; Socol, G.; Lambers, E.; ...

    2015-01-17

    Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH 4 pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH 4 pressures exhibited slightly higher nanohardness and Young modulus values than filmsmore » deposited under higher pressures. As a result, tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.« less

  4. Deposition of highly textured AlN thin films by reactive high power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moreira, Milena A.; Törndahl, Tobias; Katardjiev, Ilia

    2015-03-15

    Aluminum nitride thin films were deposited by reactive high power impulse magnetron sputtering (HiPIMS) and pulsed direct-current on Si (100) and textured Mo substrates, where the same deposition conditions were used for both techniques. The films were characterized by x-ray diffraction and atomic force microscopy. The results show a pronounced improvement in the AlN crystalline texture for all films deposited by HiPIMS on Si. Already at room temperature, the HiPIMS films exhibited a strong preferred (002) orientation and at 400 °C, no contributions from other orientations were detected. Despite the low film thickness of only 200 nm, an ω-scan full width atmore » half maximum value of 5.1° was achieved on Si. The results are attributed to the high ionization of sputtered material achieved in HiPIMS. On textured Mo, there was no significant difference between the deposition techniques.« less

  5. Atomic layer deposition of VO2 films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    NASA Astrophysics Data System (ADS)

    Lv, Xinrui; Cao, Yunzhen; Yan, Lu; Li, Ying; Song, Lixin

    2017-02-01

    VO2 thin films have been grown on Si(100) (VO2/Si) and fused silica substrates (VO2/SiO2) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO2 thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150-200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO2 films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO2/Si film. AFM was applied to study the surface morphology of VO2/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO2/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (Tc,h) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of Tc,h compared with the bulk VO2 (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO2/SiO2 films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across the transition.

  6. Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications

    NASA Astrophysics Data System (ADS)

    Choi, Kyeong-Keun; Park, Chan-Gyung; Kim, Deok-kee

    2016-01-01

    The electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition were investigated for through-silicon via (TSV) and metal-insulator-metal applications at temperatures below 300 °C. ZrO2 films were able to be conformally deposited on the scallops of 50-µm-diameter, 100-µm-deep TSV holes. The mean breakdown field of 30-nm-thick ZrO2 films on 30-nm-thick Ta(N) increased about 41% (from 2.7 to 3.8 MV/cm) upon H2 plasma treatment. With the plasma treatment, the breakdown field of the film increased and the temperature coefficient of capacitance decreased significantly, probably as a result of the decreased carbon concentration in the film.

  7. A novel approach of deposition for uniform diamond films on circular saw blades

    NASA Astrophysics Data System (ADS)

    Hongxiu, ZHOU; Boya, YUAN; Jilei, LYU; Nan, JIANG

    2017-11-01

    Uniform diamond films are highly desirable for cutting industries, due to their high performance and long lifetime used on cutting tools. Nevertheless, they are difficult to obtain on cutting tools with complicated shapes, greatly limiting the applications of diamond films. In this study, a novel approach of deposition for uniform diamond films is proposed, on circular saw blades made of cemented carbide using reflectors of brass sheets. Diamond films are deposited using hot filament chemical vapor deposition (HFCVD). A novel concave structure of brass sheets is designed and fabricated, improving the distribution of temperature field, and overcoming the disadvantages of the conventional HFCVD systems. This increases the energy efficiency of use without changing the structure and increasing the cost of HFCVD. The grains are refined and the intensities of diamond peaks are strengthened obviously, which is confirmed by scanning electron microscopy and Raman spectra respectively.

  8. Interaction of platelets, fibrinogen and endothelial cells with plasma deposited PEO-like films

    NASA Astrophysics Data System (ADS)

    Yang, Zhilu; Wang, Jin; Li, Xin; Tu, Qiufen; Sun, Hong; Huang, Nan

    2012-02-01

    For blood-contacting biomedical implants like retrievable vena cava filters, surface-based diagnostic devices or in vivo sensors, limiting thrombosis and cell adhesion is paramount, due to a decrease even failure in performance. Plasma deposited PEO-like films were investigated as surface modifications. In this work, mixed gas composed of tetraethylene glycol dimethyl ether (tetraglyme) vapor and oxygen was used as precursor. It was revealed that plasma polymerization under high ratio of oxygen/tetraglyme led to deposition of the films that had high content of ether groups. This kind of PEO-like films had good stability in phosphate buffer solution. In vitro hemocompatibility and endothelial cell (EC) adhesion revealed low platelet adhesion, platelet activation, fibrinogen adhesion, EC adhesion and proliferation on such plasma deposited PEO-like films. This made it a potential candidate for the applications in anti-fouling surfaces of blood-contacting biomedical devices.

  9. Characterization of MAPLE deposited WO3 thin films for electrochromic applications

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S. I.; Stefan, N.; Szilágyi, I. M.; Mihailescu, N.; Visan, A.; Mihailescu, I. N.; Stan, G. E.; Besleaga, C.; Iliev, M. T.; Gesheva, K. A.

    2017-01-01

    Tungsten trioxide (WO3) is a widely studied material for electrochromic applications. The structure, morphology and optical properties of WO3 thin films, grown by matrix assisted pulsed laser evaporation (MAPLE) from monoclinic WO3 nano-sized particles, were investigated for their possible application as electrochromic layers. A KrF* excimer (λ=248 nm, ζFWHM=25 ns) laser source was used in all experiments. The MAPLE deposited WO3 thin films were studied by atomic force microscopy (AFM), grazing incidence X-ray diffraction (GIXRD) and Fourier transform infrared spectroscopy (FTIR). Cyclic voltammetry measurements were also performed, and the coloring and bleaching were observed. The morpho-structural investigations disclosed the synthesis of single-phase monoclinic WO3 films consisting of crystalline nano-grains embedded in an amorphous matrix. All thin films showed good electrochromic properties, thus validating application of the MAPLE deposition technique for the further development of electrochromic devices.

  10. Non-aqueous electrochemical deposition of lead zirconate titanate films for flexible sensor applications

    NASA Astrophysics Data System (ADS)

    Joseph, Sherin; Kumar, A. V. Ramesh; John, Reji

    2017-11-01

    Lead zirconate titanate (PZT) is one of the most important piezoelectric materials widely used for underwater sensors. However, PZTs are hard and non-compliant and hence there is an overwhelming attention devoted toward making it flexible by preparing films on flexible substrates by different routes. In this work, the electrochemical deposition of composition controlled PZT films over flexible stainless steel (SS) foil substrates using non-aqueous electrolyte dimethyl sulphoxide (DMSO) was carried out. Effects of various key parameters involved in electrochemical deposition process such as current density and time of deposition were studied. It was found that a current density of 25 mA/cm2 for 5 min gave a good film. The morphology and topography evaluation of the films was carried out by scanning electron microscopy (SEM) and atomic force microscopy (AFM), respectively, which showed a uniform morphology with a surface roughness of 2 nm. The PZT phase formation was studied using X-ray diffraction (XRD) and corroborated with Raman spectroscopic studies. The dielectric constant, dielectric loss, hysteresis and I-V characteristics of the film was evaluated.

  11. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com; Ahmed, Naser M.; Hassan, Zai

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in themore » macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).« less

  12. Influence of reactive oxygen species during deposition of iron oxide films by high power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Stranak, V.; Hubicka, Z.; Cada, M.; Bogdanowicz, R.; Wulff, H.; Helm, C. A.; Hippler, R.

    2018-03-01

    Iron oxide films were deposited using high power impulse magnetron sputtering (HiPIMS) of an iron cathode in an argon/oxygen gas mixture at different gas pressures (0.5 Pa, 1.5 Pa, and 5.0 Pa). The HiPIMS system was operated at a repetition frequency f  =  100 Hz with a duty cycle of 1%. A main goal is a comparison of film growth during conventional and electron cyclotron wave resonance-assisted HiPIMS. The deposition plasma was investigated by means of optical emission spectroscopy and energy-resolved mass spectrometry. Active oxygen species were detected and their kinetic energy was found to depend on the gas pressure. Deposited films were characterized by means of spectroscopic ellipsometry and grazing incidence x-ray diffraction. Optical properties and crystallinity of as-deposited films were found to depend on the deposition conditions. Deposition of hematite iron oxide films with the HiPIMS-ECWR discharge is attributed to the enhanced production of reactive oxygen species.

  13. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    NASA Astrophysics Data System (ADS)

    Hannachi, Amira; Maghraoui-Meherzi, Hager

    2017-03-01

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like.

  14. Glow discharge plasma deposition of thin films

    DOEpatents

    Weakliem, Herbert A.; Vossen, Jr., John L.

    1984-05-29

    A glow discharge plasma reactor for deposition of thin films from a reactive RF glow discharge is provided with a screen positioned between the walls of the chamber and the cathode to confine the glow discharge region to within the region defined by the screen and the cathode. A substrate for receiving deposition material from a reactive gas is positioned outside the screened region. The screen is electrically connected to the system ground to thereby serve as the anode of the system. The energy of the reactive gas species is reduced as they diffuse through the screen to the substrate. Reactive gas is conducted directly into the glow discharge region through a centrally positioned distribution head to reduce contamination effects otherwise caused by secondary reaction products and impurities deposited on the reactor walls.

  15. Rutile titanium dioxide films deposited with a vacuum arc at different temperatures

    NASA Astrophysics Data System (ADS)

    Arias, L. Franco; Kleiman, A.; Heredia, E.; Márquez, A.

    2012-06-01

    Rutile crystalline phase of TiO2 has been one of the most investigated materials for medical applications. Its implementation as a surface layer on biomedical implants has shown to improve hemocompatibility and biocompatibility. In this work, titanium dioxide coatings were deposited on glass and steel 316L substrates using cathodic arc deposition. The coatings were obtained at different substrate temperatures; varying from room temperature to 600°C. The crystalline structure of the films was identified by glancing angle X-ray diffraction. Depending on the substrate material and on its temperature during the deposition process, anatase, anatse+rutile and rutile structures were observed. It was determined that rutile films can be obtained below 600 °C with this deposition method.

  16. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  17. Evaluation of thermal stress in hydroxyapatite film fabricated by powder jet deposition.

    PubMed

    Akatsuka, Ryo; Matsumura, Ken; Noji, Miyoko; Kuriyagawa, Tsunemoto; Sasaki, Keiichi

    2013-10-01

    This study aimed to create a thick hydroxyapatite (HA) film on the surface of a human tooth via a powder jet deposition (PJD) device for dental handpieces, and to examine the microstructural and mechanical properties of the HA film. In particular, the effects of thermal stress on this film were evaluated. The HA film was created by blasting 3.18-μm HA particles, calcinated at 1,200°C, onto the enamel substrate at room temperature and atmospheric pressure. An HA film with an area of 3 mm × 3 mm was prepared and polished. The following HA film parameters were evaluated from the three-dimensional surface profile: surface roughness, Vickers hardness, and bonding strength before and after artificial aging induced by 500 cycles of thermal cycling (5-55°C). The HA particles in the deposited film were densely packed, and the surface of the HA film was unchanged after thermal cycling. There were also no significant differences in the hardness and the bonding strength of the HA film before and after thermal cycling. The HA film created in this study demonstrated excellent microstructural and mechanical properties, even after the application of thermal stress. © 2013 Eur J Oral Sci.

  18. Indium Tin Oxide-Magnesium Fluoride Co-Deposited Films for Spacecraft Applications

    NASA Technical Reports Server (NTRS)

    Dever, Joycer A.; Rutledge, Sharon K.; Hambourger, Paul D.; Bruckner, Eric; Ferrante, Rhea; Pal, Anna Marie; Mayer, Karen; Pietromica, Anthony J.

    1998-01-01

    Highly transparent coatings with a maximum sheet resistivity between 10(exp 8) and 10(exp 9) ohms/square are desired to prevent charging of solar arrays for low Earth polar orbit and geosynchronous orbit missions. Indium tin oxide (ITO) and magnesium fluoride (MgF2) were ion beam sputter co-deposited onto fused silica substrates and were evaluated for transmittance, sheet resistivity and the effects of simulated space environments including atomic oxygen (AO) and vacuum ultraviolet (VUV) radiation. Optical properties and sheet resistivity as a function of MgF2 content in the films will be presented. Films containing 8.4 wt.% MgF2 were found to be highly transparent and provided sheet resistivity in the required range. These films maintained a high transmittance upon exposure to AO and to VUV radiation, although exposure to AO in the presence of charged species and intense electromagnetic radiation caused significant degradation in film transmittance. Sheet resistivity of the as-fabricated films increased with time in ambient conditions. Vacuum beat treatment following film deposition caused a reduction in sheet resistivity. However, following vacuum heat treatment, sheet resistivity values remained stable during storage in ambient conditions.

  19. Optical Characterization of Lead Monoxide Films Grown by Laser-Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Baleva, M.; Tuncheva, V.

    1994-05-01

    The Raman spectra of PbO films, grown by laser-assisted deposition (LAD) at different substrate temperatures are investigated. The spectra of the films, deposited on amorphous, single crystal quartz and polycrystal PbTe substrates, are compared with the Raman spectra of tetragonal and orthorhombic powder samples. The phonon frequencies determined in our experiment with powder samples coincide fairly well with those obtained by Adams and Stevens, J. Chem. Soc., Dalton Trans., 1096 (1977). Thus the Raman spectra of the powder samples presented in this paper can be considered as unambiguous characteristics of the two different PbO crystal phases. It was concluded that the Raman scattering may serve as a tool for identification of PbO films and their crystal modifications. On the basis of this investigation it was concluded that the film structure changes from orthorhombic to tetragonal with increased substrate temperature, and that the nature of the substrate influences the crystal structure of the films. On the basis of the Raman spectra of the β-PbO films with prevailing (001) orientation of crystallization, an assignment of the modes is proposed.

  20. Growth of high quality yttrium iron garnet films using standard pulsed laser deposition technique

    NASA Astrophysics Data System (ADS)

    Zaki, Aliaa M.; Blythe, Harry J.; Heald, Steve M.; Fox, A. Mark; Gehring, Gillian A.

    2018-05-01

    Thin films with properties comparable to bulk single crystals were grown by pulsed laser deposition using a substrate temperature of only 500 °C. This was achieved by a careful choice of both the oxygen pressure in the deposition chamber and the temperature of the air anneal. The best films were grown on gadolinium gallium garnet substrates but we also report data for films grown on the diamagnetic substrate yttrium aluminium garnet. The films were analysed using X-ray diffraction, near edge X-ray absorption and magnetometry. Our best films had a magnetisation of 143 emu/cm3 and a coercive field of ∼1 Oe.

  1. Investigation on single walled carbon nanotube thin films deposited by Langmuir Blodgett method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vishalli,, E-mail: vishalli-2008@yahoo.com; Dharamvir, Keya; Kaur, Ramneek

    2015-05-15

    Langmuir Blodgett is a technique to deposit a homogeneous film with a fine control over thickness and molecular organization. Thin films of functionalized SWCNTs have been prepared by Langmuir Blodgett method. The good surface spreading properties of SWCNTs at air/water interface are indicated by surface pressure-area isotherm and the monolayer formed on water surface is transferred onto the quartz substrate by vertical dipping. A multilayer film is thus obtained in a layer by layer manner. The film is characterized by Atomic Force Microscope (AFM), UV-Vis-NIR spectroscopy and FTIR.AFM shows the surface morphology of the deposited film. UV-Vis-NIR spectroscopy shows themore » characteristic peaks of semiconducting SWCNTs. The uniformity of LB film can be used further in understanding the optical and electrical behavior of these materials.« less

  2. Compositional and structural properties of pulsed laser-deposited ZnS:Cr films

    NASA Astrophysics Data System (ADS)

    Nematollahi, Mohammadreza; Yang, Xiaodong; Seim, Eivind; Vullum, Per Erik; Holmestad, Randi; Gibson, Ursula J.; Reenaas, Turid W.

    2016-02-01

    We present the properties of Cr-doped zinc sulfide (ZnS:Cr) films deposited on Si(100) by pulsed laser deposition. The films are studied for solar cell applications, and to obtain a high absorption, a high Cr content (2.0-5.0 at.%) is used. It is determined by energy-dispersive X-ray spectroscopy that Cr is relatively uniformly distributed, and that local Cr increases correspond to Zn decreases. The results indicate that most Cr atoms substitute Zn sites. Consistently, electron energy loss and X-ray photoelectron spectroscopy showed that the films contain mainly Cr2+ ions. Structural analysis showed that the films are polycrystalline and textured. The films with ~4 % Cr are mainly grown along the hexagonal [001] direction in wurtzite phase. The average lateral grain size decreases with increasing Cr content, and at a given Cr content, increases with increasing growth temperature.

  3. Tensile and stress-rupture behavior of hafnium carbide dispersed molybdenum and tungsten base alloy wires

    NASA Technical Reports Server (NTRS)

    Yun, Hee Mann; Titran, Robert H.

    1993-01-01

    The tensile strain rate sensitivity and the stress-rupture strength of Mo-base and W-base alloy wires, 380 microns in diameter, were determined over the temperature range from 1200 K to 1600 K. Three molybdenum alloy wires; Mo + 1.1w/o hafnium carbide (MoHfC), Mo + 25w/o W + 1.1w/o hafnium carbide (MoHfC+25W) and Mo + 45w/o W + 1.1w/o hafnium carbide (MoHfC+45W), and a W + 0.4w/o hafnium carbide (WHfC) tungsten alloy wire were evaluated. The tensile strength of all wires studied was found to have a positive strain rate sensitivity. The strain rate dependency increased with increasing temperature and is associated with grain broadening of the initial fibrous structures. The hafnium carbide dispersed W-base and Mo-base alloys have superior tensile and stress-rupture properties than those without HfC. On a density compensated basis the MoHfC wires exhibit superior tensile and stress-rupture strengths to the WHfC wires up to approximately 1400 K. Addition of tungsten in the Mo-alloy wires was found to increase the long-term stress rupture strength at temperatures above 1400 K. Theoretical calculations indicate that the strength and ductility advantage of the HfC dispersed alloy wires is due to the resistance to recrystallization imparted by the dispersoid.

  4. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  5. Mechanical and shape memory properties of ferromagnetic Ni2MnGa sputter-deposited films

    NASA Astrophysics Data System (ADS)

    Ohtsuka, M.; Matsumoto, M.; Itagaki, K.

    2003-10-01

    The ternary intermetallic compound Ni2MnGa is an intelligent material, which has a shape memory effect and a ferromagnetic property. Use of shape memory alloy films for an actuator of micro machines is very attractive because of its large recovery force. The data of mechanical and shape memory properties of the films are required to use for the actuator. The purpose of this study is to investigate the effects of fabrication conditions and to clarify the relationships between these properties and fabrication conditions of the Ni{2}MnGa films. The Ni{2}MnGa films were deposited with a radio-frequency magnetron sputtering apparatus using a Ni{50}Mn{25}Ga{25} or Ni{52}Mn{24}Ga{24} target. After deposition, the films were annealed at 873sim 1173 K. The asdeposited films were crystalline and had columnar grains. After the heat treatment, the grains widened and the grain boundary became indistinct with increasing heat treatment temperature. MnO and Ni{3} (Mn, Ga) precipitations were observed in the heat-treated films. The mechanical properties of the films were measured by the nanoindentation method. Hardness and elastic modulus of as-deposited films were larger than those of arcmelted bulk alloys. The hardness of the films was affected by the composition, crystal structure, microstructure and precipitation, etc. The elastic modulus of the films was also changed with the heat treatment conditions. The heat-treated films showed a thermal two-way shape memory effect.

  6. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  7. Perpendicularly oriented barium ferrite thin films with low microwave loss, prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Da-Ming, Chen; Yuan-Xun, Li; Li-Kun, Han; Chao, Long; Huai-Wu, Zhang

    2016-06-01

    Barium ferrite (BaM) thin films are deposited on platinum coated silicon wafers by pulsed laser deposition (PLD). The effects of deposition substrate temperature on the microstructure, magnetic and microwave properties of BaM thin films are investigated in detail. It is found that microstructure, magnetic and microwave properties of BaM thin film are very sensitive to deposition substrate temperature, and excellent BaM thin film is obtained when deposition temperature is 910 °C and oxygen pressure is 300 mTorr (1 Torr = 1.3332 × 102 Pa). X-ray diffraction patterns and atomic force microscopy images show that the best thin film has perpendicular orientation and hexagonal morphology, and the crystallographic alignment degree can be calculated to be 0.94. Hysteresis loops reveal that the squareness ratio (M r/M s) is as high as 0.93, the saturated magnetization is 4004 Gs (1 Gs = 104 T), and the anisotropy field is 16.5 kOe (1 Oe = 79.5775 A·m-1). Ferromagnetic resonance measurements reveal that the gyromagnetic ratio is 2.8 GHz/kOe, and the ferromagnetic resonance linewith is 108 Oe at 50 GHz, which means that this thin film has low microwave loss. These properties make the BaM thin films have potential applications in microwave devices. Project supported by the Open Foundation of State Key Laboratory of Electronic Thin Films and Integrated Devices (Grant No. KFJJ201506), the Scientific Research Starting Foundation of Hainan University (Grant No. kyqd1539), and the Natural Science Foundation of Hainan Province (Grant No. 20165187).

  8. Optimization and testing of solid thin film lubrication deposition processes

    NASA Astrophysics Data System (ADS)

    Danyluk, Michael J.

    A novel method for testing solid thin films in rolling contact fatigue (RCF) under ultra-high vacuum (UHV) and high rotational speeds (130 Hz) is presented in this thesis. The UHV-RCF platform is used to quantify the adhesion and lubrication aspects of two thin film coatings deposited on ball-bearings using a physical vapor deposition ion plating process. Plasma properties during ion plating were measured using a Langmuir probe and there is a connection between ion flux, film stress, film adhesion, process voltage, pressure, and RCF life. The UHV-RCF platform and vacuum chamber were constructed using off-the-shelf components and 88 RCF tests in high vacuum have been completed. Maximum RCF life was achieved by maintaining an ion flux between 10 13 to 1015 (cm-2 s-1) with a process voltage and pressure near 1.5 kV and 15 mTorr. Two controller schemes were investigated to maintain optimal plasma conditions for maximum RCF life: PID and LQR. Pressure disturbances to the plasma have a detrimental effect on RCF life. Control algorithms that mitigate pressure and voltage disturbances already exist. However, feedback from the plasma to detect disturbances has not been explored related to deposition processes in the thin-film science literature. Manometer based pressure monitoring systems have a 1 to 2 second delay time and are too slow to detect common pressure bursts during the deposition process. Plasma diagnostic feedback is much faster, of the order of 0.1 second. Plasma total-current feedback was used successfully to detect a typical pressure disturbance associated with the ion plating process. Plasma current is related to ion density and process pressure. A real-time control application was used to detect the pressure disturbance by monitoring plasma-total current and converting it to feedback-input to a pressure control system. Pressure overshoot was eliminated using a nominal PID controller with feedback from a plasma-current diagnostic measurement tool.

  9. Sputter Deposition of Yttrium-Barium Superconductor and Strontium Titanium Oxide Barrier Layer Thin Films

    NASA Astrophysics Data System (ADS)

    Truman, James Kelly

    1992-01-01

    The commercial application of superconducting rm YBa_2Cu_3O_{7 -x} thin films requires the development of deposition methods which can be used to reproducibly deposit films with good superconducting properties on insulating and semiconducting substrates. Sputter deposition is the most popular method to fabricate Y-Ba-Cu-O superconductor thin films, but when used in the standard configuration suffers from a deviation between the compositions of the Y-Ba-Cu-O sputter target and deposited films, which is thought to be primarily due to resputtering of the film by negative ions sputtered from the target. In this study, the negative ions were explicitly identified and were found to consist predominantly O^-. The sputter yield of O^- was found to depend on the Ba compound used in the fabrication of Y -Ba-Cu-O targets and was related to the electronegativity difference between the components. An unreacted mixture of rm Y_2O_3, CuO, and BaF_2 was found to have the lowest O^- yield among targets with Y:Ba:Cu = 1:2:3. The high yield of O^- from rm YBa_2Cu_3O _{7-x} was found to depend on the target temperature and be due to the excess oxygen present. The SIMS negative ion data supported the composition data for sputter-deposited Y-Ba-Cu-O films. Targets using BaF _2 were found to improve the Ba deficiency, the run-to-run irreproducibility and the nonuniformity of the film composition typically found in sputtered Y -Ba-Cu-O films. Superconducting Y-Ba-Cu-O films were formed on SrTiO_3 substrates by post-deposition heat treatment of Y-Ba-Cu-O-F films in humid oxygen. The growth of superconducting rm YBa_2Cu_3O_{7-x}, thin films on common substrates such as sapphire or silicon requires the use of a barrier layer to prevent the deleterious interaction which occurs between Y-Ba-Cu-O films and these substrates. Barrier layers of SrTiO_3 were studied and found to exhibit textured growth with a preferred (111) orientation on (100) Si substrates. However, SrTiO_3 was found to be

  10. Photoelectrochemical (PEC) studies on Cu2SnS3 (CTS) thin films deposited by chemical bath deposition method.

    PubMed

    Shelke, H D; Lokhande, A C; Kim, J H; Lokhande, C D

    2017-11-15

    Cu 2 SnS 3 (CTS) thin films have been successfully deposited on a cost-effective stainless steel substrate by simple and inexpensive chemical bath deposition (CBD) method. The films are deliberated in provisos of their structural, morphological, optical and photoelectrochemical (PEC) properties before and after annealing treatment, using various physico-chemical techniques. The XRD studies showed the formation of triclinic phase of CTS films with nanocrystalline structure. Also, the crystallinity is enhanced with annealing and the secondary phase of Cu 2 S observed. Raman analysis confirmed the formation of CTS compound with secondary Cu 2 S phase. The SEM images also discovered mostly tiny spherical grains and significant progress in the size of grains after annealing. The films possess direct transitions with band gap energies of 1.35eV and 1.31eV before and after annealing, respectively. The improved photoconversion efficiency of CTS thin film based PEC cell is explained with the help of theoretical modeling of energy band diagram and correspondent circuit model of the impedance spectra. Copyright © 2017 Elsevier Inc. All rights reserved.

  11. Template-assisted electrostatic spray deposition as a new route to mesoporous, macroporous, and hierarchically porous oxide films.

    PubMed

    Sokolov, S; Paul, B; Ortel, E; Fischer, A; Kraehnert, R

    2011-03-01

    A novel film coating technique, template-assisted electrostatic spray deposition (TAESD), was developed for the synthesis of porous metal oxide films and tested on TiO(2). Organic templates are codeposited with the titania precursor by electrostatic spray deposition and then removed during calcination. Resultant films are highly porous with pores casted by uniformly sized templates, which introduced a new level of control over the pore morphology for the ESD method. Employing the amphiphilic block copolymer Pluronic P123, PMMA latex spheres, or a combination of the two, mesoporous, macroporous, and hierarchically porous TiO(2) films are obtained. Decoupled from other coating parameters, film thickness can be controlled by deposition time or depositing multiple layers while maintaining the coating's structure and integrity.

  12. Optical characterization of Mg-doped ZnO thin films deposited by RF magnetron sputtering technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Satyendra Kumar; Tripathi, Shweta; Hazra, Purnima

    2016-05-06

    This paper reports the in-depth analysis on optical characteristics of magnesium (Mg) doped zinc oxide (ZnO) thin films grown on p-silicon (Si) substrates by RF magnetron sputtering technique. The variable angle ellipsometer is used for the optical characterization of as-deposited thin films. The optical reflectance, transmission spectra and thickness of as-deposited thin films are measured in the spectral range of 300-800 nm with the help of the spectroscopic ellipsometer. The effect of Mg-doping on optical parameters such as optical bandgap, absorption coefficient, absorbance, extinction coefficient, refractive Index and dielectric constant for as-deposited thin films are extracted to show its application inmore » optoelectronic and photonic devices.« less

  13. Room temperature deposition of sputtered TiN films for superconducting coplanar waveguide resonators

    NASA Astrophysics Data System (ADS)

    Ohya, S.; Chiaro, B.; Megrant, A.; Neill, C.; Barends, R.; Chen, Y.; Kelly, J.; Low, D.; Mutus, J.; O'Malley, P. J. J.; Roushan, P.; Sank, D.; Vainsencher, A.; Wenner, J.; White, T. C.; Yin, Y.; Schultz, B. D.; Palmstrøm, C. J.; Mazin, B. A.; Cleland, A. N.; Martinis, John M.

    2014-01-01

    We present a systematic study of the properties of room temperature deposited TiN films by varying the deposition conditions in an ultra-high-vacuum reactive magnetron sputtering chamber. By increasing the deposition pressure from 2 to 9 mTorr while keeping a nearly stoichiometric composition of Ti1-xNx (x = 0.5) without substrate heating, the film resistivity increases, the dominant crystal orientation changes from (100) to (111), grain boundaries become clearer, and the strong compressive in-plane strain changes to weak tensile in-plane strain. The TiN films absorb a high concentration of contaminants including hydrogen, carbon, and oxygen when they are exposed to air after deposition. With the target-substrate distance set to 88 mm the contaminant levels increase from ˜0.1% to ˜10% as the pressure is increased from 2 to 9 mTorr. The contaminant concentrations also correlate with in-plane distance from the center of the substrate and increase by roughly two orders of magnitude as the target-substrate distance is increased from 88 to 266 mm. These contaminants are found to strongly influence the properties of TiN thin films. For instance, the resistivity of stoichiometric films increases by around a factor of 5 as the oxygen content increases from 0.1% to 11%. These results strongly suggest that the energy of the sputtered TiN particles plays a crucial role in determining the TiN film properties, and that it is important to precisely control the energy of these particles to obtain high-quality TiN films. Superconducting coplanar waveguide resonators made from a series of nearly stoichiometric films grown at pressures from 2 to 7 mTorr show a substantial increase in intrinsic quality factor from ˜104 to ˜106 as the magnitude of the compressive strain decreases from nearly 3800 MPa to approximately 150 MPa and the oxygen content increases from 0.1% to 8%. Surprisingly, the films with a higher oxygen content exhibit lower loss, but care must be taken when

  14. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    PubMed

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  15. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  16. Fabrication and kinetics study of nano-Al/NiO thermite film by electrophoretic deposition.

    PubMed

    Zhang, Daixiong; Li, Xueming

    2015-05-21

    Nano-Al/NiO thermites were successfully prepared as film by electrophoretic deposition (EPD). For the key issue of this EPD, a mixture solvent of ethanol-acetylacetone (1:1 in volume) containing 0.00025 M nitric acid was proved to be a suitable dispersion system for EPD. The kinetics of electrophoretic deposition for both nano-Al and nano-NiO were investigated; the linear relation between deposition weight and deposition time in short time and parabolic relation in prolonged time were observed in both EPDs. The critical transition time between linear deposition kinetics and parabolic deposition kinetics for nano-Al and nano-NiO were 20 and 10 min, respectively. The theoretical calculation of the kinetics of electrophoretic deposition revealed that the equivalence ratio of nano-Al/NiO thermites film would be affected by the behavior of electrophoretic deposition for nano-Al and nano-NiO. The equivalence ratio remained steady when the linear deposition kinetics dominated for both nano-Al and nano-NiO. The equivalence ratio would change with deposition time when deposition kinetics for nano-NiO changed into parabolic kinetics dominated after 10 min. Therefore, the rule was suggested to be suitable for other EPD of bicomposites. We also studied thermodynamic properties of electrophoretic nano-Al/NiO thermites film as well as combustion performance.

  17. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    NASA Astrophysics Data System (ADS)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  18. Structural and optical studied of nano structured lead sulfide thin films prepared by the chemical bath deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al Din, Nasser Saad, E-mail: nsaadaldin@yahoo.com; Hussain, Nabiha, E-mail: nabihahssin@yahoo.com; Jandow, Nidhal, E-mail: nidhaljandow@yahoo.com

    2016-07-25

    Lead (II) Sulfide PbS thin films were deposited on glass substrates at 25°C by chemical bath deposition (CBD) method. The structural properties of the films were studied as a function of the concentration of Thiourea (CS (NH{sub 2}){sub 2}) as Source of Sulfide and deposition time. The surface morphology of the films was characterized by X-ray diffraction and SEM. The obtained results showed that the as-deposited films Polycrystalline had cubic crystalline phase that belong to S.G: Fm3m. We found that they have preferred orientation [200]. Also the thickness of thin films decrease with deposition time after certain value and, itmore » observed free sulfide had orthorhombic phase. Optical properties showed that the thin films have high transmission at visible range and low transmission at UV, IR range. The films of PbS have direct band gap (I.68 - 2.32 ev) at 300 K the values of band energy decreases with increases thickness of the Lead (II) Sulfide films.« less

  19. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  20. Solution-deposited CIGS thin films for ultra-low-cost photovoltaics

    NASA Astrophysics Data System (ADS)

    Eldada, Louay A.; Hersh, Peter; Stanbery, Billy J.

    2010-09-01

    We describe the production of photovoltaic modules with high-quality large-grain copper indium gallium selenide (CIGS) thin films obtained with the unique combination of low-cost ink-based precursors and a reactive transfer printing method. The proprietary metal-organic inks contain a variety of soluble Cu-, In- and Ga- multinary selenide materials; they are called metal-organic decomposition (MOD) precursors, as they are designed to decompose into the desired precursors. Reactive transfer is a two-stage process that produces CIGS through the chemical reaction between two separate precursor films, one deposited on the substrate and the other on a printing plate in the first stage. In the second stage, these precursors are rapidly reacted together under pressure in the presence of heat. The use of two independent thin films provides the benefits of independent composition and flexible deposition technique optimization, and eliminates pre-reaction prior to the synthesis of CIGS. In a few minutes, the process produces high quality CIGS films, with large grains on the order of several microns, and preferred crystallographic orientation, as confirmed by compositional and structural analysis by XRF, SIMS, SEM and XRD. Cell efficiencies of 14% and module efficiencies of 12% were achieved using this method. The atmospheric deposition processes include slot die extrusion coating, ultrasonic atomization spraying, pneumatic atomization spraying, inkjet printing, direct writing, and screen printing, and provide low capital equipment cost, low thermal budget, and high throughput.

  1. The Ion-Assisted Deposition of Optical Thin Films

    DTIC Science & Technology

    1988-01-01

    nitrogen ions (Martin et al. 1984b). The substrates were maintained at room temperature or at 300 °C. Films were deposited of the characteristic gold color...band matches that reported by Hass et al. (1959) for CeF3 films, with aborption bands at 210 nm, 220 nm, 235 nm, and 250 nm 03 corresponding to...onset of aborption than LaF 3 . This could be due to the relatively short-wavelength absorption edge of La20 3 (300 nm) in comparison to NdF3 (400 nm

  2. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  3. Metal-organic chemical vapour deposition of polycrystalline tetragonal indium sulphide (InS) thin films

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Cleaver, William M.; Barron, Andrew R.; Power, Michael B.; Hepp, Aloysius F.

    1992-01-01

    The dimeric indium thiolate /(t Bu)2In(mu-S sup t Bu)/2 has been used as a single-source precursor for the MOCVD of InS thin films. The dimeric In2S2 core is proposed to account for the formation of the nonequilibrium high-pressure tetragonal phase in the deposited films. Analysis of the deposited films has been obtained by TEM, with associated energy-dispersive X-ray analysis and X-ray photoelectron spectroscopy.

  4. Growth of ZnO films in sol-gel electrophoretic deposition by different solvents

    NASA Astrophysics Data System (ADS)

    Hallajzadeh, Amir Mohammad; Abdizadeh, Hossein; Taheri, Mahtab; Golobostanfard, Mohammad Reza

    2018-01-01

    This article introduces a process to fabricate zinc oxide (ZnO) films through combining sol preparation and electrophoretic deposition (EPD). The experimental results have proved that the EPD process is a powerful route to fabricate ZnO films with desire thickness from stable colloidal suspension under a direct current (DC) electric field. In this method, ZnO sol is prepared by dissolving zinc acetate dehydrate (ZAD) as the main precursor and diethanolamine (DEA) as the additive in various solvents such as methanol (MeOH), ethanol (EtOH), and 2-proponal (2-PrOH). The deposition was performed under a constant voltage of 30 V for 2 min. Scanning electron microscopy (SEM), X-ray diffraction (XRD), and diffuse reflectance spectroscopy (DRS) were used to characterize ZnO films. XRD pattern of the ZnO film prepared by MeOH shows the highest degree of preferential orientation and this is mainly attributed to the higher dielectric constant of the MeOH which results in higher current density in electrophoretic deposit ion. The SEM cross section images also show that the thickness of the ZnO film enhances by decreasing the solvent chain length. According to SEM results, as the viscosity of the medium increased, more compact layers are formed, which can be attributed to the lower deposition rates in heavier alcohols.

  5. Depth Profiling Analysis of Aluminum Oxidation During Film Deposition in a Conventional High Vacuum System

    NASA Technical Reports Server (NTRS)

    Kim, Jongmin; Weimer, Jeffrey J.; Zukic, Muamer; Torr, Douglas G.

    1994-01-01

    The oxidation of aluminum thin films deposited in a conventional high vacuum chamber has been investigated using x-ray photoelectron spectroscopy (XPS) and depth profiling. The state of the Al layer was preserved by coating it with a protective MgF2 layer in the deposition chamber. Oxygen concentrations in the film layers were determined as a function of sputter time (depth into the film). The results show that an oxidized layer is formed at the start of Al deposition and that a less extensively oxidized Al layer is deposited if the deposition rate is fast. The top surface of the Al layer oxidizes very quickly. This top oxidized layer may be thicker than has been previously reported by optical methods. Maximum oxygen concentrations measured by XPS at each Al interface are related to pressure to rate ratios determined during the Al layer deposition.

  6. Evaluation of the structural, optical and electrical properties of AZO thin films prepared by chemical bath deposition for optoelectronics

    NASA Astrophysics Data System (ADS)

    Kumar, K. Deva Arun; Valanarasu, S.; Rosario, S. Rex; Ganesh, V.; Shkir, Mohd.; Sreelatha, C. J.; AlFaify, S.

    2018-04-01

    Aluminum doped zinc oxide (AZO) thin films for electrode applications were deposited on glass substrates using chemical bath deposition (CBD) method. The influence of deposition time on the structural, morphological, and opto-electrical properties of AZO films were investigated. Structural studies confirmed that all the deposited films were hexagonal wurtzite structure with polycrystalline nature and exhibited (002) preferential orientation. There is no other impurity phases were detected for different deposition time. Surface morphological images shows the spherically shaped grains are uniformly arranged on to the entire film surface. The EDS spectrum confirms the presence of Zn, O and Al elements in deposited AZO film. The observed optical transmittance is high (87%) in the visible region, and the calculated band gap value is 3.27 eV. In this study, the transmittance value is decreased with increasing deposition time. The room temperature PL spectrum exposed that AZO thin film deposited at (60 min) has good optical quality with less defect density. The minimum electrical resistivity and maximum carrier concentration values were observed as 8.53 × 10-3(Ω cm) and 3.53 × 1018 cm-3 for 60 min deposited film, respectively. The obtained figure of merit (ϕ) value 3.05 × 10-3(Ω/sq)- 1 is suggested for an optoelectronic device.

  7. Effect of deposition temperature & oxygen pressure on mechanical properties of (0.5) BZT-(0.5)BCT ceramic thin films

    NASA Astrophysics Data System (ADS)

    Sailaja, P.; Kumar, N. Pavan; Rajalakshmi, R.; Kumar, R. Arockia; Ponpandian, N.; Prabahar, K.; Srinivas, A.

    2018-05-01

    Lead free ferroelectric thin films of {(0.5) BZT-(0.5) BCT} (termed as BCZT) were deposited on Pt/TiO2/SiO2/Si substrates by pulsed laser deposition at four deposition temperatures 600, 650, 700, 750°C and at two oxygen pressures viz. 75mtorr and 100 mtorr using BCZT ceramic target (prepared by solid state sintering method). The effect of deposition temperature and oxygen pressure on the structure, microstructure and mechanical properties of BCZT films were studied. X-ray diffraction patterns of deposited films confirm tetragonal crystal symmetry and the crystallinity of the films increases with increasing deposition temperature. Variation in BCZT grain growth was observed when the films are deposited at different temperatures andoxygen pressures respectively. The mechanical properties viz. hardness and elastic modulus were also found to be high with increase in the deposition temperature and oxygen pressure. The results will be discussed.

  8. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  9. Calcium phosphates deposited on titanium electrode surface--part 1: Effect of the electrode polarity and oxide film on the deposited materials.

    PubMed

    Okawa, Seigo; Watanabe, Kouichi; Kanatani, Mitsugu

    2013-01-01

    We report experimental results about the effect of polarity of electrode and anodized titanium oxide film on the deposited materials by electrolysis of an acidic calcium phosphate solution. Mirror-polished titanium and anodized titanium were used as anode or cathode, and a Pt plate was used as a counter electrode. The load voltage was held constant at 20 VDC. No deposited materials were found on the anode surface. On the other hand, dicalcium phosphate dihydrate (DCPD) was deposited on the cathode surface at the beginning of the electrolysis. After the electrolysis time 600 s, the non-stoichiometric hydroxyapatite (HAp) with several hundred nanometers was formed on the specimen surface. Based on X-ray photoelectron spectroscopy data, the anodized oxide film contained both P(5+) and P(3+) ions. This characteristic of the oxide film and the electrolysis conditions were related to the behavior of the deposition of ultra fine HAp with high crystallinity.

  10. Glancing angle deposition of sculptured thin metal films at room temperature

    NASA Astrophysics Data System (ADS)

    Liedtke, S.; Grüner, Ch; Lotnyk, A.; Rauschenbach, B.

    2017-09-01

    Metallic thin films consisting of separated nanostructures are fabricated by evaporative glancing angle deposition at room temperature. The columnar microstructure of the Ti and Cr columns is investigated by high resolution transmission electron microscopy and selective area electron diffraction. The morphology of the sculptured metallic films is studied by scanning electron microscopy. It is found that tilted Ti and Cr columns grow with a single crystalline morphology, while upright Cr columns are polycrystalline. Further, the influence of continuous substrate rotation on the shaping of Al, Ti, Cr and Mo nanostructures is studied with view to surface diffusion and the shadowing effect. It is observed that sculptured metallic thin films deposited without substrate rotation grow faster compared to those grown with continuous substrate rotation. A theoretical model is provided to describe this effect.

  11. Effect of complexing agent on the photoelectrochemical properties of bath deposited CdS thin films

    NASA Astrophysics Data System (ADS)

    Patil, S. B.; Singh, A. K.

    2010-02-01

    In the present paper photoelectrochemical (PEC) performance of bath deposited CdS thin films based on complexing agents i.e. ammonia and triethanolamine (TEA) has been discussed. Effect of annealing has also been analyzed. The as-deposited and annealed (at 523 K for 1 h in air) films were characterized by X-ray diffraction (XRD), ultraviolet-visible (UV-vis) absorption spectroscopy, SEM, electrochemical impedance spectroscopy (EIS), and PEC properties. XRD studies revealed that the films were nanocrystalline in nature with mixed hexagonal and cubic phases. TEA complex resulted in better crystallinity. Further improvement in the crystallinity of the films was observed after air annealing. The marigold flower-like structure, in addition to flakes morphology, was observed with TEA complex, whereas for ammonia complex only flakes morphology was observed. The UV-vis absorption studies revealed that the optical absorption edge for the films with ammonia and TEA complex was around 475 nm and 500 nm, respectively. Annealing of the films resulted in red shift in the UV-vis absorption. The PEC cell performance of CdS films was found to be strongly affected by crystallinity and morphology of the films resulted due to complexing agent and annealing. The air annealed film deposited using TEA complex showed maximum short circuit current density ( Jsc) and open circuit voltage ( Voc) i.e. 99 μA/cm 2 and 376 mV respectively, under 10 mW/cm 2 of illumination. The films deposited using TEA complex showed good stability under PEC cell conditions.

  12. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    NASA Astrophysics Data System (ADS)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  13. New deposition technique for metal films containing inorganic fullerene-like (IF) nanoparticles.

    PubMed

    Goldbart, Ohad; Yoffe, Alexander; Cohen, Sidney R; Rosentsveig, Rita; Feldman, Yishay; Rapoport, Lev; Tenne, Reshef

    2013-07-22

    This study describes a new method for fabrication of thin composite films using physical vapor deposition (PVD). Titanium (Ti) and hybrid films of titanium containing tungsten disulphide nanoparticles with inorganic fullerene-like structure (Ti/IF-WS2) were fabricated with a modified PVD machine. The evaporation process includes the pulsed deposition of IF-WS2 by a sprayer head. This process results in IF-WS2 nanoparticles embedded in a Ti matrix. The layers were characterized by various techniques, which confirm the composition and structure of the hybrid film. The Ti/IF-WS2 shows better wear resistance and a lower friction coefficient when compared to the Ti layer or Ti substrate. The Ti/IF films show very good antireflective properties in the visible and near-IR region. Such films may find numerous applications, for example, in the aerospace and medical technology. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  15. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr; Gumus, Cebrail

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized inmore » the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.« less

  16. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  17. Ion beam deposition of amorphous carbon films with diamond like properties

    NASA Technical Reports Server (NTRS)

    Angus, John C.; Mirtich, Michael J.; Wintucky, Edwin G.

    1982-01-01

    Carbon films were deposited on silicon, quartz, and potassium bromide substrates from an ion beam. Growth rates were approximately 0.3 micron/hour. The films were featureless and amorphous and contained only carbon and hydrogen in significant amounts. The density and carbon/hydrogen ratio indicate the film is a hydrogen deficient polymer. One possible structure, consistent with the data, is a random network of methylene linkages and tetrahedrally coordinated carbon atoms.

  18. Molecular weight dependent structure and charge transport in MAPLE-deposited poly(3-hexylthiophene) thin films

    DOE PAGES

    Dong, Ban Xuan; Smith, Mitchell; Strzalka, Joseph; ...

    2018-02-06

    In this work, poly(3-hexylthiophene) (P3HT) films prepared using the matrix-assisted pulsed laser evaporation (MAPLE) technique are shown to possess morphological structures that are dependent on molecular weight (MW). Specifically, the structures of low MW samples of MAPLE-deposited film are composed of crystallites/aggregates embedded within highly disordered environments, whereas those of high MW samples are composed of aggregated domains connected by long polymer chains. Additionally, the crystallite size along the side-chain (100) direction decreases, whereas the conjugation length increases with increasing molecular weight. This is qualitatively similar to the structure of spin-cast films, though the MAPLE-deposited films are more disordered. In-planemore » carrier mobilities in the MAPLE-deposited samples increase with MW, consistent with the notion that longer chains bridge adjacent aggregated domains thereby facilitating more effective charge transport. The carrier mobilities in the MAPLE-deposited simples are consistently lower than those in the solvent-cast samples for all molecular weights, consistent with the shorter conjugation length in samples prepared by this deposition technique.« less

  19. Molecular weight dependent structure and charge transport in MAPLE-deposited poly(3-hexylthiophene) thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Ban Xuan; Smith, Mitchell; Strzalka, Joseph

    In this work, poly(3-hexylthiophene) (P3HT) films prepared using the matrix-assisted pulsed laser evaporation (MAPLE) technique are shown to possess morphological structures that are dependent on molecular weight (MW). Specifically, the structures of low MW samples of MAPLE-deposited film are composed of crystallites/aggregates embedded within highly disordered environments, whereas those of high MW samples are composed of aggregated domains connected by long polymer chains. Additionally, the crystallite size along the side-chain (100) direction decreases, whereas the conjugation length increases with increasing molecular weight. This is qualitatively similar to the structure of spin-cast films, though the MAPLE-deposited films are more disordered. In-planemore » carrier mobilities in the MAPLE-deposited samples increase with MW, consistent with the notion that longer chains bridge adjacent aggregated domains thereby facilitating more effective charge transport. The carrier mobilities in the MAPLE-deposited simples are consistently lower than those in the solvent-cast samples for all molecular weights, consistent with the shorter conjugation length in samples prepared by this deposition technique.« less

  20. Preparation of tris(8-hydroxyquinolinato)aluminum thin films by sputtering deposition using powder and pressed powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Tanaka, Rei; Suda, Yoshiaki

    2017-06-01

    Tris(8-hydroxyquinolinato)aluminum (Alq3) thin films, for use in organic electroluminescence displays, were prepared by a sputtering deposition method using powder and pressed powder targets. Experimental results suggest that Alq3 thin films can be prepared using powder and pressed powder targets, although the films were amorphous. The surface color of the target after deposition became dark brown, and the Fourier transform infrared spectroscopy spectrum changed when using a pressed powder target. The deposition rate of the film using a powder target was higher than that using a pressed powder target. That may be because the electron and ion densities of the plasma generated using the powder target are higher than those when using pressed powder targets under the same deposition conditions. The properties of a thin film prepared using a powder target were almost the same as those of a film prepared using a pressed powder target.

  1. Effects of various deposition times and RF powers on CdTe thin film growth using magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2016-09-01

    Cadmium telluride (CdTe) is a p-type II-VI compound semiconductor, which is an active component for producing photovoltaic solar cells in the form of thin films, due to its desirable physical properties. In this study, CdTe film was deposited using the radio frequency (RF) magnetron sputtering system onto a glass substrate. To improve the properties of the CdTe film, effects of two experimental parameters of deposition time and RF power were investigated on the physical properties of the CdTe films. X-ray Diffraction (XRD), atomic force microscopy (AFM) and spectrophotometer were used to study the structural, morphological and optical properties of the CdTe samples grown at different experimental conditions, respectively. Our results suggest that film properties strongly depend on the experimental parameters and by optimizing these parameters, it is possible to tune the desired structural, morphological and optical properties. From XRD data, it is found that increasing the deposition time and RF power leads to increasing the crystallinity as well as the crystal sizes of the grown film, and all the films represent zinc blende cubic structure. Roughness values given from AFM images suggest increasing the roughness of the CdTe films by increasing the RF power and deposition times. Finally, optical investigations reveal increasing the film band gaps by increasing the RF power and the deposition time.

  2. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  3. Effect of N2 flow during deposition on p-type ZnO film

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Liu, Bor-Chang

    2017-01-01

    In this study, the influence of a nitrogen source on p-type conductive ZnO films was studied. Rapid thermal oxidation was conducted to oxidize ZnN films and convert them to ZnO films. When an as-deposited ZnN film was prepared at a high nitrogen gas flow rate, the converted ZnO film possessed many acceptors and showed stable p-type conduction. This p-type conduction was attributed to the nitrogen gas flow providing many “No” states, which act as acceptors within the processed ZnO film. It was found that the as-deposited ZnN film prepared at a high nitrogen gas flow rate is oxidized slightly so that only a few nitrogen atoms were replaced by oxygen. The carrier concentration and mobility of the optimized oxidized ZnN film were 9.76 × 1017 cm-3 and 62.78 cm2 V-1 s-1, respectively. A good rectified current-voltage characteristic with a turn-on voltage of 3.65 V was achieved for the optimized ZnO:N/ZnO junction.

  4. Growth of ternary CdxZn1-xO thin films in oxygen ambient using pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sharma, Sugandha; Saini, Basant; Kaur, Ravinder; Gupta, Vinay; Tomar, Monika; Kapoor, Avinashi

    2018-05-01

    This study reports the growth of cadmium alloyed zinc (CdxZn1-xO) oxide thin films using pulsed laser deposition. The films are deposited on Corning glass substrates at different oxygen pressures of 5, 20, and 40 mTorr. High resolution X-ray diffraction studies reveal mixed phase (hexagonal and cubic) for films deposited at 20 and 40 mTorr, while a cubic phase for film deposited at 5 mTorr pressure. Optical transmittance studies indicate red-shifting of transmission edge as oxygen pressure decreases to 5 mTorr from 20 mTorr, hinting at a possible increase in cadmium content in thin films. Minimum band gap energy is obtained at growth pressure of 5 mTorr. Resistivity measurements have been performed using Hall effect measurement set up at 298 K.

  5. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  6. Novel growth techniques for the deposition of high-quality perovskite thin films

    NASA Astrophysics Data System (ADS)

    Ng, Annie; Ren, Zhiwei; Li, Gang; Djurišić, Aleksandra B.; Surya, Charles

    2018-02-01

    We present investigations on the growth of high quality CH3NH3PbI3 (MAPI) thin films using both vapor and solution techniques. Recent work on perovskite film growth indicates critical dependencies of the film quality on the nucleation and crystallization steps requiring: i.) uniform distribution of nucleation sites; and ii.) optimal crystallization rate that facilitates the growth of a compact, continuous film with low density of pinholes. Our work shows that the hybrid chemical vapor deposition technique (HCVD) technique is well suited for the deposition of evenly distributed nucleation sites and the optimization of the crystallization rate of the film through detailed monitoring of the thermal profile of the growth process. Signficant reduction in the defect states is recorded by annealing the perovskite films in O2. The results are consistent with theoretical studies by Yin et al. 1 on O and Cl passivation of the shallow states at the grain boundary of MAPI. Their work provides the theoretical basis for our experimental observations on the passivation of shallow states by oxygen annealing. High quality films were achieved through detailed management of the carrier gas composition and the thermal profile of the nucleation and crystallization steps.

  7. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  8. Studies on annealed ZnO:V thin films deposited by nebulised spray pyrolysis method

    NASA Astrophysics Data System (ADS)

    Malini, D. Rachel

    2018-04-01

    Structural, optical and photoluminescence properties of annealed ZnO:V thin films deposited by nebulized spray pyrolysis technique by varying vanadium concentration are studied. Thickness of thin films varies from 1.52µm to 7.78µm. V2O5, VO2 and ZnO peaks are observed in XRD patterns deposited with high vanadium concentration and the intensity of peaks corresponding to ZnO decreases in those samples. Morphological properties were studied by analysing SEM images and annealed thin films deposited at ZnO:V = 50:50 possess dumb bell shape grains. Emission peaks corresponding to both Augur transition and deep level transition are observed in the PL spectra of the samples.

  9. Molecular dynamics simulation of temperature effects on deposition of Cu film on Si by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Zhu, Guo; Sun, Jiangping; Zhang, Libin; Gan, Zhiyin

    2018-06-01

    The temperature effects on the growth of Cu thin film on Si (0 0 1) in the context of magnetron sputtering deposition were systematically studied using molecular dynamics (MD) method. To improve the comparability of simulation results at varying temperatures, the initial status data of incident Cu atoms used in all simulations were read from an identical file via LAMMPS-Python interface. In particular, crystalline microstructure, interface mixing and internal stress of Cu thin film deposited at different temperatures were investigated in detail. With raising the substrate temperature, the interspecies mixed volume and the proportion of face-centered cubic (fcc) structure in the deposited film both increased, while the internal compressive stress decreased. It was found that the fcc structure in the deposited Cu thin films was 〈1 1 1〉 oriented, which was reasonably explained by surface energy minimization and the selectivity of bombardment energy to the crystalline planes. The quantified analysis of interface mixing revealed that the diffusion of Cu atoms dominated the interface mixing, and the injection of incident Cu atoms resulted in the densification of phase near the film-substrate interface. More important, the distribution of atomic stress indicated that the compressive stress was mainly originated from the film-substrate interface, which might be attributed to the densification of interfacial phase at the initial stage of film deposition.

  10. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  11. Surface treatment of nanocrystal quantum dots after film deposition

    DOEpatents

    Sykora, Milan; Koposov, Alexey; Fuke, Nobuhiro

    2015-02-03

    Provided are methods of surface treatment of nanocrystal quantum dots after film deposition so as to exchange the native ligands of the quantum dots for exchange ligands that result in improvement in charge extraction from the nanocrystals.

  12. Structural and electrical characteristics of CoGe(2) alloy films deposited heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, Kevin Edward

    The partially ionized beam deposition system was utilized to deposit CoGesb2 thin films heteroepitaxially on GaAs(100) substrates in a conventional vacuum. The CoGesb2 films were structurally characterized using conventional 2theta diffraction, reflection X-ray pole figure analysis, and alpha particle channeling techniques. Three distinct crystallographic relationships of the CoGesb2 films to the GaAs(100) substrates were observed, dependent upon the substrate temperature and Gesp+ ion energy used during deposition. The CoGesb2(001) (100)sp°GaAs(100) (001) orientation, which has the smallest lattice mismatch to GaAs(100), was found to occur for depositions performed at a substrate temperature during deposition near 280sp°C with approximately 1160 eV Gesp+ ions. Lowering the substrate temperature or reducing the Gesp+ ion energy results in CoGesb2(100) orientation domination with CoGe2(100) (010)sp°GaAs(100) (001) and CoGesb2(100) (001)sp°GaAs(100) (001). Substrate temperature alone was seen to produce only the CoGesb2(100) orientation. For CoGesb2(001) films, additional energy was required from Gesp+ ions in the evaporant stream. Angular yield profiles for axial Hesp{++} ion channeling yielded values for the minimum yield, Ysb{min}, of 25% for the CoGesb2(001) orientation and 34% for the CoGesb2(100) orientation. The critical angle for channeling, Psisb{c}, was measured to be 1.0sp° for both orientations. Channeling theory was used to predict the minimum yield and critical angle for each orientation. The theoretical values agreed qualitatively with the experimentally measured values, and the theory correctly predicted the lower minimum yield for the CoGesb2(001) orientation. Annealing the films to allow for epitaxial grain growth resulted in orientation selection of CoGesb2(001) at the expense of CoGesb2(100), exposing CoGesb2(100) as a metastable orientation. The CoGesb2(001) films were stable up to 500sp°C, 30 minute anneals, showing no orientation changes

  13. Measurement of thickness of film deposited on the plasma-facing wall in the QUEST tokamak by colorimetry.

    PubMed

    Wang, Z; Hanada, K; Yoshida, N; Shimoji, T; Miyamoto, M; Oya, Y; Zushi, H; Idei, H; Nakamura, K; Fujisawa, A; Nagashima, Y; Hasegawa, M; Kawasaki, S; Higashijima, A; Nakashima, H; Nagata, T; Kawaguchi, A; Fujiwara, T; Araki, K; Mitarai, O; Fukuyama, A; Takase, Y; Matsumoto, K

    2017-09-01

    After several experimental campaigns in the Kyushu University Experiment with Steady-state Spherical Tokamak (QUEST), the originally stainless steel plasma-facing wall (PFW) becomes completely covered with a deposited film composed of mixture materials, such as iron, chromium, carbon, and tungsten. In this work, an innovative colorimetry-based method was developed to measure the thickness of the deposited film on the actual QUEST wall. Because the optical constants of the deposited film on the PFW were position-dependent and the extinction coefficient k 1 was about 1.0-2.0, which made the probing light not penetrate through some thick deposited films, the colorimetry method developed can only provide a rough value range of thickness of the metal-containing film deposited on the actual PFW in QUEST. However, the use of colorimetry is of great benefit to large-area inspections and to radioactive materials in future fusion devices that will be strictly prohibited from being taken out of the limited area.

  14. Morphological and crystalline characterization of pulsed laser deposited pentacene thin films for organic transistor applications

    NASA Astrophysics Data System (ADS)

    Pereira, Antonio; Bonhommeau, Sébastien; Sirotkin, Sergey; Desplanche, Sarah; Kaba, Mamadouba; Constantinescu, Catalin; Diallo, Abdou Karim; Talaga, David; Penuelas, Jose; Videlot-Ackermann, Christine; Alloncle, Anne-Patricia; Delaporte, Philippe; Rodriguez, Vincent

    2017-10-01

    We show that high-quality pentacene (P5) thin films of high crystallinity and low surface roughness can be produced by pulsed laser deposition (PLD) without inducing chemical degradation of the molecules. By using Raman spectroscopy and X-ray diffraction measurements, we also demonstrate that the deposition of P5 on Au layers result in highly disordered P5 thin films. While the P5 molecules arrange within the well-documented 1.54-nm thin-film phase on high-purity fused silica substrates, this ordering is indeed destroyed upon introducing an Au interlayer. This observation may be one explanation for the low electrical performances measured in P5-based organic thin film transistors (OTFTs) deposited by laser-induced forward transfer (LIFT).

  15. Organic Photovoltaic Devices Based on Oriented n-Type Molecular Films Deposited on Oriented Polythiophene Films.

    PubMed

    Mizokuro, Toshiko; Tanigaki, Nobutaka; Miyadera, Tetsuhiko; Shibata, Yousei; Koganezawa, Tomoyuki

    2018-04-01

    The molecular orientation of π-conjugated molecules has been reported to significantly affect the performance of organic photovoltaic devices (OPVs) based on molecular films. Hence, the control of molecular orientation is a key issue toward the improvement of OPV performance. In this research, oriented thin films of an n-type molecule, 3,4,9,10-Perylenetetracarboxylic Bisbenzimida-zole (PTCBI), were formed by deposition on in-plane oriented polythiophene (PT) films. Orientation of the PTCBI films was evaluated by polarized UV-vis spectroscopy and 2D-Grazing incidence X-ray diffraction. Results indicated that PTCBI molecules on PT film exhibit nearly edge-on and in-plane orientation (with molecular long axis along the substrate), whereas PTCBI molecules without PT film exhibit neither. OPVs composed of PTCBI molecular film with and without PT were fabricated and evaluated for correlation of orientation with performance. The OPVs composed of PTCBI film with PT showed higher power conversion efficiency (PCE) than that of film without PT. The experiment indicated that in-plane orientation of PTCBI molecules absorbs incident light more efficiently, leading to increase in PCE.

  16. Femtosecond pulsed laser deposition of amorphous, ultrahard boride thin films

    NASA Astrophysics Data System (ADS)

    Stock, Michael; Molian, Pal

    2004-05-01

    Amorphous thin films (300-500 nm) of ultrahard AlMgB10 with oxygen and carbon impurities were grown on Si (100) substrates at 300 K using a solid target of AlMgB14 containing a spinel phase (MgAl2O4) and using a 120 fs pulsed, 800 nm wavelength Ti:sapphire laser. The films were subsequently annealed in argon gas up to 1373 K for 2 h. Scanning electron microscopy (SEM) was used to examine the particulate formation, atomic force microscopy was employed to characterize the film surface topography, x-ray diffraction and transmission electron microscopy were used to determine the microstructure, x-ray photoelectron spectroscopy was performed to examine the film composition, and nanoindentation was employed to study the hardness of thin films. The as-deposited and postannealed films (up to 1273 K) had a stochiometry of AlMgB10 with a significant amount of oxygen and carbon impurities and exhibited amorphous structures for a maximum hardness of 40+/-3 GPa. However, postannealing at higher temperatures led to crystallization and transformation of the film to SiB6 with a substantial loss in hardness. Results are also compared with our previous study on 23 ns, 248 nm wavelength (KrF excimer) pulsed laser deposition of AlMgB14 reported in this journal [Y. Tian, A. Constant, C. C. H. Lo, J. W. Anderegg, A. M. Russell, J. E. Snyder, and P. A. Molian, J. Vac. Sci. Technol. A 21, 1055 (2003)]. .

  17. Mineral resource of the month: zirconium and hafnium

    USGS Publications Warehouse

    Gambogi, Joseph

    2007-01-01

    Zirconium and hafnium are corrosion-resistant metals that are grouped in the same family as titanium on the periodic table. The two elements commonly occur in oxide and silicate minerals and have significant economic importance in everything from ink, ceramics and golf shoes to nuclear fuel rods.

  18. Characteristics of WN{sub x}C{sub y} films deposited using remote plasma atomic layer deposition with ({sup Me}Cp)W(CO){sub 2}(NO) for Cu diffusion barrier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hyunjung; Park, Jingyu; Jeon, Heeyoung

    Diffusion barrier characteristics of tungsten–nitride–carbide (WN{sub x}C{sub y}) thin films interposed between Cu and SiO{sub 2} layers were studied. The WN{sub x}C{sub y} films were deposited by remote plasma atomic layer deposition (RPALD) using a metal organic source, ({sup Me}Cp)W(CO){sub 2}(NO), and ammonia. Auger electron spectroscopy analysis indicated the WN{sub x}C{sub y} films consisted of tungsten, nitrogen, carbon, and oxygen. X-ray diffraction (XRD) analysis showed that the film deposited at 350 °C was nanocrystalline. The resistivity of WN{sub x}C{sub y} film deposited by RPALD was very low compared to that in previous research because of the lower nitrogen content and differentmore » crystal structures of the WN{sub x}C{sub y}. To verify the diffusion barrier characteristics of the WN{sub x}C{sub y} film, Cu films were deposited by physical vapor deposition after WN{sub x}C{sub y} film was formed by RPALD on Si substrate. The Cu/WN{sub x}C{sub y}/Si film stack was annealed in a vacuum by rapid thermal annealing at 500 °C. Cu diffusion through the barrier layer was verified by XRD. Stable film properties were observed up to 500 °C, confirming that WN{sub x}C{sub y} film is suitable as a Cu diffusion barrier in microelectronic circuits.« less

  19. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  20. Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kukli, Kaupo; Ritala, Mikko; Aarik, Jaan; Uustare, Teet; Leskela, Markku

    2002-08-01

    ZrO2 films were grown by atomic layer deposition from ZrCl4 and H2O or a mixture of H2O and H2O2 on Si(100) substrates in the temperature range of 180-600 degC. The films were evaluated in the as-deposited state, in order to follow the effect of deposition temperature on the film quality. The rate of crystal growth increased and the content of residual impurities decreased with increasing temperature. The zirconium-to-oxygen atomic ratio, determined by ion-beam analysis, corresponded to the stoichiometric dioxide regardless of the growth temperature. The effective permittivity of ZrO2 in Al/ZrO2/Si capacitor structures increased from 13-15 in the films grown at 180 degC to 19 in the films grown at 300-600 degC, measured at 100 kHz. The permittivity was relatively high in the crystallized films, compared to the amorphous ones, but rather insensitive to the crystal structure. The permittivity was higher in the films grown using water. The leakage current density tended to be lower and the breakdown field higher in the films grown using hydrogen peroxide.

  1. Shape-memory properties in Ni-Ti sputter-deposited film

    NASA Technical Reports Server (NTRS)

    Busch, J. D.; Johnson, A. D.; Lee, C. H.; Stevenson, D. A.

    1990-01-01

    A Ni-Ti alloy, generically called nitinol, was prepared from sputtering targets of two different compositions on glass substrates using a dc magnetron source. The as-deposited films were amorphous in structure and did not exhibit a shape memory. The amorphous films were crystallized with a suitable annealing process, and the transformation properties were measured using differential scanning calorimetry. The annealed films demonstrated a strong shape-memory effect. Stress/strain measurements and physical manipulation were used to evaluate the shape recovery. These tests demonstrated sustained tensile stresses of up to 480 MPa in the high-temperature phase, and a characteristic plastic deformation in the low-temperature phase.

  2. Influence of Continuous and Discontinuous Depositions on Properties of Ito Films Prepared by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Aiempanakit, K.; Rakkwamsuk, P.; Dumrongrattana, S.

    Indium tin oxide (ITO) films were deposited on glass substrate without external heating by DC magnetron sputtering with continuous deposition of 800 s (S1) and discontinuous depositions of 400 s × 2 times (S2), 200 s × 4 times (S3) and 100 s × 8 times (S4). The structural, surface morphology, optical transmittance and electrical resistivity of ITO films were measured by X-ray diffraction, atomic force microscope, spectrophotometer and four-point probe, respectively. The deposition process of the S1 condition shows the highest target voltage due to more target poisoning occurrence. The substrate temperature of the S1 condition increases with the saturation curve of the RC charging circuit while other conditions increase and decrease due to deposition steps as DC power turns on and off. Target voltage and substrate temperature of ITO films decrease when changing the deposition conditions from S1 to S2, S3 and S4, respectively. The preferential orientation of ITO films were changed from dominate (222) plane to (400) plane with the increasing number of deposition steps. The ITO film for the S4 condition shows the lowest electrical resistivity of 1.44 × 10-3 Ω·cm with the highest energy gap of 4.09 eV and the highest surface roughness of 3.43 nm. These results were discussed from the point of different oxygen occurring on the surface ITO target between the sputtering processes which affected the properties of ITO films.

  3. Electro-deposition of superconductor oxide films

    DOEpatents

    Bhattacharya, Raghu N.

    2001-01-01

    Methods for preparing high quality superconducting oxide precursors which are well suited for further oxidation and annealing to form superconducting oxide films. The method comprises forming a multilayered superconducting precursor on a substrate by providing an electrodeposition bath comprising an electrolyte medium and a substrate electrode, and providing to the bath a plurality of precursor metal salts which are capable of exhibiting superconducting properties upon subsequent treatment. The superconducting precursor is then formed by electrodepositing a first electrodeposited (ED) layer onto the substrate electrode, followed by depositing a layer of silver onto the first electrodeposited (ED) layer, and then electrodepositing a second electrodeposited (ED) layer onto the Ag layer. The multilayered superconducting precursor is suitable for oxidation at a sufficient annealing temperature in air or an oxygen-containing atmosphere to form a crystalline superconducting oxide film.

  4. In Situ deposition of YBCO high-T(sub c) superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P.; Gallois, B.; Kear, B.

    1990-01-01

    Metalorganic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T( sub c) greater than 90 K and Jc approx. 10 to the 4th power A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metalorganic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  5. Impact of laser power density on tribological properties of Pulsed Laser Deposited DLC films

    NASA Astrophysics Data System (ADS)

    Gayathri, S.; Kumar, N.; Krishnan, R.; AmirthaPandian, S.; Ravindran, T. R.; Dash, S.; Tyagi, A. K.; Sridharan, M.

    2013-12-01

    Fabrication of wear resistant and low friction carbon films on the engineered substrates is considered as a challenging task for expanding the applications of diamond-like carbon (DLC) films. In this paper, pulsed laser deposition (PLD) technique is used to deposit DLC films on two different types of technologically important class of substrates such as silicon and AISI 304 stainless steel. Laser power density is one of the important parameter used to tailor the fraction of sp2 bonded amorphous carbon (a-C) and tetrahedral amorphous carbon (ta-C) made by sp3 domain in the DLC film. The I(D)/I(G) ratio decreases with the increasing laser power density which is associated with decrease in fraction of a-C/ta-C ratio. The fraction of these chemical components is quantitatively analyzed by EELS which is well supported to the data obtained from the Raman spectroscopy. Tribological properties of the DLC are associated with chemical structure of the film. However, the super low value of friction coefficient 0.003 is obtained when the film is predominantly constituted by a-C and sp2 fraction which is embedded within the clusters of ta-C. Such a particular film with super low friction coefficient is measured while it was deposited on steel at low laser power density of 2 GW/cm2. The super low friction mechanism is explained by low sliding resistance of a-C/sp2 and ta-C clusters. Combination of excellent physical and mechanical properties of wear resistance and super low friction coefficient of DLC films is desirable for engineering applications. Moreover, the high friction coefficient of DLC films deposited at 9GW/cm2 is related to widening of the intergrain distance caused by transformation from sp2 to sp3 hybridized structure.

  6. Dependence of the surface roughness of MAPLE-deposited films on the solvent parameters

    NASA Astrophysics Data System (ADS)

    Caricato, A. P.; Leggieri, G.; Martino, M.; Vantaggiato, A.; Valerini, D.; Cretì, A.; Lomascolo, M.; Manera, M. G.; Rella, R.; Anni, M.

    2010-12-01

    Matrix-assisted pulsed laser evaporation (MAPLE) was used to deposit layers of poly(9,9-dioctylfluorene) (PFO) to study the relation between the solvent properties (laser light absorption, boiling temperature and solubility parameters) and the morphology of the deposited films. To this end, the polymer was diluted (0.5 wt%) in tetrahydrofuran—THF, toluene and toluene/hexane mixtures. The thickness of the films was equal to 70±20 nm. The morphology and uniformity of the films was investigated by Atomic Force Microscopy and by the photoluminescence emission properties of the polymer films, respectively. It is shown that, although the solubility parameters of the solvents are important in controlling the film roughness and morphology, the optical absorption properties and boiling temperature play a very important role, too. In fact, for matrices characterized by the same total solubility parameter, lower roughness values are obtained for films prepared using solvents with lower penetration depth of the laser radiation and higher boiling temperatures.

  7. Raman studied of undoped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    The undoped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The effect of different substrate deposition temperatures on structural and electrical properties of undoped doped amorphous carbon film was discussed. The structural of undoped amorphous carbon films were correlated with Raman analysis through the evolution of D and G bands, Fourier spectra, and conductivity measurement. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. The spectral evolution observed at different substrate deposition temperatures show progressive formation of crystallites. It was predicted that small number of hydrogen is terminated with carbon at surface of thin film as shown by FTIR spectra since palm oil has high number of hydrogen (C67H127O8). These structural changes were further correlated with conductivity and the results obtained are discussed and compared. The conductivity is found in the range of 10-8 Scm-1. The increase of conductivity is correlated by the change of structural properties as correlated with characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG and FTIR result.

  8. Texturing effects in molybdenum and aluminum nitride films correlated to energetic bombardment during sputter deposition

    NASA Astrophysics Data System (ADS)

    Drüsedau, T. P.; Koppenhagen, K.; Bläsing, J.; John, T.-M.

    Molybdenum films sputter-deposited at low pressure show a (110) to (211) texture turnover with increasing film thickness, which is accompanied by a transition from a fiber texture to a mosaic-like texture. The degree of (002) texturing of sputtered aluminum nitride (AlN) films strongly depends on nitrogen pressure in Ar/N2 or in a pure N2 atmosphere. For the understanding of these phenomena, the power density at the substrate during sputter deposition was measured by a calorimetric method and normalized to the flux of deposited atoms. For the deposition of Mo films and various other elemental films, the results of the calorimetric measurements are well described by a model. This model takes into account the contributions of plasma irradiation, the heat of condensation and the kinetic energy of sputtered atoms and reflected Ar neutrals. The latter two were calculated by TRIM.SP Monte Carlo simulations. An empirical rule is established showing that the total energy input during sputter deposition is proportional to the ratio of target atomic mass to sputtering yield. For the special case of a circular planar magnetron the radial dependence of the Mo and Ar fluxes and related momentum components at the substrate were calculated. It is concluded that mainly the lateral inhomogeneous radial momentum component of the Mo atoms is the cause of the in-plane texturing. For AlN films, maximum (002) texturing appears at about 250 eV per atom energy input.

  9. Optical and Scratch Resistant Properties of Diamondlike Carbon Films Deposited with Single and Dual Ion Beams

    NASA Technical Reports Server (NTRS)

    Kussmaul, Michael T.; Bogdanski, Michael S.; Banks, Bruce A.; Mirtich, Michael J.

    1993-01-01

    Amorphous diamond-like carbon (DLC) films were deposited using both single and dual ion beam techniques utilizing filament and hollow cathode ion sources. Continuous DLC films up to 3000 A thick were deposited on fused quartz plates. Ion beam process parameters were varied in an effort to create hard, clear films. Total DLC film absorption over visible wavelengths was obtained using a Perkin-Elmer spectrophotometer. An ellipsometer, with an Ar-He laser (wavelength 6328 A) was used to determine index of refraction for the DLC films. Scratch resistance, frictional, and adherence properties were determined for select films. Applications for these films range from military to the ophthalmic industries.

  10. Optical and scratch resistant properties of diamondlike carbon films deposited with single and dual ion beams

    NASA Technical Reports Server (NTRS)

    Kussmaul, Michael T.; Bogdanski, Michael S.; Banks, Bruce A.; Mirtich, Michael J.

    1993-01-01

    Amorphous diamondlike carbon (DLC) films were deposited using both single and dual ion beam techniques utilizing filament and hollow cathode ion sources. Continuous DLC films up to 3000 A thick were deposited on fused quartz plates. Ion beam process parameters were varied in an effort to create hard, clear films. Total DLC film absorption over visible wavelengths was obtained using a Perkin-Elmer spectrophotometer. An ellipsometer, with an Ar-He laser (wavelength 6328 A) was used to determine index of refraction for the DLC films. Scratch resistance and frictional and adherence properties were determined for select films. Applications for these films range from military to the ophthalmic industries.

  11. Nanostructured zinc oxide films synthesized by successive chemical solution deposition for gas sensor applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupan, O.; Department of Physics, University of Central Florida, 4000 Central Florida Blvd., Orlando, FL 32816-2385; Chow, L.

    2009-01-08

    Nanostructured ZnO thin films have been deposited using a successive chemical solution deposition method. The structural, morphological, electrical and sensing properties of the films were studied for different concentrations of Al-dopant and were analyzed as a function of rapid photothermal processing temperatures. The films were investigated by X-ray diffraction, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray photoelectron and micro-Raman spectroscopy. Electrical and gas sensitivity measurements were conducted as well. The average grain size is 240 and 224 A for undoped ZnO and Al-doped ZnO films, respectively. We demonstrate that rapid photothermal processing is an efficient method for improving themore » quality of nanostructured ZnO films. Nanostructured ZnO films doped with Al showed a higher sensitivity to carbon dioxide than undoped ZnO films. The correlations between material compositions, microstructures of the films and the properties of the gas sensors are discussed.« less

  12. Some aspects of pulsed laser deposition of Si nanocrystalline films

    NASA Astrophysics Data System (ADS)

    Polyakov, B.; Petruhins, A.; Butikova, J.; Kuzmin, A.; Tale, I.

    2009-11-01

    Nanocrystalline silicon films were deposited by a picosecond laser ablation on different substrates in vacuum at room temperature. A nanocrystalline structure of the films was evidenced by atomic force microscopy (AFM), optical and Raman spectroscopies. A blue shift of the absorption edge was observed in optical absorption spectra, and a decrease of the optical phonon energy at the Brillouin zone centre was detected by Raman scattering. Early stages of nanocrystalline film formation on mica and HOPG substrates were studied by AFM. Mechanism of nanocrystal growth on substrate is discussed. in here

  13. V2O5 thin film deposition for application in organic solar cells

    NASA Astrophysics Data System (ADS)

    Arbab, Elhadi A. A.; Mola, Genene Tessema

    2016-04-01

    Vanadium pentoxide V2O5 films were fabricated by way of electrochemical deposition technique for application as hole transport buffer layer in organic solar cell. A thin and uniform V2O5 films were successfully deposited on indium tin oxide-coated glass substrate. The characterization of surface morphology and optical properties of the deposition suggest that the films are suitable for photovoltaic application. Organic solar cell fabricated using V2O5 as hole transport buffer layer showed better devices performance and environmental stability than those devices fabricated with PEDOT:PSS. In an ambient device preparation condition, the power conversion efficiency increases by nearly 80 % compared with PEDOT:PSS-based devices. The devices lifetime using V2O5 buffer layer has improved by a factor of 10 over those devices with PEDOT:PSS.

  14. Physical properties of nanostructured strontium oxide thin film grown by chemical bath deposition technique

    NASA Astrophysics Data System (ADS)

    Ahmad, Farhan; Belkhedkar, M. R.; Salodkar, R. V.

    2018-05-01

    Nanostructured SrO thin film of thickness 139 nm was deposited by chemical bath deposition technique onto glass substrates using SrCl2.6H2O and NaOH as cationic and anionic precursors without complexing agents. The X-ray diffraction studies revealed that, SrO thin film is nanocrystalline in nature with cubic structure. The surface morphology of the SrO film was investigated by means of field emission scanning electron microscopy. The optical studies showed that SrO film exhibits direct as well as indirect optical band gap energy. The electrical resistivity and activation energy of SrO thin film is found to be of the order of 106 Ω cm and 0.58eV respectively.

  15. Electrochemical Deposition of Lanthanum Telluride Thin Films and Nanowires

    NASA Astrophysics Data System (ADS)

    Chi, Su (Ike); Farias, Stephen; Cammarata, Robert

    2013-03-01

    Tellurium alloys are characterized by their high performance thermoelectric properties and recent research has shown nanostructured tellurium alloys display even greater performance than bulk equivalents. Increased thermoelectric efficiency of nanostructured materials have led to significant interests in developing thin film and nanowire structures. Here, we report on the first successful electrodeposition of lanthanum telluride thin films and nanowires. The electrodeposition of lanthanum telluride thin films is performed in ionic liquids at room temperature. The synthesis of nanowires involves electrodepositing lanthanum telluride arrays into anodic aluminum oxide (AAO) nanoporous membranes. These novel procedures can serve as an alternative means of simple, inexpensive and laboratory-environment friendly methods to synthesize nanostructured thermoelectric materials. The thermoelectric properties of thin films and nanowires will be presented to compare to current state-of-the-art thermoelectric materials. The morphologies and chemical compositions of the deposited films and nanowires are characterized using SEM and EDAX analysis.

  16. Structural and Electromagnetic Properties of Ni-Mn-Ga Thin Films Deposited on Si Substrates

    NASA Astrophysics Data System (ADS)

    Pereira, M. J.; Lourenço, A. A. C. S.; Amaral, V. S.

    2014-07-01

    Ni2MnGa thin films raise great interest due to their properties, which provide them with strong potential for technological applications. Ni2MnGa thin films were prepared by r.f. sputtering deposition on Si substrates at low temperature (400 ºC). Film thicknesses in the range 10-120 nm were obtained. A study of the structural, magnetic and electrical properties of the films is presented. We find that the deposited films show some degree of crystallinity, with coexisting cubic and tetragonal structural phases, the first one being preponderant over the latter, particularly in the thinner films. The films possess soft magnetic properties and their coercivity is thickness dependent in the range 15-200 Oe at 300K. Electrical resistivity measurements signal the structural transition and suggest the occurrence of avalanche and return-point memory effects, in temperature cycling through the magnetic/structural transition range.

  17. Deposition and composition-control of Mn-doped ZnO thin films by combinatorial pulsed laser deposition using two delayed plasma plumes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez-Ake, C.; Camacho, R.; Moreno, L.

    2012-08-15

    Thin films of ZnO doped with manganese were deposited by double-beam, combinatorial pulsed laser deposition. The laser-induced plasmas were studied by means of fast photography and using a Langmuir probe, whereas the films were analyzed by x-ray-diffraction and energy-dispersive x-ray spectroscopy. The effect of the relative delay between plasma plumes on the characteristics of the films was analyzed. It was found that using this parameter, it is possible to control the dopant content keeping the oriented wurtzite structure of the films. The minimum content of Mn was found for plume delays between 0 and 10 {mu}s as the interaction betweenmore » plasmas scatters the dopant species away from the substrate, thus reducing the incorporation of Mn into the films. Results suggest that for delays shorter than {approx}100 {mu}s, the expansion of the second plume through the region behind the first plume affects the composition of the film.« less

  18. Low temperature synthesis of silicon nitride thin films deposited by VHF/RF PECVD for gas barrier application

    NASA Astrophysics Data System (ADS)

    Lee, Jun S.; Shin, Kyung S.; Sahu, B. B.; Han, Jeon G.

    2015-09-01

    In this work, silicon nitride (SiNx) thin films were deposited on polyethylene terephthalate (PET) substrates as barrier layers by plasma enhanced chemical vapor deposition (PECVD) system. Utilizing a combination of very high-frequency (VHF 40.68 MHz) and radio-frequency (RF 13.56 MHz) plasmas it was possible to adopt PECVD deposition at low-temperature using the precursors: Hexamethyldisilazane (HMDSN) and nitrogen. To investigate relationship between film properties and plasma properties, plasma diagnostic using optical emission spectroscopy (OES) was performed along with the film analysis using Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS). OES measurements show that there is dominance of the excited N2 and N2+ emissions with increase in N2 dilution, which has a significant impact on the film properties. It was seen that all the deposited films contains mainly silicon nitride with a small content of carbon and no signature of oxygen. Interestingly, upon air exposure, films have shown the formation of Si-O bonds in addition to the Si-N bonds. Measurements and analysis reveals that SiNx films deposited with high content of nitrogen with HMDSN plasma can have lower gas barrier properties as low as 7 . 3 ×10-3 g/m2/day. Also at Chiang Mai University.

  19. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulatingmore » films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  20. Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aryanto, Didik, E-mail: didi027@lipi.go.id; Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah; Marwoto, Putut

    Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtainedmore » at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.« less

  1. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  2. Structure and Internal Stress of Tin-Doped Indium Oxide and Indium-Zinc Oxide Films Deposited by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Nishimura, Eriko; Sasabayashi, Tomoko; Ito, Norihiro; Sato, Yasushi; Utsumi, Kentaro; Yano, Koki; Kaijo, Akira; Inoue, Kazuyoshi; Shigesato, Yuzo

    2007-12-01

    Representative transparent conductive oxide films, such as tin-doped indium oxide (ITO) and indium-zinc oxide (IZO) films, were deposited by dc magnetron sputtering using corresponding oxide targets under various total gas pressures (Ptot) ranging from 0.3 to 3.0 Pa. The ITO films deposited at a Ptot lower than 0.7 Pa were polycrystalline and were found to have a large compressive stress of about 1.5 × 109 Pa, whereas the ITO films deposited at 1.5-3.0 Pa were amorphous and had a low tensile stress. In contrast, all the IZO films deposited at a Ptot range of 0.3-3.0 Pa showed an entirely amorphous structure, where the compressive stress in the IZO films deposited at a Ptot lower than 1.5 Pa was lower than that in the ITO films. Such compressive stress was considered to be generated by the atomic peening effect of high-energy neutrals (Ar0) recoiled from the target or high-energy negative ions (O-) accelerated in the cathode sheath toward the film surface.

  3. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.; Hutcheon, J.M.

    1956-08-21

    Substantially complete separation of zirconium from hafnium may be obtained by elution of ion exchange material, on which compounds of the elements are adsorbed, with an approximately normal solution of sulfuric acid. Preferably the acid concentration is between 0.8 N amd 1.2 N, amd should not exceed 1.5 N;. Increasing the concentration of sulfate ion in the eluting solution by addition of a soluble sulfate, such as sodium sulfate, has been found to be advantageous. The preferred ion exchange materials are sulfonated polystyrene resins such as Dowex 50,'' and are preferably arranged in a column through which the solutions are passed.

  4. Synthesis of BiFeO3 thin films by chemical solution deposition - Structural and magnetic studies

    NASA Astrophysics Data System (ADS)

    Angappane, S.; Kambhala, Nagaiah

    2012-06-01

    BiFeO3 thin films were deposited on Si (100) substrates by chemical solution deposition. A precursor solution of bismuth acetate and iron acetylacetonate dissolved in distilled water and acetic acid was spin coated on to silicon substrates at ambient conditions, followed by drying and annealing at 650 °C. The films were characterized by XRD and FESEM to study structural properties and morphology. The magnetic properties studied by SQUID magnetometer shows the ferromagnetic nature of the chemical solution deposited BiFeO3 films which are crucial for low cost device applications.

  5. Structural and Magnetic Properties of Sputter-Deposited Polycrystalline Ni-Mn-Ga Ferromagnetic Shape-Memory Thin Films

    NASA Astrophysics Data System (ADS)

    Vinodh Kumar, S.; Seenithurai, S.; Manivel Raja, M.; Mahendran, M.

    2015-10-01

    Polycrystalline Ni-Mn-Ga ferromagnetic shape-memory thin films have been deposited on Si (100) substrates using a direct-current magnetron sputtering technique. The microstructure and the temperature dependence of magnetic properties of the films have been investigated by x-ray diffraction, scanning electron microscopy, and thermomagnetic measurements. As-deposited Ni50.2Mn30.6Ga19.2 film showed quasi-amorphous structure with paramagnetic nature at room temperature. When annealed at 873 K, the quasi-amorphous film attained crystallinity and possessed L21 cubic ordering with high magnetic transition temperature. Saturation magnetization and coercivity values for the annealed film were found to be 220 emu/cm3 and 70 Oe, respectively, indicating soft ferromagnetic character with low magnetocrystalline anisotropy. The magnetic transitions of the film deposited at 100 W were above room temperature, making this a potential candidate for use in microelectromechanical system devices.

  6. High sensitive formaldehyde graphene gas sensor modified by atomic layer deposition zinc oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mu, Haichuan; Zhang, Zhiqiang; Wang, Keke

    2014-07-21

    Zinc oxide (ZnO) thin films with various thicknesses were fabricated by Atomic Layer Deposition on Chemical Vapor Deposition grown graphene films and their response to formaldehyde has been investigated. It was found that 0.5 nm ZnO films modified graphene sensors showed high response to formaldehyde with the resistance change up to 52% at the concentration of 9 parts-per-million (ppm) at room temperature. Meanwhile, the detection limit could reach 180 parts-per-billion (ppb) and fast response of 36 s was also obtained. The high sensitivity could be attributed to the combining effect from the highly reactive, top mounted ZnO thin films, and high conductivemore » graphene base network. The dependence of ZnO films surface morphology and its sensitivity on the ZnO films thickness was also investigated.« less

  7. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    NASA Astrophysics Data System (ADS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  8. Pulsed laser deposited hexagonal wurzite ZnO thin-film nanostructures/nanotextures for nanophotonics applications

    NASA Astrophysics Data System (ADS)

    John Chelliah, Cyril Robinson Azariah; Swaminathan, Rajesh

    2018-01-01

    The high-quality and transparent thin-film zinc oxide (ZnO) nanostructures/nanotextures deposited on glass and silicon substrates using pulsed laser deposition (PLD) technique are reported. A solid-state, Nd-YAG laser was used for the PLD process. The films were deposited (i) at room temperature of 25°C (as deposited), (ii) at 150°C, (iii) at 300°C, (iv) at 450°C, and (v) at 600°C and annealed in the vacuum chamber. The depositions were also carried out at different laser repetition rates such as 10 and 5 Hz. UV spectroscopy and photoluminescence (PL) spectroscopy were carried out for optical studies. X-ray diffraction studies were carried out for all samples and analyzed the effects of the laser repetition rate, deposition, and annealing temperatures on the structural properties. Field-emission scanning electron microscope images are recorded for the best-structured samples. The electrical parameters were calibrated using the Hall effect measurement system and the IV characterization was performed using a CHI Electrochemical workstation. The deposition temperature has a significant effect on the microstrain and dislocation density of the ZnO thin film and optical phenomena with various electrical parameters, including the electron mobility, conductivity, and magnetoresistance. These promising results are suitable conditions for nanophotonics applications.

  9. Scavenging of oxygen from SrTiO3 by metals and its implications for oxide thin film deposition

    NASA Astrophysics Data System (ADS)

    Posadas, Agham; Kormondy, Kristy; Guo, Wei; Ponath, Patrick; Kremer, Jacqueline; Hadamek, Tobias; Demkov, Alexander

    SrTiO3 is a widely used substrate for the growth of other functional oxide thin films. However, SrTiO3 loses oxygen very easily during oxide thin film deposition even under relatively high oxygen pressures. In some cases, there will be an interfacial layer of oxygen-deficient SrTiO3 formed at the interface with the deposited oxide film, depending on the metals present in the film. By depositing a variety of metals layer by layer and measuring the evolution of the core level spectra of both the deposited metal and SrTiO3 using x-ray photoelectron spectroscopy, we show that there are three distinct types of behavior that occur for thin metal films on SrTiO3. We discuss the implications of these types of behavior for the growth of complex oxide thin films on SrTiO3, and which oxide thin films are expected to produce an interfacial oxygen-deficient layer depending on their elemental constituents.

  10. Depth profiling and morphological characterization of AlN thin films deposited on Si substrates using a reactive sputter magnetron

    NASA Astrophysics Data System (ADS)

    Macchi, Carlos; Bürgi, Juan; García Molleja, Javier; Mariazzi, Sebastiano; Piccoli, Mattia; Bemporad, Edoardo; Feugeas, Jorge; Sennen Brusa, Roberto; Somoza, Alberto

    2014-08-01

    It is well-known that the characteristics of aluminum nitride thin films mainly depend on their morphologies, the quality of the film-substrate interfaces and the open volume defects. A study of the depth profiling and morphological characterization of AlN thin films deposited on two types of Si substrates is presented. Thin films of thicknesses between 200 and 400 nm were deposited during two deposition times using a reactive sputter magnetron. These films were characterized by means of X-ray diffraction and imaging techniques (SEM and TEM). To analyze the composition of the films, energy dispersive X-ray spectroscopy was applied. Positron annihilation spectroscopy, specifically Doppler broadening spectroscopy, was used to gather information on the depth profiling of open volume defects inside the films and the AlN films-Si substrate interfaces. The results are interpreted in terms of the structural changes induced in the films as a consequence of changes in the deposition time (i.e., thicknesses) and of the orientation of the substrates.

  11. The Mechanical Properties of Energetically Deposited Non-Crystalline Carbon Thin Films

    DOE PAGES

    Kracica, M.; Kocer, C.; Lau, D.; ...

    2015-11-05

    The mechanical behaviour of carbon films prepared with a variety of densities and microstructures was investigated using nanoindentation. Deposition energies between 25 and 600 eV and temperatures in the range 25-600 °C were used. Films prepared at low temperatures and moderate energy were amorphous with a high density. Finite element methods were used to model the stress fields, reproduce the indentation behaviour and evaluate elastic properties. Young s moduli up to 670 GPa and a low Poisson s ratio of ~ 0.17 were found, comparable to polycrystalline cubic boron nitride, one of the hardest materials known. Films with the samemore » density did not always show the same behaviour, emphasising the role of microstructure in determining mechanical response. Extended graphite- like regions within the films grown at high energy and high temperature observed in transmission electron microscopy caused plastic deformation and failure to recover after a complete indentation cycle. At low deposition energies, the graphite-like regions were smaller in size causing plastic deformation but with complete recovery after indentation.« less

  12. Microstructure related properties of gadolinium fluoride films deposited by molybdenum boat evaporation

    NASA Astrophysics Data System (ADS)

    Chang, Y. H.; Wang, C. Y.; Qi, L. Q.; Liu, H.

    2017-08-01

    In order to optimize the performance of fluoride thin films in wavelength of Deep Ultraviolet (DUV), GdF3 single layers are prepared by thermal evaporation at different deposition temperatures on Fused Silica. Optical and structure properties of each sample are characterized. The results that the refrac-tive index increased gradually and the crystallization status becomes stronger with the temperature rising, the inhomogeneous of the thin films present linearity. The decrease total optical loss with deposited temper-ature is attributed to the higher packing density and lower optical absorption.

  13. Characterization of Cu2ZnSnS4 thin films prepared by photo-chemical deposition

    NASA Astrophysics Data System (ADS)

    Moriya, Katsuhiko; Watabe, Jyunichi; Tanaka, Kunihiko; Uchiki, Hisao

    2006-09-01

    Cu2ZnSnS4 (CZTS) thin films were prepared by post-annealing films of metal sulfides of Cu2S, ZnS and SnS2 precursors deposited on soda-lime glass substrates by photo-chemical deposition (PCD) from aqueous solution containing CuSO4, ZnSO4, SnSO4 and Na2S2O3. In this study, sulfurization was employed to prepare high quality CZTS thin films. Deposited films of metal sulfides were annealed in a furnace in an atmosphere of N2 or N2+H2S(5%) at the temperature of 300°, 400° or 500 °C. The sulfured films showed X-ray diffraction peaks from (112), (220), and (312) planes of CZTS and the peaks became sharp by an increase in the sulfurization temperature. CZTS thin film annealed in atmosphere of N2 was S-poor. After annealing atmosphere was changed from N2 into N2+H2S(5%), the decrease of a composi- tional ratio of sulfur could be suppressed.

  14. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  15. Liquid-phase deposition of thin Si films by ballistic electro-reduction

    NASA Astrophysics Data System (ADS)

    Ohta, T.; Gelloz, B.; Kojima, A.; Koshida, N.

    2013-01-01

    It is shown that the nanocryatalline silicon ballistic electron emitter operates in a SiCl4 solution without using any counter electrodes and that thin amorphous Si films are efficiently deposited on the emitting surface with no contaminations and by-products. Despite the large electrochemical window of the SiCl4 solution, electrons injected with sufficiently high energies preferentially reduce Si4+ ions at the interface. Using an emitter with patterned line emission windows, a Si-wires array can be formed in parallel. This low-temperature liquid-phase deposition technique provides an alternative clean process for power-effective fabrication of advanced thin Si film structures and devices.

  16. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lin, Jianliang; Chistyakov, Roman

    2017-02-01

    Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm-2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm-2 improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm-2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  17. Dedicated Co-deposition System for Metallic Paramagnetic Films

    DOE PAGES

    Jaeckel, F.; Kotsubo, V.; Hall, J. A.; ...

    2012-01-27

    Here, we describe a dedicated co-sputtering/ion-mill system developed to study metallic paramagnetic films for use in magnetic microcalorimetry. Small-diameter sputtering guns allow study of several precious-metal-based paramagnetic alloy systems within a reasonable budget. We demonstrated safe operation of a 1" sputtering gun at >5x the rated maximum power, achieving deposition rates up to ~900 Å/min/gun (Cu) in our co-sputtering geometry. Demonstrated co-sputtering deposition ratios up to 100:1 allow accurate tuning of magnetic dopant concentration and eliminate the difficulty of preparing homogeneous alloy targets of extreme dilution.

  18. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  19. Effect of cathode cooling efficiency and oxygen plasma gas pressure on the hafnium cathode wall temperature

    NASA Astrophysics Data System (ADS)

    Ashtekar, Koustubh; Diehl, Gregory; Hamer, John

    2012-10-01

    The hafnium cathode is widely used in DC plasma arc cutting (PAC) under an oxygen gas environment to cut iron and iron alloys. The hafnium erosion is always a concern which is controlled by the surface temperature. In this study, the effect of cathode cooling efficiency and oxygen gas pressure on the hafnium surface temperature are quantified. The two layer cathode sheath model is applied on the refractive hafnium surface while oxygen species (O2, O, O+, O++, e-) are considered within the thermal dis-equilibrium regime. The system of non-linear equations comprising of current density balance, heat flux balance at both the cathode surface and the sheath-ionization layer is coupled with the plasma gas composition solver. Using cooling heat flux, gas pressure and current density as inputs; the cathode wall temperature, electron temperature, and sheath voltage drop are calculated. Additionally, contribution of emitted electron current (Je) and ions current (Ji) to the total current flux are estimated. Higher gas pressure usually reduces Ji and increases Je that reduces the surface temperature by thermionic cooling.

  20. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  1. Structural and optical properties of pulse laser deposited Ag2O thin films

    NASA Astrophysics Data System (ADS)

    Agasti, Souvik; Dewasi, Avijit; Mitra, Anirban

    2018-05-01

    We deposited Ag2O films in PLD system on glass substrate for a fixed partial oxygen gas pressure (70 mili Torr) and, with a variation of laser energy from 75 to 215 mJ/Pulse. The XRD patterns confirm that the films have well crystallinity and deposited as hexagonal lattice. The FESEM images show that the particle size of the films increased from 34.84 nm to 65.83 nm. The composition of the films is analyzed from EDX spectra which show that the percentage of oxygen increased by the increment of laser energy. From the optical characterization, it is observed that the optical band gap appears in the visible optical range in an increasing order from 0.87 to 0.98 eV with the increment of laser energy.

  2. Normal incidence reflectance of ion beam deposited SiC films in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Osantowski, John F.; Herzig, Howard; Gum, Jeffrey S.; Toft, Albert R.

    1988-01-01

    Results are presented from an experimental investigation of the normal-incidence reflectance at 58.4, 92.0, and 121.6 nm wavelength of 30- and 80-nm-thick SiC films produced by ion-beam deposition on unheated 5 x 5-cm microscope slides. The films were deposited in the 2-m evaporator described by Bradford et al. (1969) with chamber base pressure 1 microtorr, operating pressure 40 microtorr, and a 50-62-mA 750-eV Ar ion beam; the reflectance measurements were obtained in the reflector-monochromator system described by Osantowski (1974). Reflectances of over 30 percent were found at 92 and 121.6 nm, almost equal to those of polished CVD films of SiC and degrading only slightly after aging for 4 months. It is suggested that ion-beam deposition may be the best low-temperature technique for coating EUV optics for space astronomy.

  3. Fabrication of nitrogen-containing diamond-like carbon film by filtered arc deposition as conductive hard-coating film

    NASA Astrophysics Data System (ADS)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Imai, Takahiro; Suda, Yoshiyuki; Takikawa, Hirofumi; Kamiya, Masao; Taki, Makoto; Hasegawa, Yushi; Tsuji, Nobuhiro; Kaneko, Satoru; Kunitsugu, Shinsuke; Habuchi, Hitoe; Kiyohara, Shuji; Ito, Mikio; Yick, Sam; Bendavid, Avi; Martin, Phil

    2018-01-01

    Diamond-like carbon (DLC) films, which are amorphous carbon films, have been used as hard-coating films for protecting the surface of mechanical parts. Nitrogen-containing DLC (N-DLC) films are expected as conductive hard-coating materials. N-DLC films are expected in applications such as protective films for contact pins, which are used in the electrical check process of integrated circuit chips. In this study, N-DLC films are prepared using the T-shaped filtered arc deposition (T-FAD) method, and film properties are investigated. Film hardness and film density decreased when the N content increased in the films because the number of graphite structures in the DLC film increased as the N content increased. These trends are similar to the results of a previous study. The electrical resistivity of N-DLC films changed from 0.26 to 8.8 Ω cm with a change in the nanoindentation hardness from 17 to 27 GPa. The N-DLC films fabricated by the T-FAD method showed high mechanical hardness and low electrical resistivity.

  4. Zincblende to Wurtzite phase shift of CdSe thin films prepared by electrochemical deposition

    NASA Astrophysics Data System (ADS)

    Bai, Rekha; Chaudhary, Sujeet; Pandya, Dinesh K.

    2018-04-01

    Cadmium selenide (CdSe) nanostructured thin films have been deposited on conducting glass substrates by potentiostatic electrochemical deposition (ECD) technique. The effect of electrolyte bath pH on the structural, morphological and optical properties of CdSe films has been investigated. Crystal structure of these films is characterized by X-ray diffraction and Raman spectroscopy which reveal polycrystalline nature of CdSe films exhibiting phase shift from zincblende to wurtzite structure with increase in bath pH. Optical studies reveal that the CdSe thin films have good absorbance in visible spectral region and they possess direct optical band gap which increases from 1.68 to 1.97 eV with increase in bath pH. The results suggest CdSe is an efficient absorber material for next generation solar cells.

  5. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  6. Coaxial carbon plasma gun deposition of amorphous carbon films

    NASA Technical Reports Server (NTRS)

    Sater, D. M.; Gulino, D. A.; Rutledge, S. K.

    1984-01-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented.

  7. Optimization of pulsed laser deposited ZnO thin-film growth parameters for thin-film transistors (TFT) application

    NASA Astrophysics Data System (ADS)

    Gupta, Manisha; Chowdhury, Fatema Rezwana; Barlage, Douglas; Tsui, Ying Yin

    2013-03-01

    In this work we present the optimization of zinc oxide (ZnO) film properties for a thin-film transistor (TFT) application. Thin films, 50±10 nm, of ZnO were deposited by Pulsed Laser Deposition (PLD) under a variety of growth conditions. The oxygen pressure, laser fluence, substrate temperature and annealing conditions were varied as a part of this study. Mobility and carrier concentration were the focus of the optimization. While room-temperature ZnO growths followed by air and oxygen annealing showed improvement in the (002) phase formation with a carrier concentration in the order of 1017-1018/cm3 with low mobility in the range of 0.01-0.1 cm2/V s, a Hall mobility of 8 cm2/V s and a carrier concentration of 5×1014/cm3 have been achieved on a relatively low temperature growth (250 °C) of ZnO. The low carrier concentration indicates that the number of defects have been reduced by a magnitude of nearly a 1000 as compared to the room-temperature annealed growths. Also, it was very clearly seen that for the (002) oriented films of ZnO a high mobility film is achieved.

  8. Selective deposition of a crystalline Si film by a chemical sputtering process in a high pressure hydrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohmi, Hiromasa, E-mail: ohmi@prec.eng.osaka-u.ac.jp; Yasutake, Kiyoshi; Research Center for Ultra-Precision Science and Technology, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871

    2015-07-28

    The selective deposition of Si films was demonstrated using a chemical sputtering process induced by a high pressure hydrogen plasma at 52.6 kPa (400 Torr). In this chemical sputtering process, the initial deposition rate (R{sub d}) is dependent upon the substrate type. At the initial stage of Si film formation, R{sub d} on glass substrates increased with elapsed time and reached to a constant value. In contrast, R{sub d} on Si substrates remained constant during the deposition. The selective deposition of Si films can be achieved by adjusting the substrate temperature (T{sub sub}) and hydrogen concentration (C{sub H2}) in the process atmosphere.more » For any given deposition time, it was found that an optimum C{sub H2} exists for a given T{sub sub} to realize the selective deposition of a Si film, and the optimum T{sub sub} value tends to increase with decreasing C{sub H2}. According to electron diffraction patterns obtained from the samples, the selectively prepared Si films showed epitaxial-like growth, although the Si films contained many defects. It was revealed by Raman scattering spectroscopy that some of the defects in the Si films were platelet defects induced by excess hydrogen incorporated during Si film formation. Raman spectrum also suggested that Si related radicals (SiH{sub 2}, SiH, Si) with high reactivity contribute to the Si film formation. Simple model was derived as the guideline for achieving the selective growth.« less

  9. Optical properties of YbF3-CaF2 composite thin films deposited by electron-beam evaporation

    NASA Astrophysics Data System (ADS)

    Wang, Songlin; Mi, Gaoyuan; Zhang, Jianfu; Yang, Chongmin

    2018-03-01

    We studied electron-beam evaporated YbF3-CaF2 composite films on ZnS substrate at different deposition parameters. The optical properties of films have been fitted, the surface roughness have been measured by AFM. The results of experiments indicated that increased the refractive indices, extinction coefficients, and surface roughness at higher deposition rate. The refractive index of composite film deposited by electron-beam evaporation with assisted-ion source was obviously higher than it without assisted-ion source.

  10. Influence of substrate bias voltage on structure and properties of the CrAlN films deposited by unbalanced magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lv, Yanhong; Ji, Li; Liu, Xiaohong; Li, Hongxuan; Zhou, Huidi; Chen, Jianmin

    2012-02-01

    The CrAlN films were deposited on silicon and stainless steel substrates by unbalanced magnetron sputtering system. The influence of substrate bias on deposition rate, composition, structure, morphology and properties of the CrAlN films was investigated. The results showed that, with the increase of the substrate bias voltage, the deposition rate decreased accompanied by a change of the preferred orientation of the CrAlN film from (2 2 0) to (2 0 0). The grain size and the average surface roughness of the CrAlN films declined as the bias voltage increases above -100 V. The morphology of the films changed from obviously columnar to dense glass-like structure with the increase of the bias voltage from -50 to -250 V. Meanwhile, the films deposited at moderate bias voltage had better mechanical and tribological properties, while the films deposited at higher bias voltage showed better corrosion resistance. It was found that the corrosion resistance improvement was not only attributed to the low pinhole density of the film, but also to chemical composition of films.

  11. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  12. Chemical bath deposition of Cu{sub 3}BiS{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deshmukh, S.G., E-mail: deshmukhpradyumn@gmail.com; Vipul, Kheraj, E-mail: vipulkheraj@gmail.com; Panchal, A.K.

    2016-05-06

    First time, copper bismuth sulfide (Cu{sub 3}BiS{sub 3}) thin films were synthesized on the glass substrate using simple, low-cost chemical bath deposition (CBD) technique. The synthesized parameters such as temperature of bath, pH and concentration of precursors were optimized for the deposition of uniform, well adherent Cu{sub 3}BiS{sub 3} thin films. The optical, surface morphology and structural properties of the Cu{sub 3}BiS{sub 3} thin films were studied using UV-VIS-NIR spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD). The as- synthesized Cu{sub 3}BiS{sub 3} film exhibits a direct band gap 1.56 to 1.58 eV having absorption coefficient of the ordermore » of 10{sup 5} cm{sup −1}. The XRD declares the amorphous nature of the films. SEM images shows films were composed of close-packed fine spherical nanoparticles of 70-80 nm in diameter. The chemical composition of the film was almost stoichiometric. The optical study indicates that the Cu{sub 3}BiS{sub 3} films can be applied as an absorber layer for thin film solar cells.« less

  13. Precursor-Surface Reactions in Plasma Deposition of Silicon Thin Films

    NASA Astrophysics Data System (ADS)

    Bakos, Tamas

    2005-03-01

    Device-quality hydrogenated amorphous silicon (a-Si:H) thin films are usually grown by plasma deposition under conditions where the SiH3 radical is the dominant deposition precursor. In this presentation, we report results of first-principles density functional theory calculations on the interactions of the SiH3 radical with the crystalline Si(100)-(2x1):H surface in conjunction with molecular-dynamics simulations of a-Si:H thin film growth by SiH3 radicals, which elucidate the pathways and energetics of surface reactions that govern important film properties. In particular, we show that an SiH3 radical can insert into strained surface Si-Si dimer bonds, abstract surface H through an Eley-Rideal mechanism, and passivate surface dangling bonds; these reactions follow exothermic and barrierless pathways that lead to a temperature-independent growth rate in agreement with experimental measurements. We also identify a thermally activated surface H abstraction process, in which the SiH3 radical diffuses through overcoordinated surface Si atoms until it encounters a favorable site for H abstraction; the diffusion and H-abstraction steps have commensurate activation barriers. This mechanism explains partly the reduction of the film H content at elevated substrate temperatures.

  14. Photoelectrochemical performance of W-doped BiVO4 thin-films deposited by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Holland, Stephen K.; Dutter, Melissa R.; Lawrence, David J.; Reisner, Barbara A.; DeVore, Thomas C.

    2013-09-01

    The effect of tungsten doping and hydrogen annealing treatments on the photoelectrochemical (PEC) performance of bismuth vanadate (BiVO4) photoanodes for solar water splitting was studied. Thin films of BiVO4 were deposited on ITO-coated glass slides by ultrasonic spray pyrolysis of an aqueous solution containing bismuth nitrate and vanadium oxysulfate. Tungsten doping was achieved by adding either silicotungstic acid (STA) or ammonium metatungstate (AMT) in the aqueous precursor. The 1.7 μm - 2.2 μm thick films exhibited a highly porous microstructure. Undoped films that were reduced at 375 ºC in 3% H2 exhibited the largest photocurrent densities under 0.1 W cm-2 AM1.5 illumination. This performance enhancement was believed to be due to the formation of oxygen vacancies, which are shallow electron donors, in the films. Films doped with 1% or 5% tungsten from either STA or AMT exhibited reduced photoelectrochemical performance and greater sample-to-sample performance variations. Powder X-ray diffraction data of the undoped films indicated that they were comprised primarily of the monoclinic scheelite phase while unidentified phases were also present. Scanning electron microscopy showed slightly different morphology characteristics for the Wdoped films. It is surmised that the addition of W in the deposition process promoted the morphology differences and the formation of different phases, thus reducing the PEC performance of the photoanode samples. Significant PEC performance variability was also observed among films deposited using the described process.

  15. Atomic layer deposition of Nb-doped ZnO for thin film transistors

    NASA Astrophysics Data System (ADS)

    Shaw, A.; Wrench, J. S.; Jin, J. D.; Whittles, T. J.; Mitrovic, I. Z.; Raja, M.; Dhanak, V. R.; Chalker, P. R.; Hall, S.

    2016-11-01

    We present physical and electrical characterization of niobium-doped zinc oxide (NbZnO) for thin film transistor (TFT) applications. The NbZnO films were deposited using atomic layer deposition. X-ray diffraction measurements indicate that the crystallinity of the NbZnO films reduces with an increase in the Nb content and lower deposition temperature. It was confirmed using X-ray photoelectron spectroscopy that Nb5+ is present within the NbZnO matrix. Furthermore, photoluminescence indicates that the band gap of the ZnO increases with a higher Nb content, which is explained by the Burstein-Moss effect. For TFT applications, a growth temperature of 175 °C for 3.8% NbZnO provided the best TFT characteristics with a saturation mobility of 7.9 cm2/Vs, the current On/Off ratio of 1 × 108, and the subthreshold swing of 0.34 V/decade. The transport is seen to follow a multiple-trap and release mechanism at lower gate voltages and percolation thereafter.

  16. Synthesis of Nanoporous Activated Iridium Oxide Films by Anodized Aluminum Oxide Templated Atomic Layer Deposition

    DTIC Science & Technology

    2010-11-01

    number of deposition strategies, including sputtering [10–12] and electrodeposition [13,14]. With all synthesis strategies, control of the film...to 10% ozone in 400 sccm O2 for 10 min. A 20 Å Al2O3 film was then deposited as a nucleation layer by iterative exposures of trimethyla- luminum and

  17. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  18. Chemical Vapor Deposition for Ultra-lightweight Thin-film Solar Arrays for Space

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Raffaelle, Ryne P.; Banger, Kulbinder K.; Jin, Michael H.; Lau, Janice E.; Harris, Jerry D.; Cowen, Jonathan E.; Duraj, Stan A.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power, (W/kg). The use of a polycrystalline chalcopyrite absorber layer for thin film solar cells is considered as the next generation photovoltaic devices. A key technical issues outlined in the 2001 U.S. Photovoltaic Roadmap, is the need to develop low cost, high throughput manufacturing for high-efficiency thin film solar cells. At NASA GRC we have focused on the development of new single-source-precursors (SSPs) and their utility to deposit the chalcopyrite semi-conducting layer (CIS) onto flexible substrates for solar cell fabrication. The syntheses and thermal modulation of SSPs via molecular engineering is described. Thin-film fabrication studies demonstrate the SSPs can be used in a spray CVD process, for depositing CIS at reduced temperatures, which display good electrical properties, suitable for PV devices.

  19. New precursors and chemistry for the growth of transition metal films by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Knisley, Thomas Joseph

    The advancing complexity of advanced microelectronic devices is placing rigorous demands on currently used PVD and CVD deposition techniques. The ALD deposition method is proposed to meet the film thickness and conformality constraints needed by the semiconductor industry in future manufacturing processes. Unfortunately, there is a limited number of chemical precursors available that have high thermal stability, reactivity, and vapor pressure suitable for ALD film growth to occur. These properties collectively contribute to the lack of suitable transition metal precursors available for use in ALD. In this thesis, we report the discovery of a series of novel transition metal diazadienate precursors that promising properties deemed suitable for ALD. The volatility and thermal stability of the new transition metal diazadienyl compounds were studied by preparative sublimation and capillary tube melting point/decomposition experiments. Thermogravimetric analyses (TGA) demonstrate precursor residues of less than 4% at 500 °C. In addition, sublimation data, melting points, and decomposition temperatures for all complexes are presented. The manganese diazadienyl complex has the highest decomposition temperature of the series of complexes produced (325 °C). During preparative sublimations, the product recoveries of all transition metal diazadienyl complexes were greater than 92.0% with nonvolatile residues of less than 7.0%. This is an excellent indication that these complexes may be suitable candidates as metal precursors for ALD. Nickel nitride (NixN) films have been studied as an intermediate material for the formation of both nickel metal and nickel silicide using chemical vapor deposition. Herein, we describe the ALD growth of nickel nitride thin films from bis(1,4-di-tert-butyl-1,3-diazabutadiene) nickel(II) (Ni(tBu2DAD)2) and 1,1-dimethylhydrazine. An ALD window for the deposition of nickel nitride films on 500 nm thermal SiO2 substrates was observed between 225

  20. {001} Oriented piezoelectric films prepared by chemical solution deposition on Ni foils

    NASA Astrophysics Data System (ADS)

    Yeo, Hong Goo; Trolier-McKinstry, Susan

    2014-07-01

    Flexible metal foil substrates are useful in some microelectromechanical systems applications including wearable piezoelectric sensors or energy harvesters based on Pb(Zr,Ti)O3 (PZT) thin films. Full utilization of the potential of piezoelectrics on metal foils requires control of the film crystallographic texture. In this study, {001} oriented PZT thin films were grown by chemical solution deposition (CSD) on Ni foil and Si substrates. Ni foils were passivated using HfO2 grown by atomic layer deposition in order to suppress substrate oxidation during subsequent thermal treatment. To obtain the desired orientation of PZT film, strongly (100) oriented LaNiO3 films were integrated by CSD on the HfO2 coated substrates. A high level of {001} LaNiO3 and PZT film orientation were confirmed by X-ray diffraction patterns. Before poling, the low field dielectric permittivity and loss tangents of (001) oriented PZT films on Ni are near 780 and 0.04 at 1 kHz; the permittivity drops significantly on poling due to in-plane to out-of-plane domain switching. (001) oriented PZT film on Ni displayed a well-saturated hysteresis loop with a large remanent polarization ˜36 μC/cm2, while (100) oriented PZT on Si showed slanted P-E hysteresis loops with much lower remanent polarizations. The |e31,f| piezoelectric coefficient was around 10.6 C/m2 for hot-poled (001) oriented PZT film on Ni.