Sample records for hafnium oxide film

  1. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  2. Tailoring the index of refraction of nanocrystalline hafnium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vargas, Mirella; Murphy, N. R.; Ramana, C. V., E-mail: rvchintalapalle@utep.edu

    2014-03-10

    Hafnium oxide (HfO{sub 2}) films were grown by sputter-deposition by varying the growth temperature (T{sub s} = 25–700 °C). HfO{sub 2} films grown at T{sub s} < 200 °C were amorphous, while those grown at T{sub s} ≥ 200 °C were monoclinic, nanocrystalline with (1{sup ¯}11) texturing. X-ray reflectivity (XRR) analyses indicate that the film-density (ρ) increases with increasing T{sub s}. The index of refraction (n) profiles derived from spectroscopic ellipsometry analyses follow the Cauchy dispersion relation. Lorentz-Lorenz analysis (n{sub (λ)} = 550 nm) and optical-model adopted agree well with the XRR data/analyses. A direct T{sub s}-ρ-n relationship suggests that tailoring the optical quality is possible by tuning T{sub s} and themore » microstructure of HfO{sub 2} films.« less

  3. Pyroelectricity of silicon-doped hafnium oxide thin films

    NASA Astrophysics Data System (ADS)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  4. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  5. Low-voltage high-performance organic thin film transistors with a thermally annealed polystyrene/hafnium oxide dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Acton, Orb; Ting, Guy; Weidner, Tobias; Ma, Hong; Castner, David G.; Jen, Alex K.-Y.

    2009-12-01

    Low-voltage pentacene-based organic thin film transistors (OTFTs) are demonstrated with polystyrene (PS)/hafnium oxide (HfOx) hybrid dielectrics. Thermal annealing of PS films on HfOx at 120 °C (PS-120) induces a flatter orientation of the phenyl groups (tilt angle 65°) at the surface compared to PS films without annealing (PS-RT) (tilt angle 31°). The flatter phenyl group orientation leads to better matching of surface energy between pentacene and PS. Pentacene deposited on PS-120 display higher quality thin films with larger grain sizes and higher crystallinity. Pentacene OTFTs with PS-120/HfOx hybrid dielectrics can operate at low-voltage (<3 V) with high field-effect mobilities (1 cm2/V s), high on/off current ratios (106), and low subthreshold slopes (100 mV/dec).

  6. Thermal conductivity and thermal boundary resistance of atomic layer deposited high-k dielectric aluminum oxide, hafnium oxide, and titanium oxide thin films on silicon

    NASA Astrophysics Data System (ADS)

    Scott, Ethan A.; Gaskins, John T.; King, Sean W.; Hopkins, Patrick E.

    2018-05-01

    The need for increased control of layer thickness and uniformity as device dimensions shrink has spurred increased use of atomic layer deposition (ALD) for thin film growth. The ability to deposit high dielectric constant (high-k) films via ALD has allowed for their widespread use in a swath of optical, optoelectronic, and electronic devices, including integration into CMOS compatible platforms. As the thickness of these dielectric layers is reduced, the interfacial thermal resistance can dictate the overall thermal resistance of the material stack compared to the resistance due to the finite dielectric layer thickness. Time domain thermoreflectance is used to interrogate both the thermal conductivity and the thermal boundary resistance of aluminum oxide, hafnium oxide, and titanium oxide films on silicon. We calculate a representative design map of effective thermal resistances, including those of the dielectric layers and boundary resistances, as a function of dielectric layer thickness, which will be of great importance in predicting the thermal resistances of current and future devices.

  7. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  8. Formulation and method for preparing gels comprising hydrous hafnium oxide

    DOEpatents

    Collins, Jack L; Hunt, Rodney D; Montgomery, Frederick C

    2013-08-06

    Formulations useful for preparing hydrous hafnium oxide gels contain a metal salt including hafnium, an acid, an organic base, and a complexing agent. Methods for preparing gels containing hydrous hafnium oxide include heating a formulation to a temperature sufficient to induce gel formation, where the formulation contains a metal salt including hafnium, an acid, an organic base, and a complexing agent.

  9. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  10. Pyroelectric response in crystalline hafnium zirconium oxide (Hf 1- x Zr x O 2 ) thin films

    DOE PAGES

    Smith, S. W.; Kitahara, A. R.; Rodriguez, M. A.; ...

    2017-02-13

    Pyroelectric coefficients were measured for 20 nm thick crystalline hafnium zirconium oxide (Hf 1-xZr xO 2) thin films across a composition range of 0 ≤ x ≤ 1. Pyroelectric currents were collected near room temperature under zero applied bias and a sinusoidal oscillating temperature profile to separate the influence of non-pyroelectric currents. The pyroelectric coefficient was observed to correlate with zirconium content, increased orthorhombic/tetragonal phase content, and maximum polarization response. The largest measured absolute value was 48 μCm -2K -1 for a composition with x = 0.64, while no pyroelectric response was measured for compositions which displayed no remanent polarizationmore » (x = 0, 0.91, 1).« less

  11. Hafnium transistor process design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2009-01-01

    A design methodology is presented that uses 1-D process simulations of Metal Insulator Semiconductor (MIS) structures to design the threshold voltage of hafnium oxide based transistors used for neural recording. The methodology is comprised of 1-D analytical equations for threshold voltage specification, and doping profiles, and 1-D MIS Technical Computer Aided Design (TCAD) to design a process to implement a specific threshold voltage, which minimized simulation time. The process was then verified with a 2-D process/electrical TCAD simulation. Hafnium oxide films (HfO) were grown and characterized for dielectric constant and fixed oxide charge for various annealing temperatures, two important design variables in threshold voltage design.

  12. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    PubMed

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (<5 V) pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  13. As-Received, Ozone Cleaned and Ar+ Sputtered Surfaces of Hafnium Oxide Grown by Atomic Layer Deposition and Studied by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Herman, Jacob A.; Wallace, Robert

    2012-06-27

    In this study, X-ray photoelectron spectroscopy (XPS) characterization was performed on 47 nm thick hafnium oxide (HfO{sub 2}) films grown by atomic layer deposition using TEMA-Hf/H{sub 2}O at 250 C substrate temperature. HfO{sub 2} is currently being studied as a possible replacement for Silicon Oxide (SiO{sub 2}) as a gate dielectric in electronics transistors. XPS spectra were collected on a Physical Electronics Quantum 2000 Scanning ESCA Microprobe using a monochromatic Al K{sub a} X-ray (1486.7 eV) excitation source. The sample was analyzed under the following conditions: as received, after UV irradiation for five minutes, and after sputter cleaning with 2more » kV Ar{sup +} ions for 180 seconds. Survey scans showed carbon, oxygen, and hafnium as the major species in the film, while the only minor species of argon and carbide was detected after sputtering. Adventitious carbon initially composed approximately 18.6 AT% of the surface, but after UV cleaning it was reduced to 2.4 AT%. This demonstrated that that the majority of carbon was due to adventitious carbon. However, after 2 kV Ar{sup +} sputtering there was still only trace amounts of carbon at {approx}1 AT%, Some of this trace carbon is now in the form of a carbide due to the interaction with Ar{sup +} used for sputter cleaning. Furthermore, the stoiciometric ratio of oxygen and hafnium is consistent with a high quality HfO{sub 2} film.« less

  14. High quality transparent conducting oxide thin films

    DOEpatents

    Gessert, Timothy A.; Duenow, Joel N.; Barnes, Teresa; Coutts, Timothy J.

    2012-08-28

    A transparent conducting oxide (TCO) film comprising: a TCO layer, and dopants selected from the elements consisting of Vanadium, Molybdenum, Tantalum, Niobium, Antimony, Titanium, Zirconium, and Hafnium, wherein the elements are n-type dopants; and wherein the transparent conducting oxide is characterized by an improved electron mobility of about 42 cm.sup.2/V-sec while simultaneously maintaining a high carrier density of .about.4.4e.times.10.sup.20 cm.sup.-3.

  15. Hafnium silicate and hafnium silicon oxynitride gate dielectrics for strained Si_xGe_1-x: Interface stability

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    Strained Si_xGe_1-x layers have gained considerable attention due to hole mobility enhancement, and ease of integration with Si-based CMOS technology. The deposition of stable high-κ dielectrics [1] such as hafnium silicate and hafnium silicon oxynitride in direct contact with SiGe would simultaneously improve the capacitance of the gate stack and lower the leakage current for high performance SiGe devices. However, the oxidation of the Si_xGe_1-x substrate either during dielectric deposition or post-deposition processing would degrade device performance due to the thermodynamic instability of germanium oxide [2,3]. Results from XPS, HR-TEM, and C-V, and I-V analyses after various annealing treatments will be presented for hafnium silicate and hafnium silicon oxynitride films deposited on strained Si_xGe_1-x(100), and correlated with dielectric-Si_xGe_1-x(100) interface stability. Implications to the introduction of these oxides as viable gate dielectric candidates for SiGe-based CMOS technology will be discussed. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001) [2] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [3] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995)

  16. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  17. Facing-target mid-frequency magnetron reactive sputtered hafnium oxide film: Morphology and electrical properties

    NASA Astrophysics Data System (ADS)

    Zhang, Yu; Xu, Jun; Wang, You-Nian; Choi, Chi Kyu; Zhou, Da-Yu

    2016-03-01

    Amorphous hafnium dioxide (HfO2) film was prepared on Si (100) by facing-target mid-frequency reactive magnetron sputtering under different oxygen/argon gas ratio at room temperature with high purity Hf target. 3D surface profiler results showed that the deposition rates of HfO2 thin film under different O2/Ar gas ratio remain unchanged, indicating that the facing target midfrequency magnetron sputtering system provides effective approach to eliminate target poisoning phenomenon which is generally occurred in reactive sputtering procedure. X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) demonstrated that the gradual reduction of oxygen vacancy concentration and the densification of deposited film structure with the increase of oxygen/argon (O2/Ar) gas flow ratio. Atomic force microscopy (AFM) analysis suggested that the surface of the as-deposited HfO2 thin film tends to be smoother, the root-meansquare roughness (RMS) reduced from 0.876 nm to 0.333 nm while O2/Ar gas flow ratio increased from 1/4 to 1/1. Current-Voltage measurements of MOS capacitor based on Au/HfO2/Si structure indicated that the leakage current density of HfO2 thin films decreased by increasing of oxygen partial pressure, which resulted in the variations of pore size and oxygen vacancy concentration in deposited thin films. Based on the above characterization results the leakage current mechanism for all samples was discussed systematically.

  18. Silicon doped hafnium oxide (HSO) and hafnium zirconium oxide (HZO) based FeFET: A material relation to device physics

    NASA Astrophysics Data System (ADS)

    Ali, T.; Polakowski, P.; Riedel, S.; Büttner, T.; Kämpfe, T.; Rudolph, M.; Pätzold, B.; Seidel, K.; Löhr, D.; Hoffmann, R.; Czernohorsky, M.; Kühnel, K.; Thrun, X.; Hanisch, N.; Steinke, P.; Calvo, J.; Müller, J.

    2018-05-01

    The recent discovery of ferroelectricity in thin film HfO2 materials renewed the interest in ferroelectric FET (FeFET) as an emerging nonvolatile memory providing a potential high speed and low power Flash alternative. Here, we report more insight into FeFET performance by integrating two types of ferroelectric (FE) materials and varying their properties. By varying the material type [HfO2 (HSO) versus hafnium zirconium oxide (HZO)], optimum content (Si doping/mixture ratio), and film thickness, a material relation to FeFET device physics is concluded. As for the material type, an improved FeFET performance is observed for HZO integration with memory window (MW) comparable to theoretical values. For different Si contents, the HSO based FeFET exhibited a MW trend with different stabilized phases. Similarly, the HZO FeFET shows MW dependence on the Hf:Zr mixture ratio. A maximized MW is obtained with cycle ratios of 16:1 (HfO2:Si) and 1:1 (Hf:Zr) as measured on HSO and HZO based FeFETs, respectively. The thickness variation shows a trend of increasing MW with the increased FE layer thickness confirming early theoretical predictions. The FeFET material aspects and stack physics are discussed with insight into the interplay factors, while optimum FE material parameters are outlined in relation to performance.

  19. Synthesis of High Symmetry Phase of Hafnium Dioxide Thin Films and Nickel Ferrite's Effect on Microstructure in Composite Heterostructure

    NASA Astrophysics Data System (ADS)

    Straka, Weston J.

    Hafnium dioxide has attracted a great deal of attention recently due to its potential use in two different electronic applications: CMOS and FeRAM. In CMOS, the usefulness of hafnia comes in due to its high dielectric constant and compatibility with current IC processing parameters. For FeRAM, hafnia's recent discovery to exhibit ferroelectricity in an orthorhombic phase makes this material attractive for replacement of the ferroelectric material in FeRAM. This study shows the feasibility of depositing thin films of hafnium oxide via chemical solution deposition for integration into these devices. The processing parameters necessary to produce this phase show how non-equilibrium processing plays a role in its synthesis. The temperature necessary to achieve the high symmetry phase was at 725 °C for 3 minutes on sapphire, silicon, and coated silicon substrates. The thermal conductivity of each was viewed as the property that allowed the hafnia formation. The dielectric constant of the hafnia films were between 30 and 32 with low dissipation factors and up to 47 with a poor dissipation factor all at 1 kHz. The formation of this phase was shown to be thickness independent with the high symmetry phase existing up to 300 nm film thickness. Interfacing the hafnia film with nickel ferrite was also studied to identify the possibility of using this composite for non-destructive reading of FeRAM. The magnetic properties showed an unchanged nickel ferrite film but the interface between the two was poor leading to the conclusion that more work must be done to successfully integrate these two films.

  20. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  1. Effect of hafnium doping on density of states in dual-target magnetron co-sputtering HfZnSnO thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Chuan-Xin; Li, Jun, E-mail: SHUniverjunli@163.com; Fu, Yi-Zhou

    2015-11-23

    This study investigates the effect of hafnium doping on the density of states (DOSs) in HfZnSnO thin film transistors fabricated by dual-target magnetron co-sputtering system. The DOSs is extracted by temperature-dependent field-effect measurements, and they decrease from 1.1 × 10{sup 17} to 4.6 × 10{sup 16 }eV/cm{sup 3} with increasing the hafnium concentrations. The behavior of DOSs for the increasing hafnium concentration HfZnSnO thin film transistors can be confirmed by both the reduction of ΔV{sub T} under bias stress and the trapping charges calculated by capacitance voltage measurements. It suggests that the reduction in DOSs due to the hafnium doping is closely related with themore » bias stability and thermal stability.« less

  2. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  3. Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Starschich, S.; Böttger, U.; Menzel, S.

    The wake-up effect which is observed in ferroelectric hafnium oxide is investigated in yttrium doped hafnium oxide prepared by chemical solution deposition. It can be shown that not the amount of cycles but the duration of the applied electrical field is essential for the wake-up. Temperature dependent wake-up cycling in a range of −160 °C to 100 °C reveals a strong temperature activation of the wake-up, which can be attributed to ion rearrangement during cycling. By using asymmetrical electrodes, resistive valence change mechanism switching can be observed coincident with ferroelectric switching. From the given results, it can be concluded that redistribution ofmore » oxygen vacancies is the origin of the wake-up effect.« less

  4. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  5. Intrinsic Defect Ferromagnetism: The case of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Das Pemmaraju, Chaitanya

    2005-03-01

    In view of the recent experimental reports of intrinsic ferromagnetism in Hafnium Oxide (HfO2) thin film systems ootnotetextM. Venkatesan, C. B. Fitzgerald, J. M. D. Coey Nature 430, 630 (2004) Brief Communications, we carried out first principles investigations to look for magnetic structure in HfO2 possibly brought about by the presence of small concentrations of intrinsic point defects. Ab initio electronic structure calculations using Density Functional Theory (DFT) show that isolated cation vacancy sites in HfO2 lead to the formation of high spin defect states which couple ferromagnetically to each other. Interestingly, these high spin states are observed in the low symmetry monoclinic and tetragonal phases while the highly symmetric cubic flourite phase exhibits a non-magnetic ground state. Detailed studies of the electronic structure of cation vacancies in the three crystalline phases of Hafnia show that symmetry leading to orbitally degenerate defect levels is not a pre-requsite for ferromagnetism and that the interplay between Kinetic, Coulomb and Exchange energy together with favourable coupling to the Crystalline environment can lead to high spin ferromagnetic ground states even in extreme low symmetry systems like monoclinic HfO2. These findings open up a much wider class of systems to the possibility of intrinsic defect ferromagnetism.

  6. Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor.

    PubMed

    Nomura, Kenji; Ohta, Hiromichi; Ueda, Kazushige; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2003-05-23

    We report the fabrication of transparent field-effect transistors using a single-crystalline thin-film transparent oxide semiconductor, InGaO3(ZnO)5, as an electron channel and amorphous hafnium oxide as a gate insulator. The device exhibits an on-to-off current ratio of approximately 106 and a field-effect mobility of approximately 80 square centimeters per volt per second at room temperature, with operation insensitive to visible light irradiation. The result provides a step toward the realization of transparent electronics for next-generation optoelectronics.

  7. Ferroelectric memory based on molybdenum disulfide and ferroelectric hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Xia, Qiangfei; Zhu, Wenjuan

    Recently, ferroelectric hafnium oxide (HfO2) was discovered as a new type of ferroelectric material with the advantages of high coercive field, excellent scalability (down to 2.5 nm), and good compatibility with CMOS processing. In this work, we demonstrate, for the first time, 2D ferroelectric memories with molybdenum disulfide (MoS2) as the channel material and aluminum doped HfO2 as the ferroelectric gate dielectric. A 16 nm thick layer of HfO2, doped with 5.26% aluminum, was deposited via atomic layer deposition (ALD), then subjected to rapid thermal annealing (RTA) at 1000 °C, and the polarization-voltage characteristics of the resulting metal-ferroelectric-metal (MFM) capacitors were measured, showing a remnant polarization of 0.6 μC/cm2. Ferroelectric memories with embedded ferroelectric hafnium oxide stacks and monolayer MoS2 were fabricated. The transfer characteristics after program and erase pulses revealed a clear ferroelectric memory window. In addition, endurance (up to 10,000 cycles) of the devices were tested and effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, were observed. This research can potentially lead to advances of 2D materials in low-power logic and memory applications.

  8. The interfacial orientation relationship of oxide nanoparticles in a hafnium-containing oxide dispersion-strengthened austenitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miao, Yinbin, E-mail: miao2@illinois.edu; Mo, Kun; Cui, Bai

    2015-03-15

    This work reports comprehensive investigations on the orientation relationship of the oxide nanoparticles in a hafnium-containing austenitic oxide dispersion-strengthened 316 stainless steel. The phases of the oxide nanoparticles were determined by a combination of scanning transmission electron microscopy–electron dispersive X-ray spectroscopy, atom probe tomography and synchrotron X-ray diffraction to be complex Y–Ti–Hf–O compounds with similar crystal structures, including bixbyite Y{sub 2}O{sub 3}, fluorite Y{sub 2}O{sub 3}–HfO{sub 2} solid solution and pyrochlore (or fluorite) Y{sub 2}(Ti,Hf){sub 2−x}O{sub 7−x}. High resolution transmission electron microscopy was used to characterize the particle–matrix interfaces. Two different coherency relationships along with one axis-parallel relation between themore » oxide nanoparticles and the steel matrix were found. The size of the nanoparticles significantly influences the orientation relationship. The results provide insight into the relationship of these nanoparticles with the matrix, which has implications for interpreting material properties as well as responses to radiation. - Highlights: • The oxide nanoparticles in a hafnium-containing austenitic ODS were characterized. • The nanoparticles are Y–Hf–Ti–O enriched phases according to APT and STEM–EDS. • Two coherency and an axis-parallel orientation relationships were found by HR-TEM. • Particle size has a prominent effect on the orientation relationship (OR). • Formation mechanism of the oxide nanoparticles was discussed based on the ORs.« less

  9. Cathodoluminescence Study of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Purcell, Emily; Hengehold, Robert; McClory, John

    2011-10-01

    Hafnium dioxide (HfO2) is increasingly being used in place of silicon oxide as a gate insulator in field effect transistors. This is primarily due to its high dielectric constant, κ, of 25. Samples of HfO2 were grown by either atomic layer deposition (ALD) or pulsed laser deposition (PLD), with the PLD samples having assorted substrate temperatures during deposition (300 C, 500 C, and 750 C). Cathodoluminescence (CL) was chosen as the technique used for studying these HfO2 samples. The CL system used was capable of beam energies ranging from 1 keV to 20 keV and beam currents ranging from 10 μA to 50 μA. A Monte Carlo calculation using CASINO software was performed in order to determine the beam energy for the desired depth of penetration. Measurements were taken at sample temperatures ranging from 7K (closed cycled cryostat) to 300K (room temperature), as well as at various beam energies and beam currents. Comparison will be made between the PLD and ALD spectra.

  10. The influence of interfacial defects on fast charge trapping in nanocrystalline oxide-semiconductor thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Taeho; Hur, Jihyun; Jeon, Sanghun

    2016-05-01

    Defects in oxide semiconductors not only influence the initial device performance but also affect device reliability. The front channel is the major carrier transport region during the transistor turn-on stage, therefore an understanding of defects located in the vicinity of the interface is very important. In this study, we investigated the dynamics of charge transport in a nanocrystalline hafnium-indium-zinc-oxide thin-film transistor (TFT) by short pulse I-V, transient current and 1/f noise measurement methods. We found that the fast charging behavior of the tested device stems from defects located in both the front channel and the interface, following a multi-trapping mechanism. We found that a silicon-nitride stacked hafnium-indium-zinc-oxide TFT is vulnerable to interfacial charge trapping compared with silicon-oxide counterpart, causing significant mobility degradation and threshold voltage instability. The 1/f noise measurement data indicate that the carrier transport in a silicon-nitride stacked TFT device is governed by trapping/de-trapping processes via defects in the interface, while the silicon-oxide device follows the mobility fluctuation model.

  11. Slow DNA Transport through Nanopores in Hafnium Oxide Membranes

    PubMed Central

    Bell, David C.; Cohen-Karni, Tzahi; Rosenstein, Jacob K.; Wanunu, Meni

    2016-01-01

    We present a study of double- and single-stranded DNA transport through nanopores fabricated in ultrathin (2–7 nm thick) free-standing hafnium oxide (HfO2) membranes. The high chemical stability of ultrathin HfO2 enables long-lived experiments with <2 nm diameter pores that last several hours, in which we observe >50 000 DNA translocations with no detectable pore expansion. Mean DNA velocities are slower than velocities through comparable silicon nitride pores, providing evidence that HfO2 nanopores have favorable physicochemical interactions with nucleic acids that can be leveraged to slow down DNA in a nanopore. PMID:24083444

  12. The origin of 2.7 eV luminescence and 5.2 eV excitation band in hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perevalov, T. V., E-mail: timson@isp.nsc.ru; Novosibirsk State University, 2 Pirogova St., 630090 Novosibirsk; Aliev, V. Sh.

    2014-02-17

    The origin of a blue luminescence band at 2.7 eV and a luminescence excitation band at 5.2 eV of hafnia has been studied in stoichiometric and non-stoichiometric hafnium oxide films. Experimental and calculated results from the first principles valence band spectra showed that the stoichiometry violation leads to the formation of the peak density of states in the band gap caused by oxygen vacancies. Cathodoluminescence in the non-stoichiometric film exhibits a band at 2.65 eV that is excited at the energy of 5.2 eV. The optical absorption spectrum calculated for the cubic phase of HfO{sub 2} with oxygen vacancies showsmore » a peak at 5.3 eV. Thus, it could be concluded that the blue luminescence band at 2.7 eV and HfO{sub x} excitation peak at 5.2 eV are due to oxygen vacancies. The thermal trap energy in hafnia was estimated.« less

  13. Work functions of hafnium nitride thin films as emitter material for field emitter arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gotoh, Yasuhito, E-mail: gotoh.yasuhito.5w@kyoto-u.ac.jp; Fujiwara, Sho; Tsuji, Hiroshi

    The work functions of hafnium nitride thin films prepared by radio-frequency magnetron sputtering were investigated in vacuum, before and after surface cleaning processes, with a view of improving the properties of as-fabricated field emitter arrays comprising hafnium nitride emitters. The measurement of the work function was first performed for the as-deposited films and then for films subjected to surface cleaning process, either thermal treatment or ion bombardment. Thermal treatment at a maximum temperature of 300 °C reduced the work function by 0.7 eV. Once the film was heated, the work function maintained the reduced value, even after cooling to room temperature. Amore » little change in the work function was observed for the second and third thermal treatments. The ion bombardment was conducted by exposing the sample to a thin plasma for different sample bias conditions and processing times. When the sample was biased at −10 V, the work function decreased by 0.6 eV. The work function reduction became saturated in the early stage of the ion bombardment. When the sample was biased at −50 V, the work function exhibited different behaviors, that is, first it decreased rapidly and then increased in response to the increase in processing time. The lowest attainable work function was found to be 4.00 eV. It should be noted that none of the work function values reported in this paper were obtained using surfaces that were demonstrated to be free from oxygen contamination. The present results suggest that the current–voltage characteristics of a field emitter array can be improved by a factor of 25–50 by the examined postprocesses.« less

  14. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  15. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  16. Multimode resistive switching in nanoscale hafnium oxide stack as studied by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hou, Y., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn; IMEC, Kapeldreef 75, B-3001 Heverlee; Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee

    2016-07-11

    The nanoscale resistive switching in hafnium oxide stack is investigated by the conductive atomic force microscopy (C-AFM). The initial oxide stack is insulating and electrical stress from the C-AFM tip induces nanometric conductive filaments. Multimode resistive switching can be observed in consecutive operation cycles at one spot. The different modes are interpreted in the framework of a low defect quantum point contact theory. The model implies that the optimization of the conductive filament active region is crucial for the future application of nanoscale resistive switching devices.

  17. RF sputtered silicon and hafnium nitrides as applied to 440C steel

    NASA Technical Reports Server (NTRS)

    Grill, A.; Aron, P. R.

    1984-01-01

    Silicon nitride and hafnium nitride coatings were deposited on oxidized and unoxidized 440C stainless steel substrates. Sputtering was done in mixtures of argon and nitrogen gases from pressed powder silicon nitride and from hafnium metal targets. The coatings and the interface between the coating and substrate were investigated by X-ray diffractometry, scanning electron microscopy, energy dispersive X-ray analysis and Auger electron spectroscopy. Oxide was found at all interfaces with an interface width of at least 600 A for the oxidized substrates and at least 300 A for the unoxidized substrates. Scratch test results demonstrate that the adhesion of hafnium nitride to both oxidized and unoxidized 440C is superior to that of silicon nitride. Oxidized 440C is found to have increased adhesion, to both nitrides, over that of unoxidized 440C. Coatings of both nitrides deposited at 8 mtorr were found to have increased adhesion to both oxidized and unoxidized 440C over those deposited at 20 mtorr.

  18. Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles

    NASA Astrophysics Data System (ADS)

    Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh

    2017-07-01

    In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.

  19. Thermochemistry of amorphous and crystalline zirconium and hafnium silicates.

    NASA Astrophysics Data System (ADS)

    Ushakov, S.; Brown, C. E.; Navrotsky, Alexandra; Boatner, L. A.; Demkov, A. A.; Wang, C.; Nguyen, B.-Y.

    2003-03-01

    Calorimetric investigation of amorphous and crystalline zirconium and hafnium silicates was performed as part of a research program on thermochemistry of alternative gate dielectrics. Amorphous hafnium and zirconium silicates with varying SiO2 content were synthesized by a sol-gel process. Crystalline zirconium and hafnium silicates (zircon and hafnon) were synthesized by solid state reaction at 1450 °C from amorphous gels and grown as single crystals from flux. High temperature oxide melt solution calorimetry in lead borate (2PbO.B2O3) solvent at 800 oC was used to measure drop solution enthalpies for amorphous and crystalline zirconium and hafnium silicates and corresponding oxides. Applying appropriate thermochemical cycles, formation enthalpy of crystalline ZrSiO4 (zircon) from binary oxides (baddeleite and quartz) at 298 K was calculated as -23 +/-2 kJ/mol and enthalpy difference between amorphous and crystalline zirconium silicate (vitrification enthalpy) was found to be 61 +/-3 kJ/mol. Crystallization onset temperatures of amorphous zirconium and hafnium silicates, as measured by differential scanning calorimetry (DSC), increased with silica content. The resulting crystalline phases, as characterized by X-ray diffraction (XRD), were tetragonal HfO2 and ZrO2. Critical crystallite size for tetragonal to monoclinic transformation of HfO2 in the gel was estimated as 6 +/-2 nm from XRD data Crystallization enthalpies per mole of hafnia and zirconia in gels decrease slightly together with crystallite size with increasing silica content, for example from -22 to -15 +/-1 kJ per mol of HfO2 crystallized at 740 and 1006 °C from silicates with 10 and 70 mol Applications of thermal analyses and solution calorimetry techniques together with first-principles density functional calculations to estimate interface and surface energies are discussed.

  20. Zirconium and hafnium

    USGS Publications Warehouse

    Jones, James V.; Piatak, Nadine M.; Bedinger, George M.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Zirconium and hafnium are corrosion-resistant metals that are widely used in the chemical and nuclear industries. Most zirconium is consumed in the form of the main ore mineral zircon (ZrSiO4, or as zirconium oxide or other zirconium chemicals. Zirconium and hafnium are both refractory lithophile elements that have nearly identical charge, ionic radii, and ionic potentials. As a result, their geochemical behavior is generally similar. Both elements are classified as incompatible because they have physical and crystallochemical properties that exclude them from the crystal lattices of most rock-forming minerals. Zircon and another, less common, ore mineral, baddeleyite (ZrO2), form primarily as accessory minerals in igneous rocks. The presence and abundance of these ore minerals in igneous rocks are largely controlled by the element concentrations in the magma source and by the processes of melt generation and evolution. The world’s largest primary deposits of zirconium and hafnium are associated with alkaline igneous rocks, and, in one locality on the Kola Peninsula of Murmanskaya Oblast, Russia, baddeleyite is recovered as a byproduct of apatite and magnetite mining. Otherwise, there are few primary igneous deposits of zirconium- and hafnium-bearing minerals with economic value at present. The main ore deposits worldwide are heavy-mineral sands produced by the weathering and erosion of preexisting rocks and the concentration of zircon and other economically important heavy minerals, such as ilmenite and rutile (for titanium), chromite (for chromium), and monazite (for rare-earth elements) in sedimentary systems, particularly in coastal environments. In coastal deposits, heavy-mineral enrichment occurs where sediment is repeatedly reworked by wind, waves, currents, and tidal processes. The resulting heavy-mineral-sand deposits, called placers or paleoplacers, preferentially form at relatively low latitudes on passive continental margins and supply 100 percent of

  1. Hafnium transistor design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2008-01-01

    A design methodology is presented that uses the EKV model and the g(m)/I(D) biasing technique to design hafnium oxide field effect transistors that are suitable for neural recording circuitry. The DC gain of a common source amplifier is correlated to the structural properties of a Field Effect Transistor (FET) and a Metal Insulator Semiconductor (MIS) capacitor. This approach allows a transistor designer to use a design flow that starts with simple and intuitive 1-D equations for gain that can be verified in 1-D MIS capacitor TCAD simulations, before final TCAD process verification of transistor properties. The DC gain of a common source amplifier is optimized by using fast 1-D simulations and using slower, complex 2-D simulations only for verification. The 1-D equations are used to show that the increased dielectric constant of hafnium oxide allows a higher DC gain for a given oxide thickness. An additional benefit is that the MIS capacitor can be employed to test additional performance parameters important to an open gate transistor such as dielectric stability and ionic penetration.

  2. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Treesearch

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  3. Hafnium radioisotope recovery from irradiated tantalum

    DOEpatents

    Taylor, Wayne A.; Jamriska, David J.

    2001-01-01

    Hafnium is recovered from irradiated tantalum by: (a) contacting the irradiated tantalum with at least one acid to obtain a solution of dissolved tantalum; (b) combining an aqueous solution of a calcium compound with the solution of dissolved tantalum to obtain a third combined solution; (c) precipitating hafnium, lanthanide, and insoluble calcium complexes from the third combined solution to obtain a first precipitate; (d) contacting the first precipitate of hafnium, lanthanide and calcium complexes with at least one fluoride ion complexing agent to form a fourth solution; (e) selectively adsorbing lanthanides and calcium from the fourth solution by cationic exchange; (f) separating fluoride ion complexing agent product from hafnium in the fourth solution by adding an aqueous solution of ferric chloride to obtain a second precipitate containing the hafnium and iron; (g) dissolving the second precipitate containing the hafnium and iron in acid to obtain an acid solution of hafnium and iron; (h) selectively adsorbing the iron from the acid solution of hafnium and iron by anionic exchange; (i) drying the ion exchanged hafnium solution to obtain hafnium isotopes. Additionally, if needed to remove residue remaining after the product is dried, dissolution in acid followed by cation exchange, then anion exchange, is performed.

  4. Mineral resource of the month: zirconium and hafnium

    USGS Publications Warehouse

    Gambogi, Joseph

    2007-01-01

    Zirconium and hafnium are corrosion-resistant metals that are grouped in the same family as titanium on the periodic table. The two elements commonly occur in oxide and silicate minerals and have significant economic importance in everything from ink, ceramics and golf shoes to nuclear fuel rods.

  5. Method for fabricating hafnia films

    DOEpatents

    Hu, Michael Z [Knoxville, TN

    2007-08-21

    The present invention comprises a method for fabricating hafnia film comprising the steps of providing a substrate having a surface that allows formation of a self-assembled monolayer thereon via covalent bonding; providing an aqueous solution that provides homogeneous hafnium ionic complexes and hafnium nanoclusters wherein the aqueous solution is capable of undergoing homogeneous precipitation under controlled conditions for a desired period of time at a controlled temperature and controlled solution acidity for desired nanocluster nucleation and growth kinetics, desired nanocluster size, desired growth rate of film thickness and desired film surface characteristics. The method further comprising forming the self-assembled monolayer on the surface of the substrate wherein the self-assembled monolayer comprises a plurality of hydrocarbon chains cross-linked together along the surface of the substrate, the hydrocarbon chains being uniformly spaced from one another and wherein each of the hydrocarbon chains having a functional anchoring group at a first end of the chain covalently bonded with the surface of the substrate and each of the hydrocarbon chains having a functional terminating group projected away from the surface wherein the functional terminating group provides a bonding site for the hafnium film to grow; and exposing the substrate to the aqueous solution for a desired period of time at a controlled temperature wherein the hafnium ionic complexes and the hafnium nanoclusters are deposited on the bonding site of the functional terminating group thereby forming the hafnia film wherein the hafnium bonded to the hydrocarbons and to one another provide a uniform ordered arrangement defined by the uniform arrangement of the hydrocarbons.

  6. Electrical properties of radio-frequency sputtered HfO2 thin films for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Sarkar, Pranab Kumar; Roy, Asim

    2015-08-01

    The Hafnium oxide (HfO2) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO2 film have been studied employing Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO2 film is obtained as 23,7.57×1011eV-1 cm-2 and 2.7×10-5 Acm-2, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  7. Ablation Resistant Zirconium and Hafnium Ceramics

    NASA Technical Reports Server (NTRS)

    Bull, Jeffrey (Inventor); White, Michael J. (Inventor); Kaufman, Larry (Inventor)

    1998-01-01

    High temperature ablation resistant ceramic composites have been made. These ceramics are composites of zirconium diboride and zirconium carbide with silicon carbide, hafnium diboride and hafnium carbide with silicon carbide and ceramic composites which contain mixed diborides and/or carbides of zirconium and hafnium. along with silicon carbide.

  8. High rate buffer layer for IBAD MgO coated conductors

    DOEpatents

    Foltyn, Stephen R [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM

    2007-08-21

    Articles are provided including a base substrate having a layer of an oriented material thereon, and, a layer of hafnium oxide upon the layer of an oriented material. The layer of hafnium oxide can further include a secondary oxide such as cerium oxide, yttrium oxide, lanthanum oxide, scandium oxide, calcium oxide and magnesium oxide. Such articles can further include thin films of high temperature superconductive oxides such as YBCO upon the layer of hafnium oxide or layer of hafnium oxide and secondary oxide.

  9. Atomic layer deposition of hafnium oxide: A detailed reaction mechanism from first principles

    NASA Astrophysics Data System (ADS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-08-01

    Atomic layer deposition (ALD) of hafnium oxide (HfO2) using HfCl4 and H2O as precursors is studied using density functional theory. The mechanism consists of two deposition half-reactions: (1) HfCl4 with Hf-OH sites, and (2) H2O with Hf-Cl sites. Both half-reactions exhibit stable intermediates with energies lower than those of the final products. We show that increasing the temperature reduces the stability of the complex. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors. Both half-reactions are qualitatively similar to the corresponding reactions of ZrO2 ALD using ZrCl4 and H2O.

  10. Hafnium Films and Magnetic Shielding for TIME, A mm-Wavelength Spectrometer Array

    NASA Astrophysics Data System (ADS)

    Hunacek, J.; Bock, J.; Bradford, C. M.; Butler, V.; Chang, T.-C.; Cheng, Y.-T.; Cooray, A.; Crites, A.; Frez, C.; Hailey-Dunsheath, S.; Hoscheit, B.; Kim, D. W.; Li, C.-T.; Marrone, D.; Moncelsi, L.; Shirokoff, E.; Steinbach, B.; Sun, G.; Trumper, I.; Turner, A.; Uzgil, B.; Weber, A.; Zemcov, M.

    2018-04-01

    TIME is a mm-wavelength grating spectrometer array that will map fluctuations of the 157.7-μm emission line of singly ionized carbon ([CII]) during the epoch of reionization (redshift z ˜ 5-9). Sixty transition-edge sensor (TES) bolometers populate the output arc of each of the 32 spectrometers, for a total of 1920 detectors. Each bolometer consists of gold absorber on a ˜ 3 × 3 mm silicon nitride micro-mesh suspended near the corners by 1 × 1 × 500 μm silicon nitride legs targeting a photon-noise-dominated NEP ˜ 1 × 10^{-17} W/√{Hz} . Hafnium films are explored as a lower-T_c alternative to Ti (500 mK) for TIME TESs, allowing thicker support legs for improved yield. Hf T_c is shown to vary between 250 and 450 mK when varying the resident Ar pressure during deposition. Magnetic shielding designs and simulations are presented for the TIME first-stage SQUIDs. Total axial field suppression is predicted to be 5 × 10^7.

  11. Hafnium isotope stratigraphy of ferromanganese crusts

    PubMed

    Lee; Halliday; Hein; Burton; Christensen; Gunther

    1999-08-13

    A Cenozoic record of hafnium isotopic compositions of central Pacific deep water has been obtained from two ferromanganese crusts. The crusts are separated by more than 3000 kilometers but display similar secular variations. Significant fluctuations in hafnium isotopic composition occurred in the Eocene and Oligocene, possibly related to direct advection from the Indian and Atlantic oceans. Hafnium isotopic compositions have remained approximately uniform for the past 20 million years, probably reflecting increased isolation of the central Pacific. The mechanisms responsible for the increase in (87)Sr/(86)Sr in seawater through the Cenozoic apparently had no effect on central Pacific deep-water hafnium.

  12. SEPARATION OF HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Overholser, L.B.; Barton, C.J. Sr.; Ramsey, J.W.

    1960-05-31

    The separation of hafnium impurities from zirconium can be accomplished by means of organic solvent extraction. The hafnium-containing zirconium feed material is dissolved in an aqueous chloride solution and the resulting solution is contacted with an organic hexone phase, with at least one of the phases containing thiocyanate. The hafnium is extracted into the organic phase while zirconium remains in the aqueous phase. Further recovery of zirconium is effected by stripping the onganic phase with a hydrochloric acid solution and commingling the resulting strip solution with the aqueous feed solution. Hexone is recovered and recycled by means of scrubbing the onganic phase with a sulfuric acid solution to remove the hafnium, and thiocyanate is recovered and recycled by means of neutralizing the effluent streams to obtain ammonium thiocyanate.

  13. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  14. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    PubMed

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  15. Evolution of the mechanical and tribological properties of DLC thin films doped with low-concentration hafnium on 316L steel

    NASA Astrophysics Data System (ADS)

    Qi, Meng; Xiao, Jianrong; Gong, Chenyang; Jiang, Aihua; Chen, Yong

    2018-01-01

    Low concentrations (<1 at%) of hafnium doped into diamond-like thin films (Hf-DLC) were deposited on 316L stainless steel and silicon (1 0 0) substrates by magnetron sputtering to attain superior mechanical and tribological properties. Ar and CH4 were used as source gases. The microstructure, chemical composition, and morphology of the Hf-DLC thin films in various concentrations were analyzed using x-ray diffraction, Raman spectroscopy, x-ray photoelectron spectroscopy, scanning electron microscopy and atomic force microscopy. Results showed that Hf species transferred from the particulate microstructure to Hf carbide phases, and the surface roughness increased monotonically with increasing Hf concentration. Moreover, the hardness and elastic modulus exhibited high values when the doped Hf concentration was 0.42 at%. Similarly, the tribological behaviors and wear life of Hf-DLC thin films had a low friction coefficient and excellent wear resistance at 0.42 at% Hf concentration. Therefore, 0.42 at% Hf is an optimal doping concentration to improve the mechanical and tribological properties of DLC thin films. Generally, the use of low-concentration Hf doping into DLC thin films is novel, and the present results provide guidance for the selection of suitable and effective concentration to optimize Hf-DLC thin films with superior performance.

  16. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  17. All-alkoxide synthesis of strontium-containing metal oxides

    DOEpatents

    Boyle, Timothy J.

    2001-01-01

    A method for making strontium-containing metal-oxide ceramic thin films from a precursor liquid by mixing a strontium neo-pentoxide dissolved in an amine solvent and at least one metal alkoxide dissolved in a solvent, said at least one metal alkoxide selected from the group consisting of alkoxides of calcium, barium, bismuth, cadmium, lead, titanium, tantalum, hafnium, tungsten, niobium, zirconium, yttrium, lanthanum, antimony, chromium and thallium, depositing a thin film of the precursor liquid on a substrate, and heating the thin film in the presence of oxygen at between 550 and 700.degree. C.

  18. Conduction Channel Formation and Dissolution Due to Oxygen Thermophoresis/Diffusion in Hafnium Oxide Memristors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Suhas; Wang, Ziwen; Huang, Xiaopeng

    Due to the favorable operating power, endurance, speed, and density., transition-metal-oxide memristors, or resistive random-access memory (RRAM) switches, are under intense development for storage-class memory. Their commercial deployment critically depends on predictive compact models based on understanding nanoscale physiocochemical forces, which remains elusive and controversial owing to the difficulties in directly observing atomic motions during resistive switching, Here, using scanning transmission synchrotron X-ray spectromicroscopy to study in situ switching of hafnium oxide memristors, we directly observed the formation of a localized oxygen-deficiency-derived conductive channel surrounded by a low-conductivity ring of excess oxygen. Subsequent thermal annealing homogenized the segregated oxygen, resettingmore » the cells toward their as-grown resistance state. We show that the formation and dissolution of the conduction channel are successfully modeled by radial thermophoresis and Fick diffusion of oxygen atoms driven by Joule heating. This confirmation and quantification of two opposing nanoscale radial forces that affect bipolar memristor switching are important components for any future physics-based compact model for the electronic switching of these devices.« less

  19. Passivation of InP heterojunction bipolar transistors by strain controlled plasma assisted electron beam evaporated hafnium oxide

    NASA Astrophysics Data System (ADS)

    Driad, R.; Sah, R. E.; Schmidt, R.; Kirste, L.

    2012-01-01

    We present structural, stress, and electrical properties of plasma assisted e-beam evaporated hafnium dioxide (HfO2) layers on n-type InP substrates. These layers have subsequently been used for surface passivation of InGaAs/InP heterostructure bipolar transistors either alone or in combination with plasma enhanced chemical vapor deposited SiO2 layers. The use of stacked HfO2/SiO2 results in better interface quality with InGaAs/InP heterostructures, as illustrated by smaller leakage current and improved breakdown voltage. These improvements can be attributed to the reduced defect density and charge trapping at the dielectric-semiconductor interface. The deposition at room temperature makes these films suitable for sensitive devices.

  20. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.

    1956-08-21

    A dilute aqueous solution of zirconyl chloride which is 1N to 2N in HCl is passed through a column of a cation exchange resin in acid form thereby absorbing both zirconium and associated hafnium impurity in the mesin. The cation exchange material with the absorbate is then eluted with aqueous sulfuric acid of a O.8N to 1.2N strength. The first portion of the eluate contains the zirconium substantially free of hafnium.

  1. Thin Films of Reduced Hafnium Oxide with Excess Carbon for High-Temperature Oxidation Protection

    DTIC Science & Technology

    2010-02-01

    Cho, N. V. Nguyen, C. A. Richter, J. R. Ehrstein, B . H. Lee, and J. C. Lee, "Spectroscopic Ellipsometry Characterization of High- k Dielectric Hf02...34 Canadian Journal of Chemistry, 61 850-55 (1983). I6D. B . Chrisey and G. K . Hubler (Eds.), "Pulsed Laser Deposition of Thin Films." John Wiley...smaller than b rim. It was shown that high carbon content in the films inhibits crystaliization of HtO( at temperatures at least up to 333 C. Study ot

  2. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  3. Inter-diffusion of copper and hafnium as studied by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Pearson, Justin; Chourasia, A. R.

    The Cu/Hf interface has been characterized by x-ray photoelectron spectroscopy. Thin films (thicknesses ranging from 100 nm to 150 nm) of hafnium were deposited on a silicon substrate. About 80 nm of copper was then deposited on such samples. The e-beam method was used for the deposition. The samples were annealed for 30 min at temperatures of 100, 200, 300, 400, and 500°C. The inter-diffusion of copper and hafnium was investigated by sequential sputter depth profiling and x-ray photoelectron spectroscopy. The interdiffusion in each case was analyzed by the Matano-Boltzmann's procedure using the Fick's second law. The interdiffusion coefficients and the width of the interface as determined from the data have been correlated with the annealing temperature. Supported by Organized Research, TAMU-Commerce.

  4. Etude de la nitruration carbothermique du dioxyde de hafnium par diffraction X à haute température

    NASA Astrophysics Data System (ADS)

    Pialoux, A.

    1993-03-01

    The carbothermal reduction of hafnium dioxide under atmospheric level nitrogen pressure has been investigated using a graphite resistance high temperature X-ray diffractometer up to around 2300 K. A carbon transfer reaction through the gaseous phase (N 2, CO/CO 2) is shown to precede, then to compete the direct reduction of the hafnium oxide by the graphite in pure nitrogen. A complex mechanism has been found that accounts for the formation of hafnium dioxynitride and possibly of three other hafnium oxynitrides, then of hafnium mononitride and hafnium monocarbonitride, along two different steps between 1613 and 1923 K. An evaluation has been made concerning the composition of these γ 1- HfO 2-xN x/2□ x/2 (CaF 2-type structure), γ 2- Hf 7O 11N 2, γ 3- Hf 7O 8N 4 (rhombohedral), γ 4- Hf 2ON 2 (Mn 2O 3-type structure), HfN and HfN 1-zC z (NaCl-type structure) phases, considering the variations of their lattice parameters and the available data in the literature, especially on the isomorphous compounds of zirconium. It must be emphasized the new γ 1- HfO 2-xN x/2 phase, the dilatation of which is linear ( overlineα = 12×10 -6K -1), shows a constant composition from 2158 down to 1473 K (x ≈ 0,2). But under 1473 K, inevitably, the hafnium dioxynitride disappears, and poorly crystallized monoclinic αHfO 2 and rhombohedral γ 2- Hf 7O 11N 2 are formed.

  5. Charge injection from gate electrode by simultaneous stress of optical and electrical biases in HfInZnO amorphous oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Kwon, Dae Woong; Kim, Jang Hyun; Chang, Ji Soo; Kim, Sang Wan; Sun, Min-Chul; Kim, Garam; Kim, Hyun Woo; Park, Jae Chul; Song, Ihun; Kim, Chang Jung; Jung, U. In; Park, Byung-Gook

    2010-11-01

    A comprehensive study is done regarding stabilities under simultaneous stress of light and dc-bias in amorphous hafnium-indium-zinc-oxide thin film transistors. The positive threshold voltage (Vth) shift is observed after negative gate bias and light stress, and it is completely different from widely accepted phenomenon which explains that negative-bias stress results in Vth shift in the left direction by bias-induced hole-trapping. Gate current measurement is performed to explain the unusual positive Vth shift under simultaneous application of light and negative gate bias. As a result, it is clearly found that the positive Vth shift is derived from electron injection from gate electrode to gate insulator.

  6. CHARACTERISTICS OF ANODIC AND CORROSION FILMS ON ZIRCONIUM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Misch, R.D.

    1960-05-01

    Zirconium anodizes similarly to tungsten in respect to the change of interference colors with applied voltage. However, the oxide layer on tungsten cannot reach as great a thickness. Hafnium does not anodize in the same way as zirconium but is similar to tantalum. By measuring the interference color and capacitative thicknesses on zirconium (Grades I and III) and a 2.5 wt.% tin ailoy, the film was found to grow less rapidly in terms of capacitance than in terms of iaterference colors. This was interpreted to mean that cracks develop in the oxide as it thickens. The effect was most pronouncedmore » on Grade III zirconium and least pronounced on the tin alloy. The reduction in capacitative thickness was especially noticeable when white oxide appeared. Comparative measurements on Grade I zirconium and 2.5 wt.% tin alloy indicated that the thickness of the oxide film on the tin alloy (after 16 hours in water) increased more rapidly with temperature than the film on zirconium. Tin is believed to act in ways to counteract the tendency of the oxide to form cracks, and to produce vacancies which promote ionic diffusion. (auth)« less

  7. Oxidation Characterization of Hafnium-Based Ceramics Fabricated by Hot Pressing and Electric Field-Assisted Sintering

    NASA Technical Reports Server (NTRS)

    Gasch, Matt; Johnson, Sylvia; Marschall, Jochen

    2010-01-01

    Ceramic borides, such as hafnium diboride (HfB2) and zirconium diboride (ZrB2), are members of a family of materials with extremely high melting temperatures referred to as Ultra High Temperature Ceramics (UHTCs). UHTCs constitute a class of promising materials for use in high temperature applications, such as sharp leading edges on future-generation hypersonic flight vehicles, because of their high melting points. The controlled development of microstructure has become important to the processing of UHTCs, with the prospect of improving their mechanical and thermal properties. The improved oxidation resistance of HfB2 has also become important if this material is to be successfully used at temperatures above 2000 C. Furthermore, the use of UHTCs on the leading edges of vehicles traveling at hypersonic speeds will mean exposure to a mixed oxidation environment comprised of both molecular and atomic oxygen. The current study has investigated the high-temperature oxidation behavior of HfB2-based materials in a pure O2 environment, as well as in environments containing different levels of dissociated oxygen (O/O2). Materials were processed by two techniques: conventional hot pressing (HP) and electric field-assisted sintering (FAS). Their oxidation behavior was evaluated in both a tube furnace at 1250 C for 3 hours and in a simulated re-entry environment in the Advanced Heating Facility (AHF) arcjet at NASA Ames Research Center, during a 10-minute exposure to a cold wall heat flux of 250W/sq cm and stagnation pressure of 0.1-0.2 atm. The microstructure of the different materials was characterized before and after oxidation using scanning electron microscopy (SEM).

  8. Silver-hafnium braze alloy

    DOEpatents

    Stephens, Jr., John J.; Hosking, F. Michael; Yost, Frederick G.

    2003-12-16

    A binary allow braze composition has been prepared and used in a bonded article of ceramic-ceramic and ceramic-metal materials. The braze composition comprises greater than approximately 95 wt % silver, greater than approximately 2 wt % hafnium and less than approximately 4.1 wt % hafnium, and less than approximately 0.2 wt % trace elements. The binary braze alloy is used to join a ceramic material to another ceramic material or a ceramic material, such as alumina, quartz, aluminum nitride, silicon nitride, silicon carbide, and mullite, to a metal material, such as iron-based metals, cobalt-based metals, nickel-based metals, molybdenum-based metals, tungsten-based metals, niobium-based metals, and tantalum-based metals. A hermetic bonded article is obtained with a strength greater than 10,000 psi.

  9. Hafnium-Based Contrast Agents for X-ray Computed Tomography.

    PubMed

    Berger, Markus; Bauser, Marcus; Frenzel, Thomas; Hilger, Christoph Stephan; Jost, Gregor; Lauria, Silvia; Morgenstern, Bernd; Neis, Christian; Pietsch, Hubertus; Sülzle, Detlev; Hegetschweiler, Kaspar

    2017-05-15

    Heavy-metal-based contrast agents (CAs) offer enhanced X-ray absorption for X-ray computed tomography (CT) compared to the currently used iodinated CAs. We report the discovery of new lanthanide and hafnium azainositol complexes and their optimization with respect to high water solubility and stability. Our efforts culminated in the synthesis of BAY-576, an uncharged hafnium complex with 3:2 stoichiometry and broken complex symmetry. The superior properties of this asymmetrically substituted hafnium CA were demonstrated by a CT angiography study in rabbits that revealed excellent signal contrast enhancement.

  10. Stabilized chromium oxide film

    DOEpatents

    Nyaiesh, A.R.; Garwin, E.L.

    1986-08-04

    Stabilized air-oxidized chromium films deposited on high-power klystron ceramic windows and sleeves having a thickness between 20 and 150A are useful in lowering secondary electron emission yield and in avoiding multipactoring and window failure due to overheating. The ceramic substrate for the film is chosen from alumina, sapphire or beryllium oxide.

  11. Stabilized chromium oxide film

    DOEpatents

    Garwin, Edward L.; Nyaiesh, Ali R.

    1988-01-01

    Stabilized air-oxidized chromium films deposited on high-power klystron ceramic windows and sleeves having a thickness between 20 and 150.ANG. are useful in lowering secondary electron emission yield and in avoiding multipactoring and window failure due to overheating. The ceramic substrate for the film is chosen from alumina, sapphire or beryllium oxide.

  12. 40 CFR 471.90 - Applicability; description of the zirconium-hafnium forming subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... zirconium-hafnium forming subcategory. 471.90 Section 471.90 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Zirconium-Hafnium Forming Subcategory § 471.90 Applicability; description of the zirconium-hafnium forming subcategory. This subpart applies to discharges of pollutants to waters of the...

  13. Electrical characterization of doped strontium titanate thin films for semiconductor memories

    NASA Astrophysics Data System (ADS)

    Han, Jeong Hee

    2002-08-01

    Doped strontium titanate (ST) thin films were investigated for high-density memory applications. ST has become a promising candidate to replace conventional silicon oxide due to its high inherent dielectric constant, superior leakage characteristics, and good chemical stability. However, oxygen vacancies and the problems that arise as a result are one of the main drawbacks against this material. Resistance degradation is a serious reliability issue in perovskite titanate films and may be a result of oxygen vacancies. In this dissertation, an attempt to reduce the resistance degradation was made by doping the ST films with both niobium and lanthanum. Niobium is a B-site donor in the perovskite, whereas lanthanum is an A-site donor. Both have an extra valence charge than the atom which it replaces in the crystal structure. With a higher valence charge, the number of oxygen vacancies is hoped to be reduced and result in better electrical performance. Experimental results showed that the degradation rate is reduced by doping with either niobium or lanthanum. A bi-layer study was also performed to optimize the dielectric with the strengths of both doped and undoped strontium titanate and to distinguish the source of the oxygen vacancies. A study on the conduction mechanisms and dielectric dispersion was also performed. An additional study was made on the effect of iridium as a possible gate electrode for a MOS capacitor. Hafnium oxide was used as the high-permittivity oxide. The results observed showed that the capacitance was higher for iridium electrodes than those for platinum electrodes. However, both electrodes showed unacceptable frequency dispersion which may be caused by crude patterning techniques. A hysteresis review was also done for hafnium and zirconium oxides. It was observed that the hysteresis measured in the high-permittivity oxides are dependent on the accumulation sweep voltage due to the trapping and de-trapping of charge at the dielectric

  14. Assessing the impact of atomic oxygen in the damage threshold and stress of Hafnia films grown by ion beam sputter deposition

    NASA Astrophysics Data System (ADS)

    Patel, D.; Wang, Y.; Larotonda, M.; Lovewell, J.; Jensen, J.; Hsiao, K. J.; Krous, E.; Rocca, J. J.; Menoni, C. S.; Tomasel, F.; Kholi, S.; McCurdy, P.

    2007-01-01

    Hafnium oxide (HfO II) is undoubtedly one of the most desirable high-index optical coatings for high power laser applications. One of the key goals in the fabrication of oxide films with high Laser Induced Damage Threshold (LIDT) is to minimize the number of film imperfections, in particular stoichiometric defects. For HfO II films deposited by ion beam (reactive) sputtering (IBS) of a hafnium metal target, stoichiometry is controlled by the injection of molecular oxygen, either close to the substrate or mixed with the sputtering gas or some other combination. Good stoichiometry is important to reduce the density of unoxidized particles buried in the coatings, which affect the LIDT. This work evaluates the potential advantages of using pre-activation of oxygen in the IBS of HfO II, with special emphasis on its impact on LIDT and film stress. For the experiments, oxygen was activated by an independent plasma source and then introduced into a commercial IBS chamber. The optical properties of the films were characterized using spectrophotometry and ellipsometry. Their structural quality and composition were determined from x-ray diffraction and x-ray photoelectron emission spectroscopy. The stress was determined from interferometer measurements. For optimized conditions, 2.5 J/cm2 LIDT was measured on HfO II films at λ=800 nm with 1 ps and 25 mJ pulses from a chirped amplification Ti:Sapphire laser. In the range of oxygen variations under consideration the effects on LIDT are shown to be minimal.

  15. Density of states-based design of metal oxide thin-film transistors for high mobility and superior photostability.

    PubMed

    Kim, Hyun-Suk; Park, Joon Seok; Jeong, Hyun-Kwang; Son, Kyoung Seok; Kim, Tae Sang; Seon, Jong-Baek; Lee, Eunha; Chung, Jae Gwan; Kim, Dae Hwan; Ryu, Myungkwan; Lee, Sang Yoon

    2012-10-24

    A novel method to design metal oxide thin-film transistor (TFT) devices with high performance and high photostability for next-generation flat-panel displays is reported. Here, we developed bilayer metal oxide TFTs, where the front channel consists of indium-zinc-oxide (IZO) and the back channel material on top of it is hafnium-indium-zinc-oxide (HIZO). Density-of-states (DOS)-based modeling and device simulation were performed in order to determine the optimum thickness ratio within the IZO/HIZO stack that results in the best balance between device performance and stability. As a result, respective values of 5 and 40 nm for the IZO and HIZO layers were determined. The TFT devices that were fabricated accordingly exhibited mobility values up to 48 cm(2)/(V s), which is much elevated compared to pure HIZO TFTs (∼13 cm(2)/(V s)) but comparable to pure IZO TFTs (∼59 cm(2)/(V s)). Also, the stability of the bilayer device (-1.18 V) was significantly enhanced compared to the pure IZO device (-9.08 V). Our methodology based on the subgap DOS model and simulation provides an effective way to enhance the device stability while retaining a relatively high mobility, which makes the corresponding devices suitable for ultradefinition, large-area, and high-frame-rate display applications.

  16. 40 CFR 421.330 - Applicability: Description of the primary zirconium and hafnium subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... primary zirconium and hafnium subcategory. 421.330 Section 421.330 Protection of Environment ENVIRONMENTAL... CATEGORY Primary Zirconium and Hafnium Subcategory § 421.330 Applicability: Description of the primary zirconium and hafnium subcategory. The provisions of this subpart are applicable to discharges resulting...

  17. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  18. Growth control of the oxidation state in vanadium oxide thin films

    DOE PAGES

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; ...

    2014-12-05

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research, but also technological applications that utilize the subtle change in the physical properties originating from the metalinsulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V₂⁺²O₃, V⁺⁴O₂, and V₂⁺⁵O₅. A well pronounced MIT was only observed in VO₂ films grown in a very narrow range of oxygen partial pressure P(O₂). The films grown either in lower (< 10 mTorr) or higher P(O₂) (> 25 mTorr) result in V₂O₃ and V₂O₅ phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO₂ thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an 3 improved MIT behavior.« less

  19. Growth control of the oxidation state in vanadium oxide thin films

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; Egami, Takeshi; Lee, Ho Nyung

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase pure epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V2 + 3 O 3 , V + 4 O 2 , and V2 + 5 O 5 . A well pronounced MIT was only observed in VO2 films grown in a very narrow range of oxygen partial pressure P(O2). The films grown either in lower (<10 mTorr) or higher P(O2) (>25 mTorr) result in V2O3 and V2O5 phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO2 thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.

  20. The influence of oxidation time on the properties of oxidized zinc films

    NASA Astrophysics Data System (ADS)

    Rambu, A. P.

    2012-09-01

    The effect of oxidation time on the structural characteristics and electronic transport mechanism of zinc oxide thin films prepared by thermal oxidation, have been investigated. Zinc metallic films were deposited by thermal evaporation under vacuum, the subsequent oxidation of Zn films being carried out in open atmosphere. XRD and AFM analysis indicate that obtained films posses a polycrystalline structure, the crystallites having a preferential orientation. Structural analysis reveals that microstructure of the films (crystallite size, surface roughness, internal stress) is depending on the oxidation time of metallic films. The electrical behavior of ZnO films was investigated, during a heat treatment (two heating/cooling cycles). It was observed that after the first heating, the temperature dependences of electrical conductivity become reversible. Mott variable range hopping model was proposed to analyze the temperature dependence of the electrical conductivity, in low temperature ranges. Values of some characteristic parameters were calculated.

  1. Metal–Organic Nanosheets Formed via Defect-Mediated Transformation of a Hafnium Metal–Organic Framework

    PubMed Central

    2017-01-01

    We report a hafnium-containing MOF, hcp UiO-67(Hf), which is a ligand-deficient layered analogue of the face-centered cubic fcu UiO-67(Hf). hcp UiO-67 accommodates its lower ligand:metal ratio compared to fcu UiO-67 through a new structural mechanism: the formation of a condensed “double cluster” (Hf12O8(OH)14), analogous to the condensation of coordination polyhedra in oxide frameworks. In oxide frameworks, variable stoichiometry can lead to more complex defect structures, e.g., crystallographic shear planes or modules with differing compositions, which can be the source of further chemical reactivity; likewise, the layered hcp UiO-67 can react further to reversibly form a two-dimensional metal–organic framework, hxl UiO-67. Both three-dimensional hcp UiO-67 and two-dimensional hxl UiO-67 can be delaminated to form metal–organic nanosheets. Delamination of hcp UiO-67 occurs through the cleavage of strong hafnium-carboxylate bonds and is effected under mild conditions, suggesting that defect-ordered MOFs could be a productive route to porous two-dimensional materials. PMID:28343394

  2. Metal-Organic Nanosheets Formed via Defect-Mediated Transformation of a Hafnium Metal-Organic Framework.

    PubMed

    Cliffe, Matthew J; Castillo-Martínez, Elizabeth; Wu, Yue; Lee, Jeongjae; Forse, Alexander C; Firth, Francesca C N; Moghadam, Peyman Z; Fairen-Jimenez, David; Gaultois, Michael W; Hill, Joshua A; Magdysyuk, Oxana V; Slater, Ben; Goodwin, Andrew L; Grey, Clare P

    2017-04-19

    We report a hafnium-containing MOF, hcp UiO-67(Hf), which is a ligand-deficient layered analogue of the face-centered cubic fcu UiO-67(Hf). hcp UiO-67 accommodates its lower ligand:metal ratio compared to fcu UiO-67 through a new structural mechanism: the formation of a condensed "double cluster" (Hf 12 O 8 (OH) 14 ), analogous to the condensation of coordination polyhedra in oxide frameworks. In oxide frameworks, variable stoichiometry can lead to more complex defect structures, e.g., crystallographic shear planes or modules with differing compositions, which can be the source of further chemical reactivity; likewise, the layered hcp UiO-67 can react further to reversibly form a two-dimensional metal-organic framework, hxl UiO-67. Both three-dimensional hcp UiO-67 and two-dimensional hxl UiO-67 can be delaminated to form metal-organic nanosheets. Delamination of hcp UiO-67 occurs through the cleavage of strong hafnium-carboxylate bonds and is effected under mild conditions, suggesting that defect-ordered MOFs could be a productive route to porous two-dimensional materials.

  3. Modeling Thin Film Oxide Growth

    NASA Astrophysics Data System (ADS)

    Sherman, Quentin

    Thin film oxidation is investigated using two modeling techniques in the interest of better understanding the roles of space charge and non-equilibrium effects. An electrochemical phase-field model of an oxide-metal interface is formulated in one dimension and studied at equilibrium and during growth. An analogous sharp interface model is developed to validate the phase-field model in the thick film limit. Electrochemical profiles across the oxide are shown to deviate from the sharp interface prediction when the oxide film is thin compared to the Debye length, however no effect on the oxidation kinetics is found. This is attributed to the simple thermodynamic and kinetic models used therein. The phase-field model provides a framework onto to which additional physics can be added to better model thin film oxidation. A model for solute trapping during the oxidation of binary alloys is developed to study non-equilibrium effects during the early stages of oxide growth. The model is applied to NiCr alloys, and steady-state interfacial composition maps are presented for the growth of an oxide with the rock salt structure. No detailed experimental data is available to verify the predictions of the solute trapping model, however it is shown to be consistent with the trends observed during the early stages of NiCr oxidation. Lastly, experimental studies of the wet infiltration technique for decorating solid oxide fuel cell anodes with nickel nanoparticles are presented. The effect of nickel nitrate calcination parameters on the resulting nickel oxide microstructures are studied on both porous and planar substrates. Decreasing the calcination temperature and dwell time, as well as a dehydration step after nickel nitrate infiltration, are all shown to decrease the initial nickel oxide particle size, but other factors such as geometry and nickel loading per unit area also affected the final nickel particle size and morphology upon reduction.

  4. Growth control of the oxidation state in vanadium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Shinbuhm; Meyer, Tricia L.; Lee, Ho Nyung, E-mail: hnlee@ornl.gov

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V{sub 2}{sup +3}O{sub 3}, V{sup +4}O{sub 2}, and V{sub 2}{sup +5}O{sub 5}. A well pronounced MIT was only observed in VO{sub 2} films grown in a very narrow range of oxygen partial pressure P(O{sub 2}). The films grown either in lower (<10 mTorr) or higher P(O{sub 2}) (>25 mTorr) result in V{sub 2}O{sub 3} and V{sub 2}O{sub 5} phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO{sub 2} thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.« less

  5. Structural, electronic and chemical properties of metal/oxide and oxide/oxide interfaces and thin film structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lad, Robert J.

    1999-12-14

    This project focused on three different aspects of oxide thin film systems: (1) Model metal/oxide and oxide/oxide interface studies were carried out by depositing ultra-thin metal (Al, K, Mg) and oxide (MgO, AlO{sub x}) films on TiO{sub 2}, NiO and {alpha}-Al{sub 2}O{sub 3} single crystal oxide substrates. (2) Electron cyclotron resonance (ECR) oxygen plasma deposition was used to fabricate AlO{sub 3} and ZrO{sub 2} films on sapphire substrates, and film growth mechanisms and structural characteristics were investigated. (3) The friction and wear characteristics of ZrO{sub 2} films on sapphire substrates in unlubricated sliding contact were studied and correlated with filmmore » microstructure. In these studies, thin film and interfacial regions were characterized using diffraction (RHEED, LEED, XRD), electron spectroscopies (XPS, UPS, AES), microscopy (AFM) and tribology instruments (pin-on-disk, friction microprobe, and scratch tester). By precise control of thin film microstructure, an increased understanding of the structural and chemical stability of interface regions and tribological performance of ultra-thin oxide films was achieved in these important ceramic systems.« less

  6. Metal oxide films on metal

    DOEpatents

    Wu, Xin D.; Tiwari, Prabhat

    1995-01-01

    A structure including a thin film of a conductive alkaline earth metal oxide selected from the group consisting of strontium ruthenium trioxide, calcium ruthenium trioxide, barium ruthenium trioxide, lanthanum-strontium cobalt oxide or mixed alkaline earth ruthenium trioxides thereof upon a thin film of a noble metal such as platinum is provided.

  7. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    DTIC Science & Technology

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  8. Atomic-scale visualization of oxide thin-film surfaces.

    PubMed

    Iwaya, Katsuya; Ohsawa, Takeo; Shimizu, Ryota; Okada, Yoshinori; Hitosugi, Taro

    2018-01-01

    The interfaces of complex oxide heterostructures exhibit intriguing phenomena not observed in their constituent materials. The oxide thin-film growth of such heterostructures has been successfully controlled with unit-cell precision; however, atomic-scale understandings of oxide thin-film surfaces and interfaces have remained insufficient. We examined, with atomic precision, the surface and electronic structures of oxide thin films and their growth processes using low-temperature scanning tunneling microscopy. Our results reveal that oxide thin-film surface structures are complicated in contrast to the general perception and that atomically ordered surfaces can be achieved with careful attention to the surface preparation. Such atomically ordered oxide thin-film surfaces offer great opportunities not only for investigating the microscopic origins of interfacial phenomena but also for exploring new surface phenomena and for studying the electronic states of complex oxides that are inaccessible using bulk samples.

  9. Intense photoluminescence from amorphous tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Zhu, Minmin; Zhang, Zhengjun; Miao, Wei

    2006-07-01

    Tantalum oxide films were deposited on silicon substrates at a temperature of ˜450°C by heating a pure tantalum foil in a rough vacuum. The films were amorphous in structure and consisted of fully oxidized Ta2O5 and (TaOx, x <2.5) suboxides. This feature resulted in strong visible light emission from the films further oxidized in the air at temperatures of 200-300°C. The mechanism for this photoluminescence behavior of the amorphous tantalum oxide films was also investigated and discussed. This study suggests that wide-band-gap materials could act as effective visible light emitters and provides a simple route to synthesize such materials.

  10. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodenbücher, C.; Hildebrandt, E.; Sharath, S. U.

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films providesmore » a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.« less

  11. A simple spectrophotometric method for determination of zirconium or hafnium in selected molybdenum-base alloys

    NASA Technical Reports Server (NTRS)

    Dupraw, W. A.

    1972-01-01

    A simple analytical procedure is described for accurately and precisely determining the zirconium or hafnium content of molybdenum-base alloys. The procedure is based on the reaction of the reagent Arsenazo III with zirconium or hafnium in strong hydrochloric acid solution. The colored complexes of zirconium or hafnium are formed in the presence of molybdenum. Titanium or rhenium in the alloy have no adverse effect on the zirconium or hafnium complex at the following levels in the selected aliquot: Mo, 10 mg; Re, 10 mg; Ti, 1 mg. The spectrophotometric measurement of the zirconium or hafnium complex is accomplished without prior separation with a relative standard deviation of 1.3 to 2.7 percent.

  12. Chitosan/graphene oxide biocomposite film from pencil rod

    NASA Astrophysics Data System (ADS)

    Gea, S.; Sari, J. N.; Bulan, R.; Piliang, A.; Amaturrahim, S. A.; Hutapea, Y. A.

    2018-03-01

    Graphene Oxide (GO) has been succesfully synthesized using Hummber method from graphite powder of pencil rod. The excellent solubility of graphene oxide (GO)in water imparts its feasibilty as new filler for reinforcement hydrophilic biopolymers. In this research, the biocomposite film was fabricated from chitosan/graphene oxide. The characteristics of graphene oxide were investigated using Fourier Transform Infrared (FT-IR) and X-ray Diffraction (XRD). The results of the XRD showed graphene structur in 2θ, appeared at 9.0715°with interlayer spacing was about 9.74063Å. Preparation films with several variations of chitosan/graphene oxide was done by casting method and characterized by mechanical and morphological analysis. The mechanical properties of the tensile test in the film show that the film CS/GO (85: 15)% has the optimum Young’s modulus size of 2.9 GPa compared to other variations of CS / GO film. Morphological analysis film CS/GO (85:15)% by Scanning Electron Microscopy (SEM), the obtained biocomposites film showed fine dispersion of GO in the CS matrix and could mix each other homogeneously.

  13. Pulse I-V characterization of a nano-crystalline oxide device with sub-gap density of states

    NASA Astrophysics Data System (ADS)

    Kim, Taeho; Hur, Ji-Hyun; Jeon, Sanghun

    2016-05-01

    Understanding the charge trapping nature of nano-crystalline oxide semiconductor thin film transistors (TFTs) is one of the most important requirements for their successful application. In our investigation, we employed a fast-pulsed I-V technique for understanding the charge trapping phenomenon and for characterizing the intrinsic device performance of an amorphous/nano-crystalline indium-hafnium-zinc-oxide semiconductor TFT with varying density of states in the bulk. Because of the negligible transient charging effect with a very short pulse, the source-to-drain current obtained with the fast-pulsed I-V measurement was higher than that measured by the direct-current characterization method. This is because the fast-pulsed I-V technique provides a charge-trap free environment, suggesting that it is a representative device characterization methodology of TFTs. In addition, a pulsed source-to-drain current versus time plot was used to quantify the dynamic trapping behavior. We found that the charge trapping phenomenon in amorphous/nano-crystalline indium-hafnium-zinc-oxide TFTs is attributable to the charging/discharging of sub-gap density of states in the bulk and is dictated by multiple trap-to-trap processes.

  14. Pulse I-V characterization of a nano-crystalline oxide device with sub-gap density of states.

    PubMed

    Kim, Taeho; Hur, Ji-Hyun; Jeon, Sanghun

    2016-05-27

    Understanding the charge trapping nature of nano-crystalline oxide semiconductor thin film transistors (TFTs) is one of the most important requirements for their successful application. In our investigation, we employed a fast-pulsed I-V technique for understanding the charge trapping phenomenon and for characterizing the intrinsic device performance of an amorphous/nano-crystalline indium-hafnium-zinc-oxide semiconductor TFT with varying density of states in the bulk. Because of the negligible transient charging effect with a very short pulse, the source-to-drain current obtained with the fast-pulsed I-V measurement was higher than that measured by the direct-current characterization method. This is because the fast-pulsed I-V technique provides a charge-trap free environment, suggesting that it is a representative device characterization methodology of TFTs. In addition, a pulsed source-to-drain current versus time plot was used to quantify the dynamic trapping behavior. We found that the charge trapping phenomenon in amorphous/nano-crystalline indium-hafnium-zinc-oxide TFTs is attributable to the charging/discharging of sub-gap density of states in the bulk and is dictated by multiple trap-to-trap processes.

  15. Hafnium(IV) chloride complexes with chelating β-ketiminate ligands: Synthesis, spectroscopic characterization and volatility study

    NASA Astrophysics Data System (ADS)

    Patil, Siddappa A.; Medina, Phillip A.; Antic, Aleks; Ziller, Joseph W.; Vohs, Jason K.; Fahlman, Bradley D.

    2015-09-01

    The synthesis and characterization of four new β-ketiminate hafnium(IV) chloride complexes dichloro-bis[4-(phenylamido)pent-3-en-2-one]-hafnium (4a), dichloro-bis[4-(4-methylphenylamido)pent-3-en-2-one]-hafnium (4b), dichloro-bis[4-(4-methoxyphenylamido)pent-3-en-2-one]-hafnium (4c), and dichloro-bis[4-(4-chlorophenylamido)pent-3-en-2-one]-hafnium (4d) are reported. All the complexes (4a-d) were characterized by spectroscopic methods (1H NMR, 13C NMR, IR), and elemental analysis while the compound 4c was further examined by single-crystal X-ray diffraction, revealing that the complex is monomer with the hafnium center in octahedral coordination environment and oxygens of the chelating N-O ligands are trans to each other and the chloride ligands are in a cis arrangement. Volatile trends are established for four new β-ketiminate hafnium(IV) chloride complexes (4a-d). Sublimation enthalpies (ΔHsub) were calculated from thermogravimetric analysis (TGA) data, which show that, the dependence of ΔHsub on the molecular weight (4a-c) and inductive effects from chlorine (4d).

  16. Processing and characterization of boron carbide-hafnium diboride ceramics

    NASA Astrophysics Data System (ADS)

    Brown-Shaklee, Harlan James

    Hafnium diboride based ceramics are promising candidate materials for advanced aerospace and nuclear reactor components. The effectiveness of boron carbide and carbon as HfB2 sintering additives was systematically evaluated. In the first stage of the research, boron carbide and carbon additives were found to improve the densification behavior of milled HfB2 powder in part by removing oxides at the HfB2 surface during processing. Boron carbide additives reduced the hot pressing temperature of HfB2 by 150°C compared to carbon, which reduced the hot pressing temperature by ˜50°C. Reduction of oxide impurities alone could not explain the difference in sintering enhancement, however, and other mechanisms of enhancement were evaluated. Boron carbides throughout the homogeneity range were characterized to understand other mechanisms of sintering enhancement in HfB2. Heavily faulted carbon rich and boron rich boron carbides were synthesized for addition to HfB2. The greatest enhancement to densification was observed in samples containing boron- and carbon-rich compositions whereas B6.5 C provided the least enhancement to densification. It is proposed that carbon rich and boron rich boron carbides create boron and hafnium point defects in HfB2, respectively, which facilitate densification. Evaluation of the thermal conductivity (kth) between room temperature and 2000°C suggested that the stoichiometry of the boron carbide additives did not significantly affect kth of HfB2-BxC composites. The improved sinterability and the high kth (˜110 W/m-K at 300K and ˜90 W/m-K at 1000°C ) of HfB2-BxC ceramics make them excellent candidates for isotopically enriched reactor control materials.

  17. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  18. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  19. Development of porous metal oxide thin films by co-evaporation

    NASA Astrophysics Data System (ADS)

    Tesfamichael, T.; Motta, Nunzio; Bostrom, Thor; Bell, J. M.

    2007-03-01

    This paper focuses on the development of mixed metal oxide thin films and physical characterization of the films. The films were produced by co-evaporation of titanium oxide and tungsten oxide powders. This allowed the development of titanium oxide-tungsten oxide films as analyzed using XPS. Examination in the SEM and AFM showed that the films were nanoporous with the pore size and pore orientation varying as a function of the deposition angle. UV-vis spectra of the films show an increase of transmittance with increasing deposition angle which is attributed to the structure and porosity of the films. Raman analysis indicated that the as-deposited films have broad and weak Raman characteristics, attributed to the nanocrystal nature of the films and the presence of defects, and the peak broadening deceases after annealing the film, as expected.

  20. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  1. Effect of growth rate on crystallization of HfO{sub 2} thin films deposited by RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhanunjaya, M.; Manikanthababu, N.; Pathak, A. P.

    2016-05-23

    Hafnium oxide (HfO{sub 2}) is the potentially useful dielectric material in both; electronics to replace the conventional SiO{sub 2} as gate dielectric and in Optics as anti-reflection coating material. In this present work we have synthesized polycrystalline HfO{sub 2} thin films by RF magnetron sputtering deposition technique with varying target to substrate distance. The deposited films were characterized by X-ray Diffraction, Rutherford Backscattering Spectrometry (RBS) and transmission and Reflection (T&R) measurements to study the growth behavior, microstructure and optical properties. XRD measurement shows that the samples having mixed phase of monoclinic, cubic and tetragonal crystal structure. RBS measurements suggest themore » formation of Inter Layer (IL) in between Substrate and film.« less

  2. Oxide-based thin film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    He, Yongli; Wang, Xiangyu; Gao, Ya; Hou, Yahui; Wan, Qing

    2018-01-01

    The continuous progress in thin film materials and devices has greatly promoted the development in the field of flexible electronics. As one of the most common thin film devices, thin film transistors (TFTs) are significant building blocks for flexible platforms. Flexible oxide-based TFTs are well compatible with flexible electronic systems due to low process temperature, high carrier mobility, and good uniformity. The present article is a review of the recent progress and major trends in the field of flexible oxide-based thin film transistors. First, an introduction of flexible electronics and flexible oxide-based thin film transistors is given. Next, we introduce oxide semiconductor materials and various flexible oxide-based TFTs classified by substrate materials including polymer plastics, paper sheets, metal foils, and flexible thin glass. Afterwards, applications of flexible oxide-based TFTs including bendable sensors, memories, circuits, and displays are presented. Finally, we give conclusions and a prospect for possible development trends. Project supported in part by the National Science Foundation for Distinguished Young Scholars of China (No. 61425020), in part by the National Natural Science Foundation of China (No. 11674162).

  3. Ferromagnetic phase in partially oxidized FeMn films

    NASA Astrophysics Data System (ADS)

    Svalov, A. V.; Savin, P. A.; Lepalovskij, V. N.; Vas'kovskiy, V. O.; Larrañaga, A.; Kurlyandskaya, G. V.

    2018-04-01

    The structure, magnetic and magnetoresistive properties of ferromagnetic phase in partially oxidized FeMn films was studied. The oxidation was performed by annealing of the samples under atmospheric pressure in a gas mixture (nitrogen with 0.5% oxygen) at the temperature of 300 °C. The resulting ferromagnetic phase was isotropic in the film plane. The value of the anisotropic magnetoresistance was similar to the value of the anisotropic magnetoresistance usually observed in films of pure iron. The oxidation of antiferromagnetic FeMn films resulted in the appearance of an exchange bias.

  4. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  5. Simultaneous determination of tantalum and hafnium in silicates by neutron activation analysis

    USGS Publications Warehouse

    Greenland, L.P.

    1968-01-01

    A neutron activation procedure suitable for the routine determination of tantalum and hafnium in silicates is described. The irradiated sample is fused with sodium peroxide and leached, and the insoluble hydroxides are dissolved in dilute hydrofluoric acid-hydrochloric acid. After LaF3 and AgCl scavenges, tantalum and hafnium are separated by anion exchange. Tantalum is obtained radiochemically pure; 233Pa and 95Zr contaminants in the hafnium fraction are resolved by ??-ray spectrometry. The chemical yield of the procedure is detemined after counting by re-irradiation. Values for the 8 U.S. Geological Survey standard rocks are reported. ?? 1968.

  6. Interactions of hydrogen with amorphous hafnium oxide

    NASA Astrophysics Data System (ADS)

    Kaviani, Moloud; Afanas'ev, Valeri V.; Shluger, Alexander L.

    2017-02-01

    We used density functional theory (DFT) calculations to study the interaction of hydrogen with amorphous hafnia (a -HfO2 ) using a hybrid exchange-correlation functional. Injection of atomic hydrogen, its diffusion towards electrodes, and ionization can be seen as key processes underlying charge instability of high-permittivity amorphous hafnia layers in many applications. Hydrogen in many wide band gap crystalline oxides exhibits negative-U behavior (+1 and -1 charged states are thermodynamically more stable than the neutral state) . Our results show that in a -HfO2 hydrogen is also negative-U, with charged states being the most thermodynamically stable at all Fermi level positions. However, metastable atomic hydrogen can share an electron with intrinsic electron trapping precursor sites [Phys. Rev. B 94, 020103 (2016)., 10.1103/PhysRevB.94.020103] forming a [etr -+O -H ] center, which is lower in energy on average by about 0.2 eV. These electron trapping sites can affect both the dynamics and thermodynamics of the interaction of hydrogen with a -HfO2 and the electrical behavior of amorphous hafnia films in CMOS devices.

  7. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-06-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ~ 4.1 Å), and low electrical resistivity (4.2 × 10-4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained "on/off" current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 × 107, 0.43 V/decade, 0.7 V, and 2.1 cm2/V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs.

  8. Restorative effect of oxygen annealing on device performance in HfIZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Ha, Tae-Jun

    2015-03-01

    Metal-oxide based thin-film transistors (oxide-TFTs) are very promising for use in next generation electronics such as transparent displays requiring high switching and driving performance. In this study, we demonstrate an optimized process to secure excellent device performance with a favorable shift of the threshold voltage toward 0V in amorphous hafnium-indium-zinc-oxide (a-HfIZO) TFTs by using post-treatment with oxygen annealing. This enhancement results from the improved interfacial characteristics between gate dielectric and semiconductor layers due to the reduction in the density of interfacial states related to oxygen vacancies afforded by oxygen annealing. The device statistics confirm the improvement in the device-to-device and run-to-run uniformity. We also report on the photo-induced stability in such oxide-TFTs against long-term UV irradiation, which is significant for transparent displays.

  9. Unidirectional oxide hetero-interface thin-film diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing amore » high feasibility for practical applications.« less

  10. The Hot-Pressing of Hafnium Carbide (Melting Point, 7030 F)

    NASA Technical Reports Server (NTRS)

    Sanders, William A.; Grisaffe, Salvatore J.

    1960-01-01

    An investigation was undertaken to determine the effects of the hot-pressing variables (temperature, pressure, and time) on the density and grain size of hafnium carbide disks. The purpose was to provide information necessary for the production of high-density test shapes for the determination of physical and mechanical properties. Hot-pressing of -325 mesh hafnium carbide powder was accomplished with a hydraulic press and an inductively heated graphite die assembly. The ranges investigated for each variable were as follows: temperature, 3500 to 4870 F; pressure, 1000 to 6030 pounds per square inch; and time, 5 to 60 minutes. Hafnium carbide bodies of approximately 98 percent theoretical density can be produced under the following minimal conditions: 4230 F, 3500 pounds per square inch, and 15 minutes. Further increases in temperature and time resulted only in greater grain size.

  11. Thin film hydrous metal oxide catalysts

    DOEpatents

    Dosch, Robert G.; Stephens, Howard P.

    1995-01-01

    Thin film (<100 nm) hydrous metal oxide catalysts are prepared by 1) synthesis of a hydrous metal oxide, 2) deposition of the hydrous metal oxide upon an inert support surface, 3) ion exchange with catalytically active metals, and 4) activating the hydrous metal oxide catalysts.

  12. Metal-organic chemical vapor deposition of cerium oxide, gallium-indium-oxide, and magnesium oxide thin films: Precursor design, film growth, and film characterization

    NASA Astrophysics Data System (ADS)

    Edleman, Nikki Lynn

    A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism

  13. Zirconium and hafnium in the southeastern Atlantic States

    USGS Publications Warehouse

    Mertie, J.B.

    1958-01-01

    The principal source of zirconium and hafnium is zircon, though a minor source is baddeleyite, mined only in Brazil. Zircon is an accessory mineral in igneous, metamorphic, and sedimentary rocks, but rarely occurs in hardrock in minable quantities. The principal sources of zircon are therefore alluvial deposits, which are mined in many countries of five continents. The principal commercial deposits in the United States are in Florida, though others exist elsewhere in the southeastern Coastal Plain. The evidence indicates that conditions for the accumulation of workable deposits of heavy minerals were more favorable during the interglacial stages of the Pleistocene epoch than during Recent time. Therefore detrital ores of large volume and high tenor are more likely to be found in the terrace deposits than along the present beaches. Other concentrations of heavy minerals, however, are possible at favored sites close to the Fall Line where the Tuscaloosa formation rests upon the crystalline rocks of the Piedmont province. A score of heavy and semiheavy minerals occur in the detrital deposits of Florida, but the principal salable minerals are ilmenite, leucoxene, rutile, and zircon, though monazite and staurolite are saved at some mining plants. Commercial deposits of heavy minerals are generally required to have a tenor of 4 percent, though ores with a lower tenor can be mined at a profit if the content of monazite is notably high. The percentages of zircon in the concentrates ranges from 10 to 16 percent, and in eastern Florida from 13 to 15 percent. Thus the tenor in zircon of the ore-bearing sands ranges from 0.4 to 0.6 percent. The content of hafnium in zircon is immaterial for many uses, but for some purposes very high or very low tenors in hafnium are required. Alluvial zircon cannot be separated into such varieties, which, if needed, must be obtained from sources in bedrock. It thus becomes necessary to determine the Hf : Zr ratios in zircon from many kinds of

  14. Solution-Processed Gallium–Tin-Based Oxide Semiconductors for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Jungwon; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the effects of gallium (Ga) and tin (Sn) compositions on the structural and chemical properties of Ga–Sn-mixed (Ga:Sn) oxide films and the electrical properties of Ga:Sn oxide thin-film transistors (TFTs). The thermogravimetric analysis results indicate that solution-processed oxide films can be produced via thermal annealing at 500 °C. The oxygen deficiency ratio in the Ga:Sn oxide film increased from 0.18 (Ga oxide) and 0.30 (Sn oxide) to 0.36, while the X-ray diffraction peaks corresponding to Sn oxide significantly reduced. The Ga:Sn oxide film exhibited smaller grains compared to the nanocrystalline Sn oxide film, while the Ga oxide film exhibited an amorphous morphology. We found that the electrical properties of TFTs significantly improve by mixing Ga and Sn. Here, the optimum weight ratio of the constituents in the mixture of Ga and Sn precursor sols was determined to be 1.0:0.9 (Ga precursor sol:Sn precursor sol) for application in the solution-processed Ga:Sn oxide TFTs. In addition, when the Ga(1.0):Sn(0.9) oxide film was thermally annealed at 900 °C, the field-effect mobility of the TFT was notably enhanced from 0.02 to 1.03 cm2/Vs. Therefore, the mixing concentration ratio and annealing temperature are crucial for the chemical and morphological properties of solution-processed Ga:Sn oxide films and for the TFT performance. PMID:29283408

  15. Biopolymer-modified graphite oxide nanocomposite films based on benzalkonium chloride-heparin intercalated in graphite oxide

    NASA Astrophysics Data System (ADS)

    Meng, Na; Zhang, Shuang-Quan; Zhou, Ning-Lin; Shen, Jian

    2010-05-01

    Heparin is a potent anticoagulant agent that interacts strongly with antithrombin III to prevent the formation of fibrin clots. In the present work, poly(dimethylsiloxane)(PDMS)/graphite oxide-benzalkonium chloride-heparin (PDMS/modified graphite oxide) nanocomposite films were obtained by the solution intercalation technique as a possible drug delivery system. The heparin-benzalkonium chloride (BAC-HEP) was intercalated into graphite oxide (GO) layers to form GO-BAC-HEP (modified graphite oxide). Nanocomposite films were characterized by XRD, SEM, TEM, ATR-FTIR and TGA. The modified graphite oxide was observed to be homogeneously dispersed throughout the PDMS matrix. The effect of modified graphite oxide on the mechanical properties of the nanocomposite film was investigated. When the modified graphite oxide content was lower than 0.2 wt%, the nanocomposites showed excellent mechanical properties. Furthermore, nanocomposite films become delivery systems that release heparin slowly to make the nanocomposite films blood compatible. The in vitro studies included hemocompatibility testing for effects on platelet adhesion, platelet activation, plasma recalcification profiles, and hemolysis. Results from these studies showed that the anticoagulation properties of PDMS/GO-BCA-HEP nanocomposite films were greatly superior to those for no treated PDMS. Cell culture assay indicated that PDMS/GO-BCA-HEP nanocomposite films showed enhanced cell adhesion.

  16. Oxidizing annealing effects on VO2 films with different microstructures

    NASA Astrophysics Data System (ADS)

    Dou, Yan-Kun; Li, Jing-Bo; Cao, Mao-Sheng; Su, De-Zhi; Rehman, Fida; Zhang, Jia-Song; Jin, Hai-Bo

    2015-08-01

    Vanadium dioxide (VO2) films have been prepared by direct-current magnetron sputter deposition on m-, a-, and r-plane sapphire substrates. The obtained VO2 films display different microstructures depending on the orientation of sapphire substrates, i.e. mixed microstructure of striped grains and equiaxed grains on m-sapphire, big equiaxed grains on a-sapphire and fine-grained microstructure on r-sapphire. The VO2 films were treated by the processes of oxidation in air. The electric resistance and infrared transmittance of the oxidized films were characterized to examine performance characteristics of VO2 films with different microstructures in oxidation environment. The oxidized VO2 films on m-sapphire exhibit better electrical performance than the other two films. After air oxidization for 600 s at 450 °C, the VO2 films on m-sapphire show a resistance change of 4 orders of magnitude over the semiconductor-to-metal transition. The oxidized VO2 films on a-sapphire have the highest optical modulation efficiency in infrared region compared to other samples. The different performance characteristics of VO2 films are understood in terms of microstructures, i.e. grain size, grain shape, and oxygen vacancies. The findings reveal the correlation of microstructures and performances of VO2 films, and provide useful knowledge for the design of VO2 materials to different applications.

  17. Memristive Properties of Thin Film Cuprous Oxide

    DTIC Science & Technology

    2011-03-01

    Equation Chapter 1 Section 1 MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Brett C...Force Base, Ohio APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED The views expressed in this thesis are those of the...MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Presented to the Faculty Department of Engineering Physics Graduate School of

  18. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, K.C.; Kodas, T.T.

    1994-01-11

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said substrate.

  19. Synthesis, characterization and oxidation of metallic cobalt (Co) thin film into semiconducting cobalt oxide (Co3O4)thin film using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Rahman Ansari, Akhalakur; Hussain, Shahir; Imran, Mohd; Abdel-wahab, M. Sh; Alshahrie, Ahmed

    2018-06-01

    The pure cobalt thin film was deposited on the glass substrate by using DC magnetron sputtering and then exposed to microwave assist oxygen plasma generated in microwave plasma CVD. The oxidation process of Co thin film into Co3O4 thin films with different microwave power and temperature were studied. The influences of microwave power, temperature and irradiation time were investigated on the morphology and particle size of oxide thin films. The crystal structure, chemical conformation, morphologies and optical properties of oxidized Co thin films (Co3O4) were studied by using x-ray diffraction (XRD), Field emission scanning electron microscopy (FESEM), Raman Spectroscopy and UV–vis Spectroscopy. The data of these films showed complete oxidation pure metallic cobalt (Co) into cobalt oxide (Co3O4). The optical properties were studied for calculating the direct band gaps which ranges from 1.35 to 1.8 eV.

  20. Films based on oxidized starch and cellulose from barley.

    PubMed

    El Halal, Shanise Lisie Mello; Colussi, Rosana; Deon, Vinícius Gonçalves; Pinto, Vânia Zanella; Villanova, Franciene Almeida; Carreño, Neftali Lenin Villarreal; Dias, Alvaro Renato Guerra; Zavareze, Elessandra da Rosa

    2015-11-20

    Starch and cellulose fibers were isolated from grains and the husk from barley, respectively. Biodegradable films of native starch or oxidized starches and glycerol with different concentrations of cellulose fibers (0%, 10% and 20%) were prepared. The films were characterized by morphological, mechanical, barrier, and thermal properties. Cellulose fibers isolated from the barley husk were obtained with 75% purity and high crystallinity. The morphology of the films of the oxidized starches, regardless of the fiber addition, was more homogeneous as compared to the film of the native starch. The addition of cellulose fibers in the films increased the tensile strength and decreased elongation. The water vapor permeability of the film of oxidized starch with 20% of cellulose fibers was lower than the without fibers. However the films with cellulose fibers had the highest decomposition with the initial temperature and thermal stability. The oxidized starch and cellulose fibers from barley have a good potential for use in packaging. The addition of cellulose fibers in starch films can contribute to the development of films more resistant that can be applied in food systems to maintain its integrity. Copyright © 2015 Elsevier Ltd. All rights reserved.

  1. Amorphous tin-cadmium oxide films and the production thereof

    DOEpatents

    Li, Xiaonan; Gessert, Timothy A

    2013-10-29

    A tin-cadmium oxide film having an amorphous structure and a ratio of tin atoms to cadmium atoms of between 1:1 and 3:1. The tin-cadmium oxide film may have an optical band gap of between 2.7 eV and 3.35 eV. The film may also have a charge carrier concentration of between 1.times.10.sup.20 cm.sup.-3 and 2.times.10.sup.20 cm.sup.-3. The tin cadmium oxide film may also exhibit a Hall mobility of between 40 cm.sup.2V.sup.-1 s.sup.-1 and 60 cm.sup.2V.sup.-1 s.sup.-1. Also disclosed is a method of producing an amorphous tin-cadmium oxide film as described and devices using same.

  2. Patterning of Indium Tin Oxide Films

    NASA Technical Reports Server (NTRS)

    Immer, Christopher

    2008-01-01

    A relatively rapid, economical process has been devised for patterning a thin film of indium tin oxide (ITO) that has been deposited on a polyester film. ITO is a transparent, electrically conductive substance made from a mixture of indium oxide and tin oxide that is commonly used in touch panels, liquid-crystal and plasma display devices, gas sensors, and solar photovoltaic panels. In a typical application, the ITO film must be patterned to form electrodes, current collectors, and the like. Heretofore it has been common practice to pattern an ITO film by means of either a laser ablation process or a photolithography/etching process. The laser ablation process includes the use of expensive equipment to precisely position and focus a laser. The photolithography/etching process is time-consuming. The present process is a variant of the direct toner process an inexpensive but often highly effective process for patterning conductors for printed circuits. Relative to a conventional photolithography/ etching process, this process is simpler, takes less time, and is less expensive. This process involves equipment that costs less than $500 (at 2005 prices) and enables patterning of an ITO film in a process time of less than about a half hour.

  3. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  4. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  5. Electro-deposition of superconductor oxide films

    DOEpatents

    Bhattacharya, Raghu N.

    2001-01-01

    Methods for preparing high quality superconducting oxide precursors which are well suited for further oxidation and annealing to form superconducting oxide films. The method comprises forming a multilayered superconducting precursor on a substrate by providing an electrodeposition bath comprising an electrolyte medium and a substrate electrode, and providing to the bath a plurality of precursor metal salts which are capable of exhibiting superconducting properties upon subsequent treatment. The superconducting precursor is then formed by electrodepositing a first electrodeposited (ED) layer onto the substrate electrode, followed by depositing a layer of silver onto the first electrodeposited (ED) layer, and then electrodepositing a second electrodeposited (ED) layer onto the Ag layer. The multilayered superconducting precursor is suitable for oxidation at a sufficient annealing temperature in air or an oxygen-containing atmosphere to form a crystalline superconducting oxide film.

  6. Electric field cycling behavior of ferroelectric hafnium oxide.

    PubMed

    Schenk, Tony; Schroeder, Uwe; Pešić, Milan; Popovici, Mihaela; Pershin, Yuriy V; Mikolajick, Thomas

    2014-11-26

    HfO2 based ferroelectrics are lead-free, simple binary oxides with nonperovskite structure and low permittivity. They just recently started attracting attention of theoretical groups in the fields of ferroelectric memories and electrostatic supercapacitors. A modified approach of harmonic analysis is introduced for temperature-dependent studies of the field cycling behavior and the underlying defect mechanisms. Activation energies for wake-up and fatigue are extracted. Notably, all values are about 100 meV, which is 1 order of magnitude lower than for conventional ferroelectrics like lead zirconate titanate (PZT). This difference is mainly atttributed to the one to two orders of magnitude higher electric fields used for cycling and to the different surface to volume ratios between the 10 nm thin films in this study and the bulk samples of former measurements or simulations. Moreover, a new, analog-like split-up effect of switching peaks by field cycling is discovered and is explained by a network model based on memcapacitive behavior as a result of defect redistribution.

  7. Pulsed photonic fabrication of nanostructured metal oxide thin films

    NASA Astrophysics Data System (ADS)

    Bourgeois, Briley B.; Luo, Sijun; Riggs, Brian C.; Adireddy, Shiva; Chrisey, Douglas B.

    2017-09-01

    Nanostructured metal oxide thin films with a large specific surface area are preferable for practical device applications in energy conversion and storage. Herein, we report instantaneous (milliseconds) photonic synthesis of three-dimensional (3-D) nanostructured metal oxide thin films through the pulsed photoinitiated pyrolysis of organometallic precursor films made by chemical solution deposition. High wall-plug efficiency-pulsed photonic irradiation (xenon flash lamp, pulse width of 1.93 ms, fluence of 7.7 J/cm2 and frequency of 1.2 Hz) is used for scalable photonic processing. The photothermal effect of subsequent pulses rapidly improves the crystalline quality of nanocrystalline metal oxide thin films in minutes. The following paper highlights pulsed photonic fabrication of 3-D nanostructured TiO2, Co3O4, and Fe2O3 thin films, exemplifying a promising new method for the low-cost and high-throughput manufacturing of nanostructured metal oxide thin films for energy applications.

  8. Separation of Zirconium and Hafnium: A Review

    NASA Astrophysics Data System (ADS)

    Xu, L.; Xiao, Y.; van Sandwijk, A.; Xu, Q.; Yang, Y.

    Zirconium is an ideal material for nuclear reactors due to its low absorption cross-section for thermal neutrons, whereas the typically contained hafnium with strong neutron-absorption is very harmful for zirconium. This paper provides an overview of the processes for separating hafnium from zirconium. The separation processes are roughly classified into hydro- and pyrometallurgical routes. The current dominant zirconium production route involves pyrometallurgical ore cracking, multi-step hydrometallurgical liquid-liquid extraction for hafnium removal and the reduction of zirconium tetrachloride to the pure metal by the Kroll process. The lengthy hydrometallurgical Zr-Hf separation operations leads to high production cost, intensive labour and heavy environmental burden. Using a compact pyrometallurgical separation method can simplify the whole production flowsheet with a higher process efficiency. The known separation methods are discussed based on the following reaction features: redox characteristics, volatility, electrochemical properties and molten salt extraction. The commercially operating extractive distillation process is a significant advance in Zr-Hf separation technology but it suffers from high process maintenance cost. The recently developed new process based on molten salt-metal equilibrium for Zr-Hf separation shows a great potential for industrial application, which is compact for nuclear grade zirconium production starting from crude ore. In the present paper, the available separation technologies are compared. The advantages and disadvantages as well as future directions of research and development for nuclear grade zirconium production are discussed.

  9. Method of producing solution-derived metal oxide thin films

    DOEpatents

    Boyle, Timothy J.; Ingersoll, David

    2000-01-01

    A method of preparing metal oxide thin films by a solution method. A .beta.-metal .beta.-diketonate or carboxylate compound, where the metal is selected from groups 8, 9, 10, 11, and 12 of the Periodic Table, is solubilized in a strong Lewis base to form a homogeneous solution. This precursor solution forms within minutes and can be deposited on a substrate in a single layer or a multiple layers to form a metal oxide thin film. The substrate with the deposited thin film is heated to change the film from an amorphous phase to a ceramic metal oxide and cooled.

  10. High P-T phase transitions and P-V-T equation of state of hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hrubiak, Rostislav; Drozd, Vadym; Karbasi, Ali

    2016-07-29

    We measured the volume of hafnium at several pressures up to 67 GPa and at temperatures between 300 to 780 K using a resistively heated diamond anvil cell with synchrotron x-ray diffraction at the Advanced Photon Source. The measured data allows us to determine the P-V-T equation of state of hafnium. The previously described [Xia et al., Phys. Rev. B 42, 6736-6738 (1990)] phase transition from hcp ({alpha}) to simple hexagonal ({omega}) phase at 38 GPa at room temperature was not observed even up to 51 GPa. The {omega} phase was only observed at elevated temperatures. Our measurements have alsomore » improved the experimental constraint on the high P-T phase boundary between the {omega} phase and high pressure bcc ({beta}) phase of hafnium. Isothermal room temperature bulk modulus and its pressure derivative for the {alpha}-phase of hafnium were measured to be B{sub 0} = 112.9{+-}0.5 GPa and B{sub 0}'=3.29{+-}0.05, respectively. P-V-T data for the {alpha}-phase of hafnium was used to obtain a fit to a thermodynamic P-V-T equation of state based on model by Brosh et al. [CALPHAD 31, 173-185 (2007)].« less

  11. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  12. Oxidized film structure and method of making epitaxial metal oxide structure

    DOEpatents

    Gan, Shupan [Richland, WA; Liang, Yong [Richland, WA

    2003-02-25

    A stable oxidized structure and an improved method of making such a structure, including an improved method of making an interfacial template for growing a crystalline metal oxide structure, are disclosed. The improved method comprises the steps of providing a substrate with a clean surface and depositing a metal on the surface at a high temperature under a vacuum to form a metal-substrate compound layer on the surface with a thickness of less than one monolayer. The compound layer is then oxidized by exposing the compound layer to essentially oxygen at a low partial pressure and low temperature. The method may further comprise the step of annealing the surface while under a vacuum to further stabilize the oxidized film structure. A crystalline metal oxide structure may be subsequently epitaxially grown by using the oxidized film structure as an interfacial template and depositing on the interfacial template at least one layer of a crystalline metal oxide.

  13. Fabrication of highly textured lithium cobalt oxide films by rapid thermal annealing

    DOEpatents

    Bates, John B.

    2003-04-29

    Systems and methods are described for fabrication of highly textured lithium cobalt oxide films by rapid thermal annealing. A method of forming a lithium cobalt oxide film includes depositing a film of lithium cobalt oxide on a substrate; rapidly heating the film of lithium cobalt oxide to a target temperature; and maintaining the film of lithium cobalt oxide at the target temperature for a target annealing time of at most, approximately 60 minutes. The systems and methods provide advantages because they require less time to implement and are, therefore less costly than previous techniques.

  14. Fabrication of highly textured lithium cobalt oxide films by rapid thermal annealing

    DOEpatents

    Bates, John B.

    2002-01-01

    Systems and methods are described for fabrication of highly textured lithium cobalt oxide films by rapid thermal annealing. A method of forming a lithium cobalt oxide film includes depositing a film of lithium cobalt oxide on a substrate; rapidly heating the film of lithium cobalt oxide to a target temperature; and maintaining the film of lithium cobalt oxide at the target temperature for a target annealing time of at most, approximately 60 minutes. The systems and methods provide advantages because they require less time to implement and are, therefore less costly than previous techniques.

  15. Fabrication of highly textured lithium cobalt oxide films by rapid thermal annealing

    DOEpatents

    Bates, John B.

    2003-05-13

    Systems and methods are described for fabrication of highly textured lithium cobalt oxide films by rapid thermal annealing. A method of forming a lithium cobalt oxide film includes depositing a film of lithium cobalt oxide on a substrate; rapidly heating the film of lithium cobalt oxide to a target temperature; and maintaining the film of lithium cobalt oxide at the target temperature for a target annealing time of at most, approximately 60 minutes. The systems and methods provide advantages because they require less time to implement and are, therefore less costly than previous techniques.

  16. Degradation of superconducting Nb/NbN films by atmospheric oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Henry, M. David; Wolfley, Steve; Young, Travis

    2017-03-01

    Niobium and niobium nitride thin films are transitioning from fundamental research toward wafer scale manufacturing with technology drivers that include superconducting circuits and electronics, optical single photon detectors, logic, and memory. Successful microfabrication requires precise control over the properties of sputtered superconducting films, including oxidation. Previous work has demonstrated the mechanism in oxidation of Nb and how film structure could have deleterious effects upon the superconducting properties. This study provides an examination of atmospheric oxidation of NbN films. By examination of the room temperature sheet resistance of NbN bulk oxidation was identified and confirmed by secondary ion mass spectrometry. Asmore » a result, Meissner magnetic measurements confirmed the bulk oxidation not observed with simple cryogenic resistivity measurements.« less

  17. Polymer-assisted aqueous deposition of metal oxide films

    DOEpatents

    Li, DeQuan [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM

    2003-07-08

    An organic solvent-free process for deposition of metal oxide thin films is presented. The process includes aqueous solutions of necessary metal precursors and an aqueous solution of a water-soluble polymer. After a coating operation, the resultant coating is fired at high temperatures to yield optical quality metal oxide thin films.

  18. Spatially resolved variations in reflectivity across iron oxide thin films

    NASA Astrophysics Data System (ADS)

    Kelley, Chris S.; Thompson, Sarah M.; Gilks, Daniel; Sizeland, James; Lari, Leonardo; Lazarov, Vlado K.; Matsuzaki, Kosuke; LeFrançois, Stéphane; Cinque, Gianfelice; Dumas, Paul

    2017-11-01

    The spin polarising properties of the iron oxide magnetite (Fe3O4) make it attractive for use in spintronic devices, but its sensitivity to compositional and structural variations make it challenging to prepare reliably. Infrared microspectroscopy and modelling are used to determine the spatial variation in the chemical composition of three thin films of iron oxide; one prepared by pulsed laser deposition (PLD), one by molecular beam epitaxy (MBE) deposition of iron whilst simultaneously flowing oxygen into the chamber and one by flowing oxygen only once deposition is complete. The technique is easily able to distinguish between films which contain metallic iron and different iron oxide phases as well as spatial variations in composition across the films. The film grown by post-oxidising iron is spatially uniform but not fully oxidised, the film grown by simultaneously oxidising iron showed spatial variation in oxide composition while the film grown by PLD was spatially uniform magnetite.

  19. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  20. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    NASA Astrophysics Data System (ADS)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  1. The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition: examples and a case study on the application of V2O4 coated nanotubes in gas sensing.

    PubMed

    Willinger, Marc-Georg; Neri, Giovanni; Bonavita, Anna; Micali, Giuseppe; Rauwel, Erwan; Herntrich, Tobias; Pinna, Nicola

    2009-05-21

    A new atomic layer deposition (ALD) process was applied for the uniform coating of carbon nanotubes with a number of transition-metal oxide thin films (vanadium, titanium, and hafnium oxide). The presented approach is adapted from non-aqueous sol-gel chemistry and utilizes metal alkoxides and carboxylic acids as precursors. It allows the coating of the inner and outer surface of the tubes with a highly conformal film of controllable thickness and hence, the production of high surface area hybrid materials. The morphology and the chemical composition as well as the high purity of the films are evidenced through a combination of electron microscopic and electron-energy-loss spectrometric techniques. Furthermore, in order to highlight a possible application of the obtained hybrids, the electrical and sensing properties of resistive gas sensors based on hybrid vanadium oxide-coated carbon nanotubes (V2O4-CNTs) are reported and the effect of thermal treatment on the gas sensing properties is studied.

  2. High quality oxide films on substrates

    DOEpatents

    Ruckman, Mark W.; Strongin, Myron; Gao, Yong L.

    1994-01-01

    A method for providing an oxide film of a material on the surface of a substrate using a reactive deposition of the material onto the substrate surface in the presence of a solid or liquid layer of an oxidizing gas. The oxidizing gas is provided on the substrate surface in an amount sufficient to dissipate the latent heat of condensation occurring during deposition as well as creating a favorable oxidizing environment for the material.

  3. Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.

    PubMed

    Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn

    2018-07-01

    Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.

  4. Periodic oxidation for fabricating titanium oxynitride thin films via atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Iwashita, Shinya, E-mail: shinya.iwashita@tel.com; Aoyama, Shintaro; Nasu, Masayuki

    2016-01-15

    This paper demonstrates thermal atomic layer deposition (ALD) combined with periodic oxidation for synthesizing titanium oxynitride (TiON) thin films. The process used a typical ALD reactor for the synthesis of titanium nitride (TiN) films wherein oxygen was supplied periodically between the ALD-TiN cycles. The great advantage of the process proposed here was that it allowed the TiN films to be oxidized efficiently. Also, a uniform depth profile of the oxygen concentration in the films could be obtained by tuning the oxidation conditions, allowing the process to produce a wide variety of TiON films. The resistivity measurement is a convenient methodmore » to confirm the reproducibility of metal film fabrication but may not be applicable for TiON films depending upon the oxidation condition because the films can easily turn into insulators when subjected to periodic oxidation. Therefore, an alternative reproducibility confirmation method was required. In this study, spectroscopic ellipsometry was applied to monitor the variation of TiON films and was able to detect changes in film structures such as conductor–insulator transitions in the TiON films.« less

  5. The role of polymer films on the oxidation of magnetite nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Letti, C.J.; Paterno, L.G.; Pereira-da-Silva, M.A.

    2017-02-15

    A detailed investigation about the role of polymer films on the oxidation process of magnetite nanoparticles (∼7 nm diameter), under laser irradiation is performed employing micro Raman spectroscopy. To support this investigation, Fe{sub 3}O{sub 4}-np are synthesized by the co-precipitation method and assembled layer-by-layer with sodium sulfonated polystyrene (PSS). Polymer films (Fe{sub 3}O{sub 4}-np/PSS){sub n} with n=2,3,5,7,10 and 25 bilayers are employed as a model system to study the oxidation process under laser irradiation. Raman data are further processed by principal component analysis. Our findings suggest that PSS protects Fe{sub 3}O{sub 4}-np from oxidation when compared to powder samples, evenmore » for the sample with the greater number of bilayers. Further, the oxidation of magnetite to maghemite occurs preferably for thinner films up to 7 bilayers, while the onset for the formation of the hematite phase depends on the laser intensity for thicker films. Water takes part on the oxidation processes of magnetite, the oxidation/phase transformation of Fe{sub 3}O{sub 4}-np is intensified in films with more bilayers, since more water is included in those films. Encapsulation of Fe{sub 3}O{sub 4}-np by PSS in layer-by-layer films showed to be very efficient to avoid the oxidation process in nanosized magnetite. - Graphical abstract: Encapsulation of Fe{sub 3}O{sub 4}-np by PSS in layer-by-layer films avoids the oxidation and phase transformation of nanosized magnetite. - Highlights: • (Fe{sub 3}O{sub 4}-np/PSS){sub n} nanofilms, with n=2 up to 25, where layer-by-layer assembled. • The influence of film architecture on the Fe{sub 3}O{sub 4}-np oxidation was investigated through Raman spectroscopy. • Encapsulation of Fe{sub 3}O{sub 4}-np by PSS showed to be very efficient to avoid the Fe{sub 3}O{sub 4}-np oxidation.« less

  6. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  7. High quality oxide films on substrates

    DOEpatents

    Ruckman, M.W.; Strongin, M.; Gao, Y.L.

    1994-02-01

    A method is described for providing an oxide film of a material on the surface of a substrate using a reactive deposition of the material onto the substrate surface in the presence of a solid or liquid layer of an oxidizing gas. The oxidizing gas is provided on the substrate surface in an amount sufficient to dissipate the latent heat of condensation occurring during deposition as well as creating a favorable oxidizing environment for the material. 4 figures.

  8. One Single Graphene Oxide Film for Responsive Actuation.

    PubMed

    Cheng, Huhu; Zhao, Fei; Xue, Jiangli; Shi, Gaoquan; Jiang, Lan; Qu, Liangti

    2016-09-22

    Graphene, because of its superior electrical/thermal conductivity, high surface area, excellent mechanical flexibility, and stability, is currently receiving significant attention and benefit to fabricate actuator devices. Here, a sole graphene oxide (GO) film responsive actuator with an integrated self-detecting sensor has been developed. The film exhibits an asymmetric surface structure on its two sides, creating a promising actuation ability triggered by multistimuli, such as moisture, thermals, and infrared light. Meanwhile, the built-in laser-writing reduced graphene oxide (rGO) sensor in the film can detect its own deformation in real time. Smart perceptual fingers in addition to rectangular-shaped and even four-legged walking robots have been developed based on the responsive GO film.

  9. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties.

    PubMed

    Dey, Arjun; Nayak, Manish Kumar; Esther, A Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A K; Bera, Parthasarathi; Barshilia, Harish C; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D Raghavendra; Sridhara, N; Sharma, Anand Kumar

    2016-11-17

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21-475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V 2 O 5 , V 2 O 3 and VO 2 along with MoO 3 . Reversible or smart transition was found to occur just above the room temperature i.e., at ~45-50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10 -5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films.

  10. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties

    NASA Astrophysics Data System (ADS)

    Dey, Arjun; Nayak, Manish Kumar; Esther, A. Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A. K.; Bera, Parthasarathi; Barshilia, Harish C.; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D. Raghavendra; Sridhara, N.; Sharma, Anand Kumar

    2016-11-01

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21-475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V2O5, V2O3 and VO2 along with MoO3. Reversible or smart transition was found to occur just above the room temperature i.e., at ~45-50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10-5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films.

  11. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties

    PubMed Central

    Dey, Arjun; Nayak, Manish Kumar; Esther, A. Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A. K.; Bera, Parthasarathi; Barshilia, Harish C.; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D. Raghavendra; Sridhara, N.; Sharma, Anand Kumar

    2016-01-01

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21–475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V2O5, V2O3 and VO2 along with MoO3. Reversible or smart transition was found to occur just above the room temperature i.e., at ~45–50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10−5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films. PMID:27853234

  12. Metal Doped Manganese Oxide Thin Films for Supercapacitor Application.

    PubMed

    Tung, Mai Thanh; Thuy, Hoang Thi Bich; Hang, Le Thi Thu

    2015-09-01

    Co and Fe doped manganese oxide thin films were prepared by anodic deposition at current density of 50 mA cm(-2) using the electrolyte containing manganese sulfate and either cobalt sulfate or ferrous sulfate. Surface morphology and crystal structure of oxides were studied by scanning electron microscope (SEM) and X-ray diffraction (XRD). Chemical composition of materials was analyzed by X-ray energy dispersive spectroscope (EDS), iodometric titration method and complexometric titration method, respectively. Supercapacitive behavior of Co and Fe doped manganese oxide films were characterized by cyclic voltammetry (CV) and impedance spectroscopy (EIS). The results show that the doped manganese oxides are composed of nano fiber-like structure with radius of 5-20 nm and remain amorphous structure after heat treatment at 100 degrees C for 2 hours. The average valence of manganese increases from +3.808 to +3.867 after doping Co and from +3.808 to +3.846 after doping Fe. The doped manganese oxide film electrodes exhibited preferably ideal pseudo-capacitive behavior. The specific capacitance value of deposited manganese oxide reaches a maximum of 175.3 F/g for doping Co and 244.6 F/g for doping Fe. The thin films retained about 84% of the initial capacity even after 500 cycles of charge-discharge test. Doping Co and Fe decreases diffusion and charge transfer resistance of the films. The electric double layer capacitance and capacitor response frequency are increased after doping.

  13. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  14. Studies of Ultra High Temperature Ceramic Composite Components: Synthesis and Characterization of HfOxCy and Si Oxidation in Atomic Oxygen Containing Environments

    DTIC Science & Technology

    2008-08-01

    Andrea Hafeman and Dr Scott Guelcher for the use of the SDT analysis software. I would like to thank my committee members: Dr Clare McCabe, Dr Sharon...76 4.2: Thermogravimetric curves obtained during oxidation of hafnium...77 4.3: The thermogravimetric curves for hafnia and hafnium oxycarbide (28 mTorr) oxidized at 600°C

  15. Tensile and stress-rupture behavior of hafnium carbide dispersed molybdenum and tungsten base alloy wires

    NASA Technical Reports Server (NTRS)

    Yun, Hee Mann; Titran, Robert H.

    1993-01-01

    The tensile strain rate sensitivity and the stress-rupture strength of Mo-base and W-base alloy wires, 380 microns in diameter, were determined over the temperature range from 1200 K to 1600 K. Three molybdenum alloy wires; Mo + 1.1w/o hafnium carbide (MoHfC), Mo + 25w/o W + 1.1w/o hafnium carbide (MoHfC+25W) and Mo + 45w/o W + 1.1w/o hafnium carbide (MoHfC+45W), and a W + 0.4w/o hafnium carbide (WHfC) tungsten alloy wire were evaluated. The tensile strength of all wires studied was found to have a positive strain rate sensitivity. The strain rate dependency increased with increasing temperature and is associated with grain broadening of the initial fibrous structures. The hafnium carbide dispersed W-base and Mo-base alloys have superior tensile and stress-rupture properties than those without HfC. On a density compensated basis the MoHfC wires exhibit superior tensile and stress-rupture strengths to the WHfC wires up to approximately 1400 K. Addition of tungsten in the Mo-alloy wires was found to increase the long-term stress rupture strength at temperatures above 1400 K. Theoretical calculations indicate that the strength and ductility advantage of the HfC dispersed alloy wires is due to the resistance to recrystallization imparted by the dispersoid.

  16. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    NASA Astrophysics Data System (ADS)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  17. Spectroscopic And Electrochemical Studies Of Electrochromic Hydrated Nickel Oxide Films

    NASA Astrophysics Data System (ADS)

    Yu, P. C.; Nazri, G.; Lampert, C. M.

    1986-09-01

    The electrochrcrnic properties of hydrated nickel oxide thin films electrochemically deposited by anodization onto doped tin oxide-coated glass have been studied by transmittance measurements, cyclic voltammetry, Fourier-transform infrared spectroscopy, and ion-backscattering spectrometry. The spectral transmittance is reported for films switched in both the bleached and colored states. The photopic transmittance (Tp) can be switched from T (bleached) = 0.77 to T (colored) = 0.21, and the solar transmittance (Ts) can be switched from Ts(bleached) = 0.73 to TS (colored) = 0.35. Also reported is the near-infrared transmittance (TNIR)which was found to switch fran T N,IR (bleached) = 0.72 to TNIR (colored) = 0.55. The bleached condition is noted to have very low solar absorption in both the visible and solar regions. Ion-backscattering spectrometry was performed on the hydrated nickel oxide film, yielding a camposition of Ni01.0 (dehydrated) and a film thickness of 125 A. Cyclic voltammetry showed that, for films in the bleached or colored state, the reversible reaction is Ni(0H), = NiOOH + H+ + e . Voltammnetry also showed that the switching of the film is controlled by the diffusion or protons, where OH plays a role in the reaction mechanism. Analysis of the hydrated nickel-oxide thin films by Fourier-transform infrared spectroscopy revealed that both the bleached and colored states contain lattice water and hydroxyl groups. The surface hydroxyl groups play an important role in the coloration and bleaching of the anodically deposited nickel oxide thin films.

  18. Anodic Oxidation in Aluminum Electrode by Using Hydrated Amorphous Aluminum Oxide Film as Solid Electrolyte under High Electric Field.

    PubMed

    Yao, Manwen; Chen, Jianwen; Su, Zhen; Peng, Yong; Zou, Pei; Yao, Xi

    2016-05-04

    Dense and nonporous amorphous aluminum oxide (AmAO) film was deposited onto platinized silicon substrate by sol-gel and spin coating technology. The evaporated aluminum film was deposited onto the AmAO film as top electrode. The hydrated AmAO film was utilized as a solid electrolyte for anodic oxidation of the aluminum electrode (Al) film under high electric field. The hydrated AmAO film was a high efficiency electrolyte, where a 45 nm thick Al film was anodized completely on a 210 nm thick hydrated AmAO film. The current-voltage (I-V) characteristics and breakdown phenomena of a dry and hydrated 210 nm thick AmAO film with a 150 nm thick Al electrode pad were studied in this work. Breakdown voltage of the dry and hydrated 210 nm thick AmAO film were 85 ± 3 V (405 ± 14 MV m(-1)) and 160 ± 5 V (762 ± 24 MV m(-1)), respectively. The breakdown voltage of the hydrated AmAO film increased about twice, owing to the self-healing behavior (anodic oxidation reaction). As an intuitive phenomenon of the self-healing behavior, priority anodic oxidation phenomena was observed in a 210 nm thick hydrated AmAO film with a 65 nm thick Al electrode pad. The results suggested that self-healing behavior (anodic oxidation reaction) was occurring nearby the defect regions of the films during I-V test. It was an effective electrical self-healing method, which would be able to extend to many other simple and complex oxide dielectrics and various composite structures.

  19. Effect of mass density on surface morphology of electrodeposited manganese oxide films

    NASA Astrophysics Data System (ADS)

    Singh, Avtar; Kumar, Davinder; Thakur, Anup; Kaur, Raminder

    2018-05-01

    This work focus on high surface area morphology of manganese oxide films which are currently required for electrochemical capacitor electrode to enhance their performance. Electrodeposition of manganese oxide films was carried out using Chronoamperometry for different deposition time ranging from 30 to 120 sec. Cronoamperomertic I-T integrated data have been used to analyze active mass of all electrodeposited films. Morphological study of the deposited films with different mass was carried out through scanning electron microscopy. Film deposited for 30 sec time show highest porous morphology than others. Manganese oxide films with high porosity are suitable for electrochemical capacitor electrode.

  20. Effects of the polarizability and packing density of transparent oxide films on water vapor permeation.

    PubMed

    Koo, Won Hoe; Jeong, Soon Moon; Choi, Sang Hun; Kim, Woo Jin; Baik, Hong Koo; Lee, Sung Man; Lee, Se Jong

    2005-06-09

    The tin oxide and silicon oxide films have been deposited on polycarbonate substrates as gas barrier films, using a thermal evaporation and ion beam assisted deposition process. The oxide films deposited by ion beam assisted deposition show a much lower water vapor transmission rate than those by thermal evaporation. The tin oxide films show a similar water vapor transmission rate to the silicon oxide films in thermal evaporation but a lower water vapor transmission rate in IBAD. These results are related to the fact that the permeation of water vapor with a large dipole moment is affected by the chemistry of oxides and the packing density of the oxide films. The permeation mechanism of water vapor through the oxide films is discussed in terms of the chemical interaction with water vapor and the microstructure of the oxide films. The chemical interaction of water vapor with oxide films has been investigated by the refractive index from ellipsometry and the OH group peak from X-ray photoelectron spectroscopy, and the microstructure of the composite oxide films was characterized using atomic force microscopy and a transmission electron microscope. The activation energy for water vapor permeation through the oxide films has also been measured in relation to the permeation mechanism of water vapor. The diffusivity of water vapor for the tin oxide films has been calculated from the time lag plot, and its implications are discussed.

  1. Effects of substrate temperature on properties of pulsed dc reactively sputtered tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Jain, Pushkar; Juneja, Jasbir S.; Bhagwat, Vinay; Rymaszewski, Eugene J.; Lu, Toh-Ming; Cale, Timothy S.

    2005-05-01

    The effects of substrate heating on the stoichiometry and the electrical properties of pulsed dc reactively sputtered tantalum oxide films over a range of film thickness (0.14 to 5.4 μm) are discussed. The film stoichiometry, and hence the electrical properties, of tantalum oxide films; e.g., breakdown field, leakage current density, dielectric constant, and dielectric loss are compared for two different cases: (a) when no intentional substrate/film cooling is provided, and (b) when the substrate is water cooled during deposition. All other operating conditions are the same, and the film thickness is directly related to deposition time. The tantalum oxide films deposited on the water-cooled substrates are stoichiometric, and exhibit excellent electrical properties over the entire range of film thickness. ``Noncooled'' tantalum oxide films are stoichiometric up to ~1 μm film thickness, beyond that the deposited oxide is increasingly nonstoichiometric. The presence of partially oxidized Ta in thicker (>~1 μm) noncooled tantalum oxide films causes a lower breakdown field, higher leakage current density, higher apparent dielectric constant, and dielectric loss. The growth of nonstoichiometric tantalum oxide in thicker noncooled films is attributed to decreased surface oxygen concentration due to oxygen recombination and desorption at higher film temperatures (>~100 °C). The quantitative results presented reflect experience with a specific piece of equipment; however, the procedures presented can be used to characterize deposition processes in which film stoichiometry can change.

  2. Nickel aluminides and nickel-iron aluminides for use in oxidizing environments

    DOEpatents

    Liu, Chain T.

    1988-03-15

    Nickel aluminides and nickel-iron aluminides treated with hafnium or zirconium, boron and cerium to which have been added chromium to significantly improve high temperature ductility, creep resistance and oxidation properties in oxidizing environments.

  3. Application of Oxidation to the Structural Characterization of Sic Epitaxial Films

    NASA Technical Reports Server (NTRS)

    Powell, J. A.; Petit, J. B.; Edgar, J. H.; Jenkins, I. G.; Matus, L. G.

    1991-01-01

    Both 3C-SiC and 6H-SiC single-crystal films can be grown on vicinal (0001) 6H-SiC wafers. It is found that oxidation can be a powerful diagnostic process for (1) 'color mapping' the 3C and 6H regions of these films, (2) decorating stacking faults in the films, (3) enhancing the decoration of double positioning boundaries, and (4) decorating polishing damage. Contrary to previously published oxidation results, proper oxidation conditions can yield interference colors that provide a definitive map of the polytype distribution for both the Si face and C face of SiC films.

  4. Processing, Structure and High Temperature Oxidation Properties of Polymer-Derived and Hafnium Oxide Based Ceramic Systems

    NASA Astrophysics Data System (ADS)

    Terauds, Kalvis

    Demands for hypersonic aircraft are driving the development of ultra-high temperature structural materials. These aircraft, envisioned to sustain Mach 5+, are expected to experience continuous temperatures of 1200--1800°C on the aircraft surface and temperatures as high as 2800°C in combustion zones. Breakthroughs in the development of fiber based ceramic matrix composites (CMCs) are opening the door to a new class of high-tech UHT structures for aerospace applications. One limitation with current carbon fiber or silicon carbide fiber based CMC technology is the inherent problem of material oxidation, requiring new approaches for protective environmental barrier coatings (EBC) in extreme environments. This thesis focuses on the development and characterization of SiCN-HfO2 based ceramic composite EBC systems to be used as a protective layer for silicon carbide fiber based CMCs. The presented work covers three main architectures for protection (i) multilayer films, (ii) polymer-derived HfSiCNO, and (iii) composite SiCN-HfO 2 infiltration. The scope of this thesis covers processing development, material characterization, and high temperature oxidation behavior of these three SiCN-HfO2 based systems. This work shows that the SiCN-HfO 2 composite materials react upon oxidation to form HfSiO4, offering a stable EBC in streaming air and water vapor at 1600°C.

  5. Surface characteristics and bioactivity of oxide film on titanium metal formed by thermal oxidation.

    PubMed

    Park, Yeong-Joon; Song, Ho-Jun; Kim, In; Yang, Hong-So

    2007-04-01

    In this study, we characterized the surface of oxide film formed on titanium metal through the use of thermal treatment and investigated the effect of surface characteristics on the bioactivity of titanium. The as-received sample group was prepared by polishing and cleaning CP-Ti as a control group, and thermally oxidized sample groups were prepared by heat treating at 530, 600, 700, 800, 900, and 1000 degrees C respectively. Micro-morphology, crystalline structure, chemical composition, and binding state were evaluated using FE-SEM, XRD, and XPS. The bioactivity of sample groups was investigated by observing the degree of calcium phosphate formation from immersion testing in MEM. The surface characterization tests showed that hydroxyl group content in titanium oxide film was increased, as the density of titanium atoms was high and the surface area was large. In MEM immersion test, initial calcium phosphate formation was dependent upon the thickness of titanium oxide, and resultant calcium phosphate formation depended on the content of the hydroxyl group of the titanium oxide film surface.

  6. Physical properties of spin-valve films grown on naturally oxidized metal nano-oxide surfaces

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Cerjan, Charlie; Kools, Jacques

    2002-05-01

    The physical properties of spin-valve films NiFe 25 Å/CoFe 10 Å/Cu(tCu)/CoFe 30 Å/IrMn 70 Å/Ta 20 Å with graded Cu layer thickness (tCu=18-45 Å) grown on the surface of metal nano-oxide layers (NOLs) were studied. The NOLs were formed from ultrathin Al, Cr, Cu, Nb, Ta, CoFe, NiFe, and NiFeCr layers by natural oxidation. The growth of the spin-valve films on NOLs has led to an enhancement in giant magnetoresistance value by up to 48%. A corresponding reduction in minimum film resistance by over 10% confirms that this enhancement originates from an increase in the mean free path of spin-polarized electrons due to the resultant specular reflection at the nano-oxide surfaces. A wide spectrum of oscillatory interlayer exchange coupling dependence on tCu for these NOL-bearing films suggests that a specular nano-oxide surface does not necessarily result in a smoother multilayer structure. The observation of an enhanced exchange biasing among these spin-valve films appears in contradiction to the observed deterioration of their crystallographic quality. As an important application, TaOx, CrOx, and NbOx could be employed as an alternative to AlOx as the barrier layer for magnetic tunnel junctions.

  7. Mechanical properties of bioplastics cassava starch film with Zinc Oxide nanofiller as reinforcement

    NASA Astrophysics Data System (ADS)

    Harunsyah; Yunus, M.; Fauzan, Reza

    2017-06-01

    This study focuses on investigating the influence of zinc oxide nanofiller on the mechanical properties of bioplastic cassava starch films. Bioplastic cassava starch film-based zinc oxide reinforced composite biopolymeric films were prepared by casting technique. The content of zinc oxide in the bioplastic films was varied from 0.2%, 0.4%, 0.6%, 0.8% and 1.0% (w/w) by weight of starch. Surface morphologies of the composites bioplastic films were examined by scanning electron microscope (SEM).The result showed that the Tensile strength (TS) was improved significantly with the additional of zinc oxide but the elongation at break (EB %) of the composites was decreased. The maximum tensile strength obtained was 22.30 kgf / mm on the additional of zinc oxide by 0.6% and plastilizer by 25%. Based on data of FTIR, the produced film plastic did not change the group function and it can be concluded that theinteraction in film plastic produced was only a physical interaction. Biodegradable plastic film based on cassava starch-zinc oxide and plasticizer glycerol showed that interesting mechanical properties being transparent, clear, homogeneous, flexible, and easily handled.

  8. High carrier concentration p-type transparent conducting oxide films

    DOEpatents

    Yan, Yanfa; Zhang, Shengbai

    2005-06-21

    A p-type transparent conducting oxide film is provided which is consisting essentially of, the transparent conducting oxide and a molecular doping source, the oxide and doping source grown under conditions sufficient to deliver the doping source intact onto the oxide.

  9. Understanding Organic Film Behavior on Alloy and Metal Oxides

    PubMed Central

    Raman, Aparna; Quiñones, Rosalynn; Barriger, Lisa; Eastman, Rachel; Parsi, Arash

    2010-01-01

    Native oxide surfaces of stainless steel 316L and Nitinol alloys and their constituent metal oxides namely, nickel, chromium, molybdenum, manganese, iron and titanium were modified with long chain organic acids to better understand organic film formation. The adhesion and stability of films of octadecylphosphonic acid, octadecylhydroxamic acid, octadecylcarboxylic acid and octadecylsulfonic acid on these substrates was examined in this study. The films formed on these surfaces were analyzed by diffuse reflectance infrared Fourier transform spectroscopy, contact angle goniometry, atomic force microscopy and matrix assisted laser desorption ionization mass spectrometry. The effect of the acidity of the organic moiety and substrate composition on the film characteristics and stability is discussed. Interestingly, on the alloy surfaces, the presence of less reactive metal sites does not inhibit film formation. PMID:20039608

  10. The disclosed transformation of pre-sputtered Ti films into nanoparticles via controlled thermal oxidation

    NASA Astrophysics Data System (ADS)

    Awad, M. A.; Raaif, M.

    2018-05-01

    Nanoparticles of TiO2 were successfully prepared from pre-sputtered Ti films using the controlled thermal oxidation. The effect of oxidation temperature on structural, morphological and optical properties in addition to photocatalysis activity of the sputtered films was tested and explained. Analysis of XRD and EDAX elucidated the enhancement in crystallization and oxygen content with the increase of oxidation temperature. SEM depicted the formation of very fine nanoparticles with no specific border on the films oxidized at 550 and 600 °C, whilst crystallites with larger size of approximately from 16 to 23 nm have been observed for the film oxidized at 650 °C. Both optical transmission and refractive index were increased with increasing the oxidation temperature. A red shift in the absorption edge was obtained for the films oxidized at 650 °C compared to that oxidized at 600 °C. The photocatalysis tests demonstrated the priority of 600 °C nanoparticle films to decompose methyl orange (MO) more than 650 °C treated film.

  11. Conductive metal oxide film and method of making

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Windisch, C.F. Jr.; Exarhos, G.J.

    1999-11-23

    The present invention is a method for reducing a dopant in a film of a metal oxide wherein the dopant is reduced and the first metal oxide is substantially not reduced. The method of the present invention relies upon exposing the film to reducing conditions for a predetermined time and reducing a valence of the metal from a positive valence to a zero valence and maintaining atoms with a zero valence in an atomic configuration within the lattice structure of the metal oxide. According to the present invention, exposure to reducing conditions may be achieved electrochemically or achieved in anmore » elevated temperature gas phase.« less

  12. Conductive metal oxide film and method of making

    DOEpatents

    Windisch, Jr., Charles F.; Exarhos, Gregory J.

    1999-01-01

    The present invention is a method for reducing a dopant in a film of a metal oxide wherein the dopant is reduced and the first metal oxide is substantially not reduced. The method of the present invention relies upon exposing the film to reducing conditions for a predetermined time and reducing a valence of the metal from a positive valence to a zero valence and maintaining atoms with a zero valence in an atomic configuration within the lattice structure of the metal oxide. According to the present invention, exposure to reducing conditions may be achieved electrochemically or achieved in an elevated temperature gas phase.

  13. Strain-induced phenomenon in complex oxide thin films

    NASA Astrophysics Data System (ADS)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  14. Stabilized tin-oxide-based oxidation/reduction catalysts

    NASA Technical Reports Server (NTRS)

    Watkins, Anthony Neal (Inventor); Oglesby, Donald M. (Inventor); Gulati, Suresh T. (Inventor); Summers, Jerry C. (Inventor); Schryer, David R. (Inventor); Davis, Patricia P. (Inventor); Leighty, Bradley D. (Inventor); Jordan, Jeffrey D. (Inventor); Schryer, Jacqueline L. (Inventor)

    2008-01-01

    The invention described herein involves a novel approach to the production of oxidation/reduction catalytic systems. The present invention serves to stabilize the tin oxide reducible metal-oxide coating by co-incorporating at least another metal-oxide species, such as zirconium. In one embodiment, a third metal-oxide species is incorporated, selected from the group consisting of cerium, lanthanum, hafnium, and ruthenium. The incorporation of the additional metal oxide components serves to stabilize the active tin-oxide layer in the catalytic process during high-temperature operation in a reducing environment (e.g., automobile exhaust). Moreover, the additional metal oxides are active components due to their oxygen-retention capabilities. Together, these features provide a mechanism to extend the range of operation of the tin-oxide-based catalyst system for automotive applications, while maintaining the existing advantages.

  15. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tripathy, Sumanta K.; Rajeswari, V. P.

    2014-01-28

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn{sub 3}O{sub 4}, corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating themore » absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells.« less

  16. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.; Hutcheon, J.M.

    1956-08-21

    Substantially complete separation of zirconium from hafnium may be obtained by elution of ion exchange material, on which compounds of the elements are adsorbed, with an approximately normal solution of sulfuric acid. Preferably the acid concentration is between 0.8 N amd 1.2 N, amd should not exceed 1.5 N;. Increasing the concentration of sulfate ion in the eluting solution by addition of a soluble sulfate, such as sodium sulfate, has been found to be advantageous. The preferred ion exchange materials are sulfonated polystyrene resins such as Dowex 50,'' and are preferably arranged in a column through which the solutions are passed.

  17. Nickel oxide nanoparticles film produced by dead biomass of filamentous fungus

    PubMed Central

    Salvadori, Marcia Regina; Nascimento, Cláudio Augusto Oller; Corrêa, Benedito

    2014-01-01

    The synthesis of nickel oxide nanoparticles in film form using dead biomass of the filamentous fungus Aspergillus aculeatus as reducing agent represents an environmentally friendly nanotechnological innovation. The optimal conditions and the capacity of dead biomass to uptake and produce nanoparticles were evaluated by analyzing the biosorption of nickel by the fungus. The structural characteristics of the film-forming nickel oxide nanoparticles were analyzed by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force microscopy (AFM). These techniques showed that the nickel oxide nanoparticles had a size of about 5.89 nm and were involved in a protein matrix which probably permitted their organization in film form. The production and uptake of nickel oxide nanoparticles organized in film form by dead fungal biomass bring us closer to sustainable strategies for the biosynthesis of metal oxide nanoparticles. PMID:25228324

  18. Structure and Internal Stress of Tin-Doped Indium Oxide and Indium-Zinc Oxide Films Deposited by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Nishimura, Eriko; Sasabayashi, Tomoko; Ito, Norihiro; Sato, Yasushi; Utsumi, Kentaro; Yano, Koki; Kaijo, Akira; Inoue, Kazuyoshi; Shigesato, Yuzo

    2007-12-01

    Representative transparent conductive oxide films, such as tin-doped indium oxide (ITO) and indium-zinc oxide (IZO) films, were deposited by dc magnetron sputtering using corresponding oxide targets under various total gas pressures (Ptot) ranging from 0.3 to 3.0 Pa. The ITO films deposited at a Ptot lower than 0.7 Pa were polycrystalline and were found to have a large compressive stress of about 1.5 × 109 Pa, whereas the ITO films deposited at 1.5-3.0 Pa were amorphous and had a low tensile stress. In contrast, all the IZO films deposited at a Ptot range of 0.3-3.0 Pa showed an entirely amorphous structure, where the compressive stress in the IZO films deposited at a Ptot lower than 1.5 Pa was lower than that in the ITO films. Such compressive stress was considered to be generated by the atomic peening effect of high-energy neutrals (Ar0) recoiled from the target or high-energy negative ions (O-) accelerated in the cathode sheath toward the film surface.

  19. Intrinsic stress evolution during amorphous oxide film growth on Al surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flötotto, D., E-mail: d.floetotto@is.mpg.de; Wang, Z. M.; Jeurgens, L. P. H.

    2014-03-03

    The intrinsic stress evolution during formation of ultrathin amorphous oxide films on Al(111) and Al(100) surfaces by thermal oxidation at room temperature was investigated in real-time by in-situ substrate curvature measurements and detailed atomic-scale microstructural analyses. During thickening of the oxide a considerable amount of growth stresses is generated in, remarkably even amorphous, ultrathin Al{sub 2}O{sub 3} films. The surface orientation-dependent stress evolutions during O adsorption on the bare Al surfaces and during subsequent oxide-film growth can be interpreted as a result of (i) adsorption-induced surface stress changes and (ii) competing processes of free volume generation and structural relaxation, respectively.

  20. Oxide film on metal substrate reduced to form metal-oxide-metal layer structure

    NASA Technical Reports Server (NTRS)

    Youngdahl, C. A.

    1967-01-01

    Electrically conductive layer of zirconium on a zirconium-oxide film residing on a zirconium substrate is formed by reducing the oxide in a sodium-calcium solution. The reduced metal remains on the oxide surface as an adherent layer and seems to form a barrier that inhibits further reaction.

  1. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    NASA Astrophysics Data System (ADS)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  2. Effect of cathode cooling efficiency and oxygen plasma gas pressure on the hafnium cathode wall temperature

    NASA Astrophysics Data System (ADS)

    Ashtekar, Koustubh; Diehl, Gregory; Hamer, John

    2012-10-01

    The hafnium cathode is widely used in DC plasma arc cutting (PAC) under an oxygen gas environment to cut iron and iron alloys. The hafnium erosion is always a concern which is controlled by the surface temperature. In this study, the effect of cathode cooling efficiency and oxygen gas pressure on the hafnium surface temperature are quantified. The two layer cathode sheath model is applied on the refractive hafnium surface while oxygen species (O2, O, O+, O++, e-) are considered within the thermal dis-equilibrium regime. The system of non-linear equations comprising of current density balance, heat flux balance at both the cathode surface and the sheath-ionization layer is coupled with the plasma gas composition solver. Using cooling heat flux, gas pressure and current density as inputs; the cathode wall temperature, electron temperature, and sheath voltage drop are calculated. Additionally, contribution of emitted electron current (Je) and ions current (Ji) to the total current flux are estimated. Higher gas pressure usually reduces Ji and increases Je that reduces the surface temperature by thermionic cooling.

  3. Electronic Devices Based on Oxide Thin Films Fabricated by Fiber-to-Film Process.

    PubMed

    Meng, You; Liu, Ao; Guo, Zidong; Liu, Guoxia; Shin, Byoungchul; Noh, Yong-Young; Fortunato, Elvira; Martins, Rodrigo; Shan, Fukai

    2018-05-30

    Technical development for thin-film fabrication is essential for emerging metal-oxide (MO) electronics. Although impressive progress has been achieved in fabricating MO thin films, the challenges still remain. Here, we report a versatile and general thermal-induced nanomelting technique for fabricating MO thin films from the fiber networks, briefly called fiber-to-film (FTF) process. The high quality of the FTF-processed MO thin films was confirmed by various investigations. The FTF process is generally applicable to numerous technologically relevant MO thin films, including semiconducting thin films (e.g., In 2 O 3 , InZnO, and InZrZnO), conducting thin films (e.g., InSnO), and insulating thin films (e.g., AlO x ). By optimizing the fabrication process, In 2 O 3 /AlO x thin-film transistors (TFTs) were successfully integrated by fully FTF processes. High-performance TFT was achieved with an average mobility of ∼25 cm 2 /(Vs), an on/off current ratio of ∼10 7 , a threshold voltage of ∼1 V, and a device yield of 100%. As a proof of concept, one-transistor-driven pixel circuit was constructed, which exhibited high controllability over the light-emitting diodes. Logic gates based on fully FTF-processed In 2 O 3 /AlO x TFTs were further realized, which exhibited good dynamic logic responses and voltage amplification by a factor of ∼4. The FTF technique presented here offers great potential in large-area and low-cost manufacturing for flexible oxide electronics.

  4. Oxide Protective Coats for Ir/Re Rocket Combustion Chambers

    NASA Technical Reports Server (NTRS)

    Fortini, Arthur; Tuffias, Robert H.

    2003-01-01

    An improved material system has been developed for rocket engine combustion chambers for burning oxygen/ hydrogen mixtures or novel monopropellants, which are highly oxidizing at operating temperatures. The baseline for developing the improved material system is a prior iridium/rhenium system for chambers burning nitrogen tetroxide/monomethyl hydrazine mixtures, which are less oxidizing. The baseline combustion chamber comprises an outer layer of rhenium that provides structural support, plus an inner layer of iridium that acts as a barrier to oxidation of the rhenium. In the improved material system, the layer of iridium is thin and is coated with a thermal fatigue-resistant refractory oxide (specifically, hafnium oxide) that serves partly as a thermal barrier to decrease the temperature and thus the rate of oxidation of the rhenium. The oxide layer also acts as a barrier against the transport of oxidizing species to the surface of the iridium. Tests in which various oxygen/hydrogen mixtures were burned in iridium/rhenium combustion chambers lined with hafnium oxide showed that the operational lifetimes of combustion chambers of the improved material system are an order of magnitude greater than those of the baseline combustion chambers.

  5. Magnetic properties of partially oxidized Fe films

    NASA Astrophysics Data System (ADS)

    Garcia, Miguel Angel; Lopez-Dominguez, Victor; Hernando, Antonio

    Hybrid magnetic nanostructures exhibit appealing properties due to interface and proximity effects. A simple and interesting system of hybrid magnetic nanomaterials are partially oxidized ferromagnetic films. We have fabricated Fe films by thermal evaporation and performed a partial oxidation to magnetite (Fe3O4) by annealing in air at different times and temperatures. The magnetic properties of the films evolve from those of pure metallic iron to pure magnetite, showing intermediate states where the proximity effects control the magnetic behavior. At some stages, the magnetization curves obtained by SQUID and MOKE magnetometry exhibit important differences due to the dissimilar contribution of both phases to the magneto-optical response of the system This work has been supported by the Ministerio Español de Economia y Competitividad (MINECO) MAT2013-48009-C4-1. V.L.D and M.A.G. acknowledges financial support from BBVA foundation.

  6. Characterization of sputtered iridium oxide thin films on planar and laser micro-structured platinum thin film surfaces for neural stimulation applications

    NASA Astrophysics Data System (ADS)

    Thanawala, Sachin

    Electrical stimulation of neurons provides promising results for treatment of a number of diseases and for restoration of lost function. Clinical examples include retinal stimulation for treatment of blindness and cochlear implants for deafness and deep brain stimulation for treatment of Parkinsons disease. A wide variety of materials have been tested for fabrication of electrodes for neural stimulation applications, some of which are platinum and its alloys, titanium nitride, and iridium oxide. In this study iridium oxide thin films were sputtered onto laser micro-structured platinum thin films by pulsed-DC reactive sputtering of iridium metal in oxygen-containing atmosphere, to obtain high charge capacity coatings for neural stimulation applications. The micro-structuring of platinum films was achieved by a pulsed-laser-based technique (KrF excimer laser emitting at lambda=248nm). The surface morphology of the micro-structured films was studied using different surface characterization techniques. In-vitro biocompatibility of these laser micro-structured films coated with iridium oxide thin films was evaluated using cortical neurons isolated from rat embryo brain. Characterization of these laser micro-structured films coated with iridium oxide, by cyclic voltammetry and impedance spectroscopy has revealed a considerable decrease in impedance and increase in charge capacity. A comparison between amorphous and crystalline iridium oxide thin films as electrode materials indicated that amorphous iridium oxide has significantly higher charge capacity and lower impedance making it preferable material for neural stimulation application. Our biocompatibility studies show that neural cells can grow and differentiate successfully on our laser micro-structured films coated with iridium oxide. This indicates that reactively sputtered iridium oxide (SIROF) is biocompatible.

  7. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    DOEpatents

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  8. Trends in the thermodynamic stability of ultrathin supported oxide films

    DOE PAGES

    Plessow, Philipp N.; Bajdich, Michal; Greene, Joshua; ...

    2016-05-05

    The formation of thin oxide films on metal supports is an important phenomenon, especially in the context of strong metal support interaction (SMSI). Computational predictions of the stability of these films are hampered by their structural complexity and a varying lattice mismatch with different supports. In this study, we report a large combination of supports and ultrathin oxide films studied with density functional theory (DFT). Trends in stability are investigated through a descriptor-based analysis. Since the studied films are bound to the support exclusively through metal–metal interaction, the adsorption energy of the oxide-constituting metal atom can be expected to bemore » a reasonable descriptor for the stability of the overlayers. If the same supercell is used for all supports, the overlayers experience different amounts of stress. Using supercells with small lattice mismatch for each system leads to significantly improved scaling relations for the stability of the overlayers. Finally, this approach works well for the studied systems and therefore allows the descriptor-based exploration of the thermodynamic stability of supported thin oxide layers.« less

  9. Engineering the Mechanical Properties of Ultrabarrier Films Grown by Atomic Layer Deposition for the Encapsulation of Printed Electronics

    DOE PAGES

    Bulusu, Anuradha; Singh, Ankit K.; Wang, Cheng-Yin; ...

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion (CTE) mismatch, elastic mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition.more » We present the impact of architecture on the performance of aluminum oxide (Al2O3)/hafnium oxide (HfO2) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50{degree sign}C/85% RH. Inserting a SiNx layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.« less

  10. Copper oxide thin films anchored on glass substrate by sol gel spin coating technique

    NASA Astrophysics Data System (ADS)

    Krishnaprabha, M.; Venu, M. Parvathy; Pattabi, Manjunatha

    2018-05-01

    Owing to the excellent optical, thermal, electrical and photocatalytic properties, copper oxide nanoparticles/films have found applications in optoelectronic devices like solar/photovoltaic cells, lithium ion batteries, gas sensors, catalysts, magnetic storage media etc. Copper oxide is a p-type semiconductor material having a band gap energy varying from 1.2 eV-2.1 eV. Syzygium Samarangense fruit extract was used as reducing agent to synthesize copper oxide nanostructures at room temperature from 10 mM copper sulphate pentahydrate solution. The synthesized nanostructures are deposited onto glass substrate by spin coating followed by annealing the film at 200 °C. Both the copper oxide colloid and films are characterized using UV-Vis spectroscopy, field emission scanning electron microscopy (FESEM) and energy dispersive spectroscopy (EDS) techniques. Presence of 2 peaks at 500 nm and a broad peak centered around 800 nm in the UV-Vis absorbance spectra of copper oxide colloid/films is indicative of the formation of anisotropic copper oxide nanostructures is confirmed by the FESEM images which showed the presence of triangular shaped and rod shaped particles. The rod shaped particles inside island like structures were found in unannealed films whereas the annealed films contained different shaped particles with reduced sizes. The elemental analysis using EDS spectra of copper oxide nanoparticles/films showed the presence of both copper and oxygen. Electrical properties of copper oxide nanoparticles are affected due to quantum size effect. The electrical studies carried out on both unannealed and annealed copper oxide films revealed an increase in resistivity with annealing of the films.

  11. The thermally stimulated discharge of ion-irradiated oxide films

    NASA Astrophysics Data System (ADS)

    Wang, Qiuru; Zeng, Huizhong; Zhang, Wanli

    2018-01-01

    The ion irradiation technique is utilized to modify the surface structure of amorphous insulating oxide films. While introducing defects, a number of surface charges are injected into the films and captured in the traps during ion irradiation. The variation of surface morphology and the enhancement of emission spectrum corresponding to vacancy defects are respectively verified by atomic force microscopy and photoluminescence measurements. The surface charges trapped in the shallow traps are easy to release caused by thermal excitation, and discharge is observed during heating. Based on the thermally stimulated discharge measurements, the trap parameters of oxide films, such as activation energy and relaxation time, are calculated from experimental data.

  12. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizingmore » controllable high-performance stable transistors.« less

  13. Anodic iridium oxide films: An UPS study of emersed electrodes

    NASA Astrophysics Data System (ADS)

    Kötz, E. R.; Neff, H.

    1985-09-01

    Formation of anodic iridium oxide films has been monitored using Ultraviolet Photoemission Spectroscopy (UPS) of the emersed electrodes. The potential dependent valence band spectra clearly show the onset of oxide formation at about 0.6 V versus SCE. The density of states at the Fermi level and the positron of the Fermi level with respect to the maximum of the t 2g band of the oxide indicates a transition from metallic to semiconducting behaviour of the oxide. Protonation of the oxide is associated with increased emission from OH species. A linear correlation between electrode potential and workfunction change is observed for the metal as well as for the oxide. Our results confirm known band theory models and provide a fundamental understanding of the electrochromism of anodic iridium oxide films.

  14. Investigation of physicochemical and tribological properties of transparent oxide semiconducting thin films based on Ti-V oxides

    NASA Astrophysics Data System (ADS)

    Mazur, M.; Sieradzka, K.; Kaczmarek, D.; Domaradzki, J.; Wojcieszak, D.; Domanowski, P.

    2013-08-01

    In this paper investigations of structural and optical properties of nanocrystalline Ti-V oxide thin films are described. The films were deposited onto Corning 7059 glass using a modified reactive magnetron sputtering method. Structural investigations of prepared Ti-V oxides with vanadium addition of 19 at. % revealed amorphous structure, while incorporation of 21 and 23 at. % of vanadium resulted in V2O5 formation with crystallites sizes of 12.7 and 32.4 nm, respectively. All prepared thin films belong to transparent oxide semiconductors due to their high transmission level of ca. 60-75 % in the visible light range, and resistivity in the range of 3.3·102-1.4·105 Ωcm. Additionally, wettability and hardness tests were performed in order to evaluate the usefulness of the films for functional coatings.

  15. Review of anhydrous zirconium-hafnium separation techniques. Information circular/1984

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skaggs, R.L.; Rogers, D.T.; Hunter, D.B.

    1983-12-01

    Sixteen nonaqueous techniques conceived to replace the current aqueous scheme for separating hafnium and zirconium tetrachlorides were reviewed and evaluated by the Bureau of Mines. The methods are divided into two classes: separation by fractional volatilization of the tetrachlorides, which takes advantage of the higher volatility of hafnium tetrachloride; and separation by chemical techniques, based on differences in chemical behavior of the two tetrachlorides. The criteria used to evaluate separation methods were temperature, pressure, separation factor per equilibrium stage, complexity, compatibility with existing technology, and potential for continuous operation. Three processes were selected as being most promising: (1) high-pressure distillation,more » (2) extractive distillation from a molten salt, and (3) preferential reduction of gaseous ZrCl4. Any of the proposed nonaqueous Hf-Zr separation schemes must be supplemented with additional purification to remove trace impurities.« less

  16. Thin-Film Solid Oxide Fuel Cells

    NASA Technical Reports Server (NTRS)

    Chen, Xin; Wu, Nai-Juan; Ignatiev, Alex

    2009-01-01

    The development of thin-film solid oxide fuel cells (TFSOFCs) and a method of fabricating them have progressed to the prototype stage. This can result in the reduction of mass, volume, and the cost of materials for a given power level.

  17. Electrodeposition of Manganese-Nickel Oxide Films on a Graphite Sheet for Electrochemical Capacitor Applications.

    PubMed

    Lee, Hae-Min; Lee, Kangtaek; Kim, Chang-Koo

    2014-01-09

    Manganese-nickel (Mn-Ni) oxide films were electrodeposited on a graphite sheet in a bath consisting of manganese acetate and nickel chloride, and the structural, morphological, and electrochemical properties of these films were investigated. The electrodeposited Mn-Ni oxide films had porous structures covered with nanofibers. The X-ray diffractometer pattern revealed the presence of separate manganese oxide (g-MnO₂) and nickel oxide (NiO) in the films. The electrodeposited Mn-Ni oxide electrode exhibited a specific capacitance of 424 F/g in Na₂SO₄ electrolyte. This electrode maintained 86% of its initial specific capacitance over 2000 cycles of the charge-discharge operation, showing good cycling stability.

  18. Consequence of oxidant concentration on XPS properties of chemically synthesized polythiophene thin films

    NASA Astrophysics Data System (ADS)

    Kamat, Sandip V.; Chhabra, Jasvinder; Patil, V. S.; Yadav, J. B.; Puri, R. K.; Puri, Vijaya

    2018-05-01

    The polythiophene thin films were prepared by a wellknown chemical bath deposition technique. The deposited thin films were characterized for structural morphological properties and the adhesion of these thin films were measured by direct pull off (DPO) method, the effect of oxidant concentration on these thin films also studied. The FTIR spectra of chemically deposited polythiophene thin films shows the absorption peak at 836 cm-1 which represents c-s stretching vibrations, shifts to 869 cm-1 as the oxidant concentration increases. The band at 666 cm-1 representing c-s-c ring deformation becomes sharper and appears with a shoulder peak due to increase in oxidant concentration.

  19. Electrical and structural characterization of IZO (indium oxide-zinc oxide) thin films for device applications

    NASA Astrophysics Data System (ADS)

    Yaglioglu, Burag

    Materials for oxide-based transparent electronics have been recently reported in the literature. These materials include various amorphous and crystalline compounds based on multi-component oxides and many of them offer useful combinations of transparency, controllable carrier concentrations, and reasonable n-carrier mobility. In this thesis, the properties of amorphous and crystalline In2O3-10wt%ZnO, IZO, thin films were investigated for their potential use in oxide electronics. The room temperature deposition of this material using DC magnetron sputtering results in the formation of amorphous films. Annealing amorphous IZO films at 500°C in air produces a previously unknown crystalline compound. Using electron diffraction experiments, it is reported that the crystal structure of this compound is based on the high-pressure rhombohedral phase of In2O3. Electrical properties of different phases of IZO were explored and it was concluded that amorphous films offer most promising characteristics for device applications. Therefore, thin film transistors (TFT) were fabricated based on amorphous IZO films where both the channel and metallization layers were deposited from the same target. The carrier densities in the channel and source-drain layers were adjusted by changing the oxygen content in the sputter chamber during deposition. The resulting transistors operate as depletion mode n-channel field effect devices with high saturation mobilities.

  20. Oxide-based method of making compound semiconductor films and making related electronic devices

    DOEpatents

    Kapur, Vijay K.; Basol, Bulent M.; Leidholm, Craig R.; Roe, Robert A.

    2000-01-01

    A method for forming a compound film includes the steps of preparing a source material, depositing the source material on a base and forming a preparatory film from the source material, heating the preparatory film in a suitable atmosphere to form a precursor film, and providing suitable material to said precursor film to form the compound film. The source material includes oxide-containing particles including Group IB and IIIA elements. The precursor film includes non-oxide Group IB and IIIA elements. The compound film includes a Group IB-IIIA-VIA compound. The oxides may constitute greater than about 95 molar percent of the Group IB elements and greater than about 95 molar percent of the Group IIIA elements in the source material. Similarly, non-oxides may constitute greater than about 95 molar percent of the Group IB elements and greater than about 95 molar percent of the Group IIIA elements in the precursor film. The molar ratio of Group IB to Group IIIA elements in the source material may be greater than about 0.6 and less than about 1.0, or substantially greater that 1.0, in which case this ratio in the compound film may be reduced to greater than about 0.6 and less than about 1.0. The source material may be prepared as an ink from particles in powder form. The oxide-containing particles may include a dopant, as may the compound film. Compound films including a Group IIB-IVA-VA compound may be substituted using appropriate substitutions in the method. The method, also, is applicable to fabrication of solar cells and other electronic devices.

  1. Oxidation stress evolution and relaxation of oxide film/metal substrate system

    NASA Astrophysics Data System (ADS)

    Dong, Xuelin; Feng, Xue; Hwang, Keh-Chih

    2012-07-01

    Stresses in the oxide film/metal substrate system are crucial to the reliability of the system at high temperature. Two models for predicting the stress evolution during isothermal oxidation are proposed. The deformation of the system is depicted by the curvature for single surface oxidation. The creep strain of the oxide and metal, and the lateral growth strain of the oxide are considered. The proposed models are compared with the experimental results in literature, which demonstrates that the elastic model only considering for elastic strain gives an overestimated stress in magnitude, but the creep model is consistent with the experimental data and captures the stress relaxation phenomenon during oxidation. The effects of the parameter for the lateral growth strain rate are also analyzed.

  2. Thermomechanical and Thermochemical Behavior of a Hafnium-20 Percent Tantalum Alloy. Ph.D. Thesis - North Carolina State Univ., Raleigh

    NASA Technical Reports Server (NTRS)

    Howell, J. P.

    1971-01-01

    An investigation was conducted to determine the thermomechanical and thermochemical behavior of a high temperature, oxidation resistant, hafnium-20 percent tantalum alloy. The elastic and shear moduli of this alloy were determined in air up to 1000 C and in vacuum up to 2000 C using a mechanical resonance technique. The internal friction of the alloy was measured up to temperatures greater than 1400 C. Room temperature stress-strain behavior of the oxidized and unoxidized alloy was established. The effect of annealing on the elastic and shear moduli of the extruded rod material was investigated. The martensitic-type phase transformation occurring in the alloy was studied using hot stage metallography and electron microscopy. Static oxidation tests were conducted on the alloy at temperatures from 1000 C to 1700 C with weight gain measurements made as a function of time and temperatures. Surface morphology studies were conducted on the oxide coatings formed at the different temperatures using scanning electron microscopy and X-ray diffraction techniques.

  3. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    NASA Astrophysics Data System (ADS)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  4. [Research of the surface oxide film on anodizing Ni-Cr porcelain alloy].

    PubMed

    Zhu, Song; Sun, Hong-Chen; Zhang, Jing-Wei; Li, Zong-Hui

    2006-08-01

    To study the shape, thickness and oxide percentage of major metal element of oxide film on Ni-Cr porcelain alloy after anodizing pretreatment. 10 samples were made and divided into 2 groups at random. Then after surface pretreatment, the oxide films of two samples of each group were analyzed using electronic scanning microscope. The rest 3 samples were measured by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). Lightly selective solution appeared because the different component parts of the alloy have dissimilar electrode, whose dissolve velocity were quite unlike. The sample's metal surface expanded, so the mechanical interlocking of porcelain and metal increased bond strength. The thickness of oxide film was 1.72 times of the control samples. The oxide percentage of major metal elements such as Cr, Ni and Mo were higher, especially Cr. It initially involved the formation of a thin oxide bound to the alloy and second, the ability of the formed oxide to saturate the porcelain, completing the chemical bond of porcelain to metal. The method of anodizing Ni-Cr porcelain alloy can easily control the forming of oxide film which was thin and its surface pattern was uniform. It is repeated and a good method of surface pretreatment before firing cycle.

  5. Metallic oxide switches using thick film technology

    NASA Technical Reports Server (NTRS)

    Patel, D. N.; Williams, L., Jr.

    1974-01-01

    Metallic oxide thick film switches were processed on alumina substrates using thick film technology. Vanadium pentoxide in powder form was mixed with other oxides e.g., barium, strontium copper and glass frit, ground to a fine powder. Pastes and screen printable inks were made using commercial conductive vehicles and appropriate thinners. Some switching devices were processed by conventional screen printing and firing of the inks and commercial cermet conductor terminals on 96% alumina substrates while others were made by applying small beads or dots of the pastes between platinum wires. Static, and dynamic volt-ampere, and pulse tests indicate that the switching and self-oscillatory characteristics of these devices could make them useful in memory element, oscillator, and automatic control applications.

  6. An Atomic-Scale X-ray View of Functional Oxide Films

    NASA Astrophysics Data System (ADS)

    Tung, I.-Cheng

    Complex oxides are a class of materials that exhibit a wide variety of physical functionalities, such as ferroelectricity, colossal magnetoresistance, mulitferroicity and superconductivity, with outstanding potential for meeting many of our technological demands. The primary objective of this dissertation is to understand the structural and electronic behavior of complex oxide ultrathin films subjected to confinement, lattice misfit and broken symmetry at the interface. In complex oxide ultrathin films, heteroepitaxial synthesis has evolved into a reliable strategy to engineer orbital-lattice interactions in correlated materials and led to new and entirely unexpected phenomena at their interfaces. I experimentally demonstrated that the bulk crystal symmetry directs the atomic and orbital responses adopted by coherently strained ultrathin films of RNiO3 (R = La, Nd) with detailed X-ray scattering, polarization-dependent X-ray absorption spectroscopy (XAS) and supported by a mathematical point group symmetry analysis, found that strain-stabilized phases maintain a ``memory'' of their bulk state. This topic, however, touched only upon the properties of such films. A fundamental challenge in this research area occurs before this and centers around the understanding of how to create high-quality films with arbitrary configurations. A longstanding challenge in the oxide thin film community has been the growth of An+1BnO3 n+1 Ruddlesden-Popper (RP) compounds. To understand this problem, we have utilized a newly constructed oxide MBE with in situ synchrotron X-ray scattering capability to study the initial growth of such layered oxides and track the dynamic evolution. X-ray results are supported by theoretical calculations that demonstrated the layered oxide films dynamically rearrange during growth, leading to structures that are highly unexpected, and suggest a general approach that may be essential for the construction of metastable RP phases with performing the first

  7. Mixed oxide solid solutions

    DOEpatents

    Magno, Scott; Wang, Ruiping; Derouane, Eric

    2003-01-01

    The present invention is a mixed oxide solid solution containing a tetravalent and a pentavalent cation that can be used as a support for a metal combustion catalyst. The invention is furthermore a combustion catalyst containing the mixed oxide solid solution and a method of making the mixed oxide solid solution. The tetravalent cation is zirconium(+4), hafnium(+4) or thorium(+4). In one embodiment, the pentavalent cation is tantalum(+5), niobium(+5) or bismuth(+5). Mixed oxide solid solutions of the present invention exhibit enhanced thermal stability, maintaining relatively high surface areas at high temperatures in the presence of water vapor.

  8. Preparation of bioactive titania films on titanium metal via anodic oxidation.

    PubMed

    Cui, X; Kim, H-M; Kawashita, M; Wang, L; Xiong, T; Kokubo, T; Nakamura, T

    2009-01-01

    To research the crystal structure and surface morphology of anodic films on titanium metal in different electrolytes under various electrochemical conditions and investigate the effect of the crystal structure of the oxide films on apatite-forming ability in simulated body fluid (SBF). Titanium oxide films were prepared using an anodic oxidation method on the surface of titanium metal in four different electrolytes: sulfuric acid, acetic acid, phosphoric acid and sodium sulfate solutions with different voltages for 1 min at room temperature. Anodic films that consisted of rutile and/or anatase phases with porous structures were formed on titanium metal after anodizing in H(2)SO(4) and Na(2)SO(4) electrolytes, while amorphous titania films were produced after anodizing in CH(3)COOH and H(3)PO(4) electrolytes. Titanium metal with the anatase and/or rutile crystal structure films showed excellent apatite-forming ability and produced a compact apatite layer covering all the surface of titanium after soaking in SBF for 7d, but titanium metal with amorphous titania layers was not able to induce apatite formation. The resultant apatite layer formed on titanium metal in SBF could enhance the bonding strength between living tissue and the implant. Anodic oxidation is believed to be an effective method for preparing bioactive titanium metal as an artificial bone substitute even under load-bearing conditions.

  9. Nanotechnology Support for Memristor Nanoelectronics

    DTIC Science & Technology

    2012-03-01

    hafnium oxide; 2) investigation of a conductive atomic force microscopy (cAFM) approach for measuring nanoparticle electrical properties , which was...films; and 4) successful measurement of memristive properties of nanoparticle -loaded insulating films. These results lay the groundwork for follow-on...a cAFM strategy for measuring nanoparticle electrical properties . Our initial approach to measuring nanoparticles was to attempt electrical

  10. Effect of the annealing temperature and ion-beam bombardment on the properties of solution-derived HfYGaO films as liquid crystal alignment layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Hong-Gyu; Lee, Yun-Gun; Jang, Sang Bok

    2015-11-15

    Hafnium yttrium gallium oxide (HfYGaO) films were applied to liquid crystal displays (LCDs) as liquid crystal (LC) alignment layers, replacing conventional polyimide (PI) layers. The HfYGaO alignment layers were prepared by fabricating solution-processed HfYGaO films, annealing them, and treating them with ion-beam (IB) irradiation. The authors studied the effects of annealing temperature and IB irradiation of the solution-derived HfYGaO films on the orientation of LC molecules. The LC molecules on the solution-derived HfYGaO films were homogeneously and uniformly aligned by IB irradiation, irrespective of the annealing temperature. Atomic force microscopy analyses revealed that the surface reformation of the HfYGaO filmsmore » induced by IB irradiation strengthened the van der Waals force between the LC molecules and the HfYGaO films, leading to uniform LC alignment. Enhanced electro-optical characteristics were observed in the twisted-nematic (TN) LCDs based on IB-irradiated HfYGaO films compared with those of TN-LCDs based on PI layers, demonstrating the high application potential of the proposed solution-derived HfYGaO films as LC alignment layers.« less

  11. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  12. Complex oxide thin films for microelectronics

    NASA Astrophysics Data System (ADS)

    Suvorova, Natalya

    The rapid scaling of the device dimensions, namely in metal oxide semiconductor field effect transistor (MOSFET), is reaching its fundamental limit which includes the increase in allowable leakage current due to direct tunneling with decrease of physical thickness of SiO2 gate dielectric. The significantly higher relative dielectric constant (in the range 9--25) of the gate dielectric beyond the 3.9 value of silicon dioxide will allow increasing the physical thickness. Among the choices for the high dielectric constant (K) materials for future generation MOSFET application, barium strontium titanate (BST) and strontium titanate (STO) possess one of the highest attainable K values making them the promising candidates for alternative gate oxide. However, the gate stack engineering does not imply the simple replacement of the SiO2 with the new dielectric. Several requirements should be met for successful integration of a new material. The major one is a production of high level of interface states (Dit) compared to that of SiO 2 on Si. An insertion of a thin SiO2 layer prior the growth of high-K thin film is a simple solution that helps to limit reaction with Si substrate and attains a high quality interface. However, the combination of two thin films reduces the overall K of the dielectric stack. An optimization of the SiO2 underlayer in order to maintain the interface quality yet minimize the effect on K is the focus of this work. The results from our study are presented with emphasis on the key process parameters that improve the dielectric film stack. For in-situ growth characterization of BST and STO films sputter deposited on thermally oxidized Si substrates spectroscopic ellipsometry in combination with time of flight ion scattering and recoil spectrometry have been employed. Studies of material properties have been complemented with analytical electron microscopy. To evaluate the interface quality the electrical characterization has been employed using

  13. Cholesterol photosensitised oxidation of horse meat slices stored under different packaging films.

    PubMed

    Boselli, Emanuele; Rodriguez-Estrada, Maria Teresa; Ferioli, Federico; Caboni, Maria Fiorenza; Lercker, Giovanni

    2010-07-01

    The effect of the type of packaging film (transparent vs. light-protecting red film) was evaluated on the formation of cholesterol oxidation products (COPs) in refrigerated horse meat slices stored in retail conditions under light exposure for 8h. In meat wrapped with a transparent film, COPs increased from 233 (control) to 317 microg/g of fat, whereas the red film delayed cholesterol oxidation and offered protection against COPs formation, since COPs decreased from 173 (control) to 139 microg/g of fat after 8h of light exposure. In addition, light opened the epoxy ring and led to the formation of triol, which was actually absent at T(0.) A proper packaging film may represent a useful strategy to retard oxidative degradation in a light-sensitive, high pigment- and fat-containing food, such as horse meat. Copyright 2010. Published by Elsevier Ltd.

  14. Large and pristine films of reduced graphene oxide

    PubMed Central

    Ahn, Sung Il; Kim, Kukjoo; Jung, Jura; Choi, Kyung Cheol

    2015-01-01

    A new self-assembly concept is introduced to form large and pristine films (15 cm in diameter) of reduced graphene oxide (RGO). The resulting film has different degrees of polarity on its two different sides due to the characteristic nature of the self-assembly process. The RGO film can be easily transferred from a glass substrate onto water and a polymer substrate after injection of water molecules between the RGO film and glass substrate using an electric steamer. The RGO film can also be easily patterned into various shapes with a resolution of around ±10 μm by a simple taping method, which is suitable for mass production of printed electronics at low cost. PMID:26689267

  15. Nanostructured tin oxide films: Physical synthesis, characterization, and gas sensing properties.

    PubMed

    Ingole, S M; Navale, S T; Navale, Y H; Bandgar, D K; Stadler, F J; Mane, R S; Ramgir, N S; Gupta, S K; Aswal, D K; Patil, V B

    2017-05-01

    Nanostructured tin oxide (SnO 2 ) films are synthesized using physical method i.e. thermal evaporation and are further characterized with X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, and atomic force microscopy measurement techniques for confirming its structure and morphology. The chemiresistive properties of SnO 2 films are studied towards different oxidizing and reducing gases where these films have demonstrated considerable selectivity towards oxidizing nitrogen dioxide (NO 2 ) gas with a maximum response of 403% to 100ppm @200°C, and fast response and recovery times of 4s and 210s, respectively, than other test gases. In addition, SnO 2 films are enabling to detect as low as 1ppm NO 2 gas concentration @200°C with 23% response enhancement. Chemiresistive performances of SnO 2 films are carried out in the range of 1-100ppm and reported. Finally, plausible adsorption and desorption reaction mechanism of NO 2 gas molecules with SnO 2 film surface has been thoroughly discussed by means of an impedance spectroscopy analysis. Copyright © 2017 Elsevier Inc. All rights reserved.

  16. Annealing Effects on the Formation of Copper Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Marzuki, Marina; Zamzuri Mohamad Zain, Mohd; Zarul Hisham, Nurazhra; Zainon, Nooraizedfiza; Harun, Azmi; Nani Ahmad, Rozie

    2018-03-01

    This study approached the simple method of developing CuO thin films by thermal oxidation on pure Cu sheets. The effects of annealing temperature on the formation of CuO layers have been investigated. The oxide layers have been fabricated by annealing of Cu sheets for 5 hours at different temperatures of 980 ~ 1010 °C. The morphologies and optical properties of annealed Cu sheets were studied by using SEM and UV-Vis spectrophotometer respectively. It is revealed that the annealing temperature influence the grain growth and the grain size increases as the temperature increase. The highest grain size was observed on sample annealed at 1000 °C with average area per grain size of 0.023 mm2. Theoretically, larger grain size provides less barriers for electron mobility and increase the efficiency of solar devices. The optical absorption spectra of the oxide films was also measured. Interference pattern was noted at wavelength about 900 nm corresponding to the formation of CuO film. The interference noise observed could be due to the coarse surface and the presence of powdery oxide deposits that causes the scattering loses from the surface. CuO film obtained by this method may be further studied and exploited as low cost photovoltaic device.

  17. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  18. Oxidation of the Ru(0001) surface covered by weakly bound, ultrathin silicate films

    DOE PAGES

    Emmez, Emre; Anibal Boscoboinik, J.; Tenney, Samuel; ...

    2015-06-30

    Bilayer silicate films grown on metal substrates are weakly bound to the metal surfaces, which allows ambient gas molecules to intercalate the oxide/metal interface. In this work, we studied the interaction of oxygen with Ru(0001) supported ultrathin silicate and aluminosilicate films at elevated O 2 pressures (10 -5–10 mbar) and temperatures (450–923 K). The results show that the silicate films stay essentially intact under these conditions, and oxygen in the film does not exchange with oxygen in the ambient. O 2 molecules readily penetrate the film and dissociate on the underlying Ru surface underneath. Also, the silicate layer does howevermore » strongly passivate the Ru surface towards RuO 2(110) oxide formation that readily occurs on bare Ru(0001) under the same conditions. Lastly, the results indicate considerable spatial effects for oxidation reactions on metal surfaces in the confined space at the interface. Moreover, the aluminosilicate films completely suppress the Ru oxidation, providing some rationale for using crystalline aluminosilicates in anti-corrosion coatings.« less

  19. Non-hydrolytic metal oxide films for perovskite halide overcoating and stabilization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martinson, Alex B.; Kim, In Soo

    A method of protecting a perovskite halide film from moisture and temperature includes positioning the perovskite halide film in a chamber. The chamber is maintained at a temperature of less than 200 degrees Celsius. An organo-metal compound is inserted into the chamber. A non-hydrolytic oxygen source is subsequently inserted into the chamber. The inserting of the organo-metal compound and subsequent inserting of the non-hydrolytic oxygen source into the chamber is repeated for a predetermined number of cycles. The non-hydrolytic oxygen source and the organo-metal compound interact in the chamber to deposit a non-hydrolytic metal oxide film on perovskite halide film.more » The non-hydrolytic metal oxide film protects the perovskite halide film from relative humidity of greater than 35% and a temperature of greater than 150 degrees Celsius, respectively.« less

  20. Analysis of Zinc Oxide Thin Films Synthesized by Sol-Gel via Spin Coating

    NASA Astrophysics Data System (ADS)

    Wolgamott, Jon Carl

    Transparent conductive oxides are gaining an increasingly important role in optoelectronic devices such as solar cells. Doped zinc oxide is a candidate as a low cost and nontoxic alternative to tin doped indium oxide. Lab results have shown that both n-type and p-type zinc oxide can be created on a small scale. This can allow zinc oxide to be used as either an electrode as well as a buffer layer to increase efficiency and protect the active layer in solar cells. Sol-gel synthesis is emerging as a low temperature, low cost, and resource efficient alternative to producing transparent conducting oxides such as zinc oxide. For sol-gel derived zinc oxide thin films to reach their potential, research in this topic must continue to optimize the known processing parameters and expand to new parameters to tighten control and create novel processing techniques that improve performance. The processing parameters of drying and annealing temperatures as well as cooling rate were analyzed to see their effect on the structure of the prepared zinc oxide thin films. There were also preliminary tests done to modify the sol-gel process to include silver as a dopant to produce a p-type thin film. The results from this work show that the pre- and post- heating temperatures as well as the cooling rate all play their own unique role in the crystallization of the film. Results from silver doping show that more work needs to be done to create a sol-gel derived p-type zinc oxide thin film.

  1. Neutron Detection Utilizing Gadolinium Doped Hafnium Oxide Films

    DTIC Science & Technology

    2008-03-01

    2.2. Charge Carriers ................................................................................................ 2-2 2.3. Dopants and Impurities...the movement of the charge carries can be assumed to be at this drift velocity and in the direction of the electric field. 2.3. Dopants and...present even with the best purification processes. However, a material, or dopant , can be intentionally added to vary the electrical

  2. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  3. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    NASA Astrophysics Data System (ADS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  4. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  5. Preparation of reduced graphene oxide/gelatin composite films with reinforced mechanical strength

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Wenchao; Wang, Zhipeng; School of Chemical Engineering, Tianjin University, Tianjin

    2012-09-15

    Highlights: ► We used and compared different proportion of gelatin and chitosan as reducing agents. ► The mechanical properties of the films are investigated, especially the wet films. ► The cell toxicity of the composite films as biomaterial is carried out. ► The water absorption capabilities of the composite films also studied. -- Abstract: Graphene oxide (GO) was reduced by chitosan/gelatin solution and added to gelatin (Gel) to fabricate reduced graphene oxide/gelatin (RGO/Gel) films by a solvent-casting method using genipin as cross-linking agent. The structure and properties of the films were characterized by scanning electron microscopy (SEM), X-ray powder diffractionmore » (XRD), thermogravimetric analysis (TGA) and UV–vis spectroscopy. The addition of RGO increased the tensile strength of the RGO/Gel films in both dry and wet states, but decreased their elongation at break. The incorperation of RGO also decreased the swelling ability of the films in water. Cell cultures were carried out in order to test the cytotoxicity of the films. The cells grew and reproduced well on the RGO/Gel films, indicating that the addition of RGO has no negative effect on the compatibility of the gelatin. Therefore, the reduced graphene oxide/gelatin composite is a promising biomaterial with excellent mechanical properties and good cell compatibility.« less

  6. Ion induced crystallization and grain growth of hafnium oxide nano-particles in thin-films deposited by radio frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Dhanunjaya, M.; Khan, S. A.; Pathak, A. P.; Avasthi, D. K.; Nageswara Rao, S. V. S.

    2017-12-01

    We report on the swift heavy ion (SHI) irradiation induced crystallization and grain growth of HfO2 nanoparticles (NPs) within the HfO2 thin-films deposited by radio frequency (RF) magnetron sputtering technique. As grown films consisted of amorphous clusters of non-spherical HfO2 NPs. These amorphous clusters are transformed to crystalline grains under 100 MeV Ag ion irradiation. These crystallites are found to be spherical in shape and are well dispersed within the films. The average size of these crystallites is found to increase with fluence. Pristine and irradiated films have been characterized by high resolution transmission electron microscopy (HRTEM), selected area electron diffraction (SAED), grazing incident x-ray diffraction (GIXRD) and photo luminescence (PL) measurements. The PL measurements suggested the existence of different types of oxygen related defects in pristine and irradiated samples. The observed results on crystallization and grain growth under the influence of SHI are explained within the framework of thermal spike model. The results are expected to provide useful information for understanding the electronic excitation induced crystallization of nanoparticles and can lead to useful applications in electronic and photonic devices.

  7. Solid-phase electrochemical reduction of graphene oxide films in alkaline solution

    NASA Astrophysics Data System (ADS)

    Basirun, Wan J.; Sookhakian, Mehran; Baradaran, Saeid; Mahmoudian, Mohammad R.; Ebadi, Mehdi

    2013-09-01

    Graphene oxide (GO) film was evaporated onto graphite and used as an electrode to produce electrochemically reduced graphene oxide (ERGO) films by electrochemical reduction in 6 M KOH solution through voltammetric cycling. Fourier transformed infrared and Raman spectroscopy confirmed the presence of ERGO. Electrochemical impedance spectroscopy characterization of ERGO and GO films in ferrocyanide/ferricyanide redox couple with 0.1 M KCl supporting electrolyte gave results that are in accordance with previous reports. Based on the EIS results, ERGO shows higher capacitance and lower charge transfer resistance compared to GO.

  8. Chemical precursors to non-oxide ceramics: Macro to nanoscale materials

    NASA Astrophysics Data System (ADS)

    Forsthoefel, Kersten M.

    Non-oxide ceramics exhibit a number of important properties that make them ideal for technologically important applications (thermal and chemical stability, high strength and hardness, wear-resistance, light weight, and a range of electronic and optical properties). Unfortunately, traditional methodologies to these types of materials are limited to fairly simple shapes and complex processed forms cannot be attained through these methods. The establishment of the polymeric precursor approach has allowed for the generation of advanced materials, such as refractory non-oxide ceramics, with controlled compositions, under moderate conditions, and in processed forms. The goal of the work described in this dissertation was both to develop new processible precursors to technologically important ceramics and to achieve the formation of advanced materials in processed forms. One aspect of this research exploited previously developed preceramic precursors to boron carbide, boron nitride and silicon carbide for the generation of a wide variety of advanced materials: (1) ultra-high temperature ceramic (UHTC) structural materials composed of hafnium boride and related composite materials, (2) the quaternary borocarbide superconductors, and (3) on the nanoscale, non-oxide ceramic nanotubules. The generation of the UHTC and the quaternary borocarbide materials was achieved through a method that employs a processible polymer/metal(s) dispersion followed by subsequent pyrolyses. In the case of the UHTC, hafnium oxide, hafnium, or hafnium boride powders were dispersed in a suitable precursor to afford hafnium borides or related composite materials (HfB2/HfC, HfB2/HfN, HfB2/SiC) in high yields and purities. The quaternary borocarbide superconducting materials were produced from pyrolyses of dispersions containing appropriate stoichiometric amounts of transition metal, lanthanide metal, and the polyhexenyldecaborane polymer. Both chemical vapor deposition (CVD) based routes employing a

  9. Bilayered Oxide thin films for transparent electrode application

    NASA Astrophysics Data System (ADS)

    Dutta, Titas; Narayan, Jagdish

    2008-10-01

    Ga doped ZnO films with electrical and optical properties comparable to indium tin oxide (ITO) is a promising candidate for transparent conducting oxides (TCOs) because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function, which is a critical parameter for device applications. We report here the growth of a novel bilayered structure consisting of very thin (few monolayers) ITO, MoOx layer on Zn0.95Ga0.05O film for transparent electrode applications by using pulsed laser deposition technique at different temperatures and oxygen partial pressure. The characteristics of the ITO film and the heterostructure have been investigated in detail using XRD, TEM, XPS, and electrical and optical property measurements. It is envisaged that the overall transmittance and the resistivity are dictated by the thicker layer of ZnGa0.05O beneath the ITO layer. Hence, this study is aimed to improve the surface characteristics without affecting the overall transmittance and sheet resistance. This will enhance the transport of the carriers across the heterojunction in the device, thus, resulting in the increase in device efficiency.

  10. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  11. Quantitative analysis of oxygen content in copper oxide films using ultra microbalance

    NASA Astrophysics Data System (ADS)

    Shu, Yonghua; Wang, Lianhong; Liu, Chong; Fan, Jing

    2014-12-01

    Copper oxide films were prepared on quartz substrates through electron beam physical vapor deposition in a vacuum chamber, and the films were observed using X-ray diffraction (XRD) and scanning electron microscope (SEM). The oxygen content of the films were analyzed using an ultra microbalance. Results indicated that when the substrate was heated to 600°C and the oxygen flow rate was 5 sccm, the film was composed of 47% Cu and 53% Cu2O (mass percent), and the oxidation ratio of copper was 25%. After the deposition process at the same condition, i.e. the substrate at temperature of 600°C and blowed by oxygen flowrate of 5 sccm, then in-stu annealed at 600°C in low oxygen pressure of 10 Pa for 30 minutes, the film composition became 22% Cu2O and 78% CuO (mass percent), and the oxidation ratio of copper greatly increased to about 88%.

  12. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  13. Photocatalytic oxidation of organic compounds via waveguide-supported titanium dioxide films

    NASA Astrophysics Data System (ADS)

    Miller, Lawrence W.

    A photochemical reactor based on titanium dioxide (TiO2)-coated silica optical fibers was constructed to explore the use of waveguide-supported TiO2 films for photocatalytic oxidation of organic compounds. The reactor was used for the photocatalytic oxidation of 4-chlorophenol in water. It was confirmed that TiO2 films could be securely attached to silica optical fibers. The 4-chlorophenol (100 mumol/L in water) was successfully oxidized on the TiO2 surface when UV light (310 nm--380 nm) was propagated through the fibers to the films. Rates of 4-chlorophenol oxidation and UV light flux to the fibers were measured. The quantum efficiency of 4-chlorophenol oxidation [defined as the change in 4-chlorophenol concentration divided by the UV light absorbed by the catalyst] was determined as a function of TiO2 catalyst film thickness and internal incident angle of propagating UV light. A maximum quantum efficiency of 2.8% was measured when TiO2 film thickness was ca. 80 nm and the maximum internal incident angle of propagating light was 84°. Quantum efficiency increased with increasing internal angle of incidence of propagating light and decreased with TiO2 film thickness. UV-Visible internal reflection spectroscopy was used to determine whether UV light propagated through TiO2-coated silica waveguides in an ATR mode. Propagation of UV light in an ATR mode was confirmed by the similarities between internal reflection spectra of phenolphthalein obtained with uncoated and TiO2-coated silica crystals. Planar silica waveguides coated with TiO2 were employed in a photocatalytic reactor for the oxidation of formic acid (833 mumol/L in water). It was shown that the quantum yield of formic acid oxidation [defined as the moles of formic acid oxidized divided by the moles of UV photons absorbed by the catalyst] on the waveguide-supported TiO2 surface is enhanced when UV light propagates through the waveguides in an ATR mode. A maximum quantum yield of 3.9% was found for formic

  14. Work Function of Oxide Ultrathin Films on the Ag(100) Surface.

    PubMed

    Sementa, Luca; Barcaro, Giovanni; Negreiros, Fabio R; Thomas, Iorwerth O; Netzer, Falko P; Ferrari, Anna Maria; Fortunelli, Alessandro

    2012-02-14

    Theoretical calculations of the work function of monolayer (ML) and bilayer (BL) oxide films on the Ag(100) surface are reported and analyzed as a function of the nature of the oxide for first-row transition metals. The contributions due to charge compression, charge transfer and rumpling are singled out. It is found that the presence of empty d-orbitals in the oxide metal can entail a charge flow from the Ag(100) surface to the oxide film which counteracts the decrease in the work function due to charge compression. This flow can also depend on the thickness of the film and be reduced in passing from ML to BL systems. A regular trend is observed along first-row transition metals, exhibiting a maximum for CuO, in which the charge flow to the oxide is so strong as to reverse the direction of rumpling. A simple protocol to estimate separately the contribution due to charge compression is discussed, and the difference between the work function of the bare metal surface and a Pauling-like electronegativity of the free oxide slabs is used as a descriptor quantity to predict the direction of charge transfer.

  15. Mechanical Properties of Oxide Films on Electrolytic In-process Dressing (ELID) Copper-based Grinding Wheel

    NASA Astrophysics Data System (ADS)

    Kuai, J. C.; Wang, J. W.; Jiang, C. R.; Zhang, H. L.; Yang, Z. B.

    2018-05-01

    The mechanical properties of oxide films on copper based grinding wheel were studied by nanoindentation technique. The analysis of load displacement shows that the creep phenomenon occurs during the loading stage. Results show that the oxide film and the matrix have different characteristics, and the rigidity of the copper based grinding wheel is 0.6-1.3mN/nm, which is weaker than that of the matrix; the hardness of the oxide film is 2000-2300MPa, which is higher than the matrix; and the elastic modulus of the oxide film is 100-120GPa, also higher than the matrix.

  16. Methodology for the effective stabilization of tin-oxide-based oxidation/reduction catalysts

    NASA Technical Reports Server (NTRS)

    Jordan, Jeffrey D. (Inventor); Schryer, David R. (Inventor); Leighty, Bradley D. (Inventor); Watkins, Anthony N. (Inventor); Summers, Jerry C. (Inventor); Davis, Patricia P. (Inventor); Oglesby, Donald M. (Inventor); Schryer, Jacqueline L. (Inventor); Gulati, Suresh T. (Inventor)

    2011-01-01

    The invention described herein involves a novel approach to the production of oxidation/reduction catalytic systems. The present invention serves to stabilize the tin oxide reducible metal-oxide coating by co-incorporating at least another metal-oxide species, such as zirconium. In one embodiment, a third metal-oxide species is incorporated, selected from the group consisting of cerium, lanthanum, hafnium, and ruthenium. The incorporation of the additional metal oxide components serves to stabilize the active tin-oxide layer in the catalytic process during high-temperature operation in a reducing environment (e.g., automobile exhaust). Moreover, the additional metal oxides are active components due to their oxygen-retention capabilities. Together, these features provide a mechanism to extend the range of operation of the tin-oxide-based catalyst system for automotive applications, while maintaining the existing advantages.

  17. Topological properties and functionalities in oxide thin films and interfaces

    NASA Astrophysics Data System (ADS)

    Uchida, Masaki; Kawasaki, Masashi

    2018-04-01

    As symbolized by the Nobel Prize in Physics 2016, ‘topology’ has been recognized as an essential standpoint to understand and control the physics of condensed matter. This concept may be spreading even into application areas such as novel electronics. In this trend, there has been reported a number of studies for oxide films and heterostructures with topologically non-trivial electronic or magnetic states. In this review, we overview the trends of new topological properties and functionalities in oxide materials by sorting out a number of examples. The technological advances in oxide film growth achieved over the last few decades are now opening the door for harnessing novel topological properties.

  18. Altering properties of cerium oxide thin films by Rh doping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ševčíková, Klára, E-mail: klarak.sevcikova@seznam.cz; NIMS Beamline Station at SPring-8, National Institute for Materials Science, Sayo, Hyogo 679-5148; Nehasil, Václav, E-mail: nehasil@mbox.troja.mff.cuni.cz

    2015-07-15

    Highlights: • Thin films of ceria doped by rhodium deposited by RF magnetron sputtering. • Concentration of rhodium has great impact on properties of Rh–CeO{sub x} thin films. • Intensive oxygen migration in films with low concentration of rhodium. • Oxygen migration suppressed in films with high amount of Rh dopants. - Abstract: Ceria containing highly dispersed ions of rhodium is a promising material for catalytic applications. The Rh–CeO{sub x} thin films with different concentrations of rhodium were deposited by RF magnetron sputtering and were studied by soft and hard X-ray photoelectron spectroscopies, Temperature programmed reaction and X-ray powder diffractionmore » techniques. The sputtered films consist of rhodium–cerium mixed oxide where cerium exhibits a mixed valency of Ce{sup 4+} and Ce{sup 3+} and rhodium occurs in two oxidation states, Rh{sup 3+} and Rh{sup n+}. We show that the concentration of rhodium has a great influence on the chemical composition, structure and reducibility of the Rh–CeO{sub x} thin films. The films with low concentrations of rhodium are polycrystalline, while the films with higher amount of Rh dopants are amorphous. The morphology of the films strongly influences the mobility of oxygen in the material. Therefore, varying the concentration of rhodium in Rh–CeO{sub x} thin films leads to preparing materials with different properties.« less

  19. A room temperature method for the formation of ultrathin silicon oxide films

    NASA Astrophysics Data System (ADS)

    Muisener, Richard John

    Growing interest surrounds the use of thin films to impart unique surface properties without adversely affecting those of the bulk. One such example is the formation of a stable high-energy silicon oxide surface on polymers. Thin silicon oxide films have been used to tailor the surface properties of many materials. Conventional methods for SiOx film fabrication such as chemical vapor deposition require either high temperature or expensive vacuum chambers. This research focuses on the intrinsically inexpensive process of UV-ozone to form ultrathin SiOx films from polysiloxane precursors at room temperature and atmospheric pressure. Chemical evidence suggests a complete conversion from organic polymer to inorganic ceramic. Through XPS, the UV-ozone treatment oxidizes over 95% of the silicone's organic side groups with a resulting stoichiometry Of Si 1O2.2C0.08. The silicon oxidation state changes from 2+ in poly(dimethylsiloxane) to 93% 4+ corresponding to SiO2. IR studies show a total loss of methyl bands and the growth of a new Si-O band centered at 1225 cm-1. Gas phase reaction products suggest a radical driven process. The physical properties also suggest a complete conversion to SiO x. Excellent control of film thickness, as low as 2 nm, has been demonstrated by variable angle spectroscopic ellipsometry. The ellipsometrically determined thickness loss of 55% during treatment corresponds to an SiOx film density of 1.9 g/cm3. The continuity of the film is demonstrated by electrical properties and a very low water contact angle consistent with SiOx. The later property ensures that the SiOx films are anti-fogging in nature. Unique hydrophilic-hydrophobic structures were formed through photo-patterning. The reaction has been successfully modeled as self-limiting based on the diffusion of ozone. The chief reactant, atomic oxygen, is generated by the photochemical dissociation of ozone and quickly generates radical species within the polymer film. The reaction proceeds

  20. Growth and characterization of single phase Cu{sub 2}O by thermal oxidation of thin copper films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, Sumita; Sarma, J. V. N.; Gangopadhyay, Subhashis, E-mail: subhagan@yahoo.com

    2016-04-13

    We report a simple and efficient technique to form high quality single phase cuprous oxide films on glass substrate using thermal evaporation of thin copper films followed by controlled thermal oxidation in air ambient. Crystallographic analysis and oxide phase determination, as well as grain size distribution have been studied using X-ray diffraction (XRD) method, while scanning electron microscopy (SEM) has been utilized to investigate the surface morphology of the as grown oxide films. The formation of various copper oxide phases is found to be highly sensitive to the oxidation temperature and a crystalline, single phase cuprous oxide film can bemore » achieved for oxidation temperatures between 250°C to 320°C. Cu{sub 2}O film surface appeared in a faceted morphology in SEM imaging and a direct band gap of about 2.1 eV has been observed in UV-visible spectroscopy. X-ray photoelectron spectroscopy (XPS) confirmed a single oxide phase formation. Finally, a growth mechanism of the oxide film has also been discussed.« less

  1. Effect of Zinc Oxide Film Deposition Position on the Characteristics of Zinc Oxide Thin Film Transistors Fabricated by Low-Temperature Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Takechi, Kazushige; Nakata, Mitsuru; Eguchi, Toshimasa; Otsuki, Shigeyoshi; Yamaguchi, Hirotaka; Kaneko, Setsuo

    2008-09-01

    We report on the effect of zinc oxide (ZnO) film deposition position on the characteristics of ZnO thin-film transistors (TFTs) fabricated by magnetron sputtering with no intentional heating of the substrate. We evaluate the properties of ZnO (channel semiconductor) films deposited at various positions with respect to the target position. We show that the film deposition at a position off-centered from the target results in good TFT characteristics. This might be due to the fact that the off-centered deposition position is effective for suppressing the effect of energetic negative ions in the plasma.

  2. Method for producing high quality oxide films on substrates

    DOEpatents

    Ruckman, Mark W.; Strongin, Myron; Gao, Yong L.

    1993-01-01

    A method for providing an oxide film of a material on the surface of a substrate using a reactive deposition of the material onto the substrate surface in the presence of a solid or liquid layer of an oxidizing gas. The oxidizing gas is provided on the substrate surface in an amount sufficient to dissipate the latent heat of condensation occurring during deposition as well as creating a favorable oxidizing environment for the material.

  3. Materials properties of hafnium and zirconium silicates: Metal interdiffusion and dopant penetration studies

    NASA Astrophysics Data System (ADS)

    Quevedo Lopez, Manuel Angel

    Hafnium and Zirconium based gate dielectrics are considered potential candidates to replace SiO2 or SiON as the gate dielectric in CMOS processing. Furthermore, the addition of nitrogen into this pseudo-binary alloy has been shown to improve their thermal stability, electrical properties, and reduce dopant penetration. Because CMOS processing requires high temperature anneals (up to 1050°C), it is important to understand the diffusion properties of any metal associated with the gate dielectric in silicon at these temperatures. In addition, dopant penetration from the doped polysilicon gate into the Si channel at these temperatures must also be studied. Impurity outdiffusion (Hf, Zr) from the dielectric, or dopant (B, As, P) penetration through the dielectric into the channel region would likely result in deleterious effects upon the carrier mobility. In this dissertation extensive thermal stability studies of alternate gate dielectric candidates ZrSixOy and HfSixO y are presented. Dopant penetration studies from doped-polysilicon through HfSixOy and HfSixOyNz are also presented. Rutherford Backscattering Spectroscopy (RBS), Heavy Ion RBS (HI-RBS), X-ray Photoelectron Spectroscopy (XPS), High Resolution Transmission Electron Microscopy (HR-TEM), and Time of Flight and Dynamic Secondary Ion Mass Spectroscopy (ToF-SIMS, D-SIMS) methods were used to characterize these materials. The dopant diffusivity is calculated by modeling of the dopant profiles in the Si substrate. In this disseration is reported that Hf silicate films are more stable than Zr silicate films, from the metal interdiffusion point of view. On the other hand, dopant (B, As, and P) penetration is observed for HfSixO y films. However, the addition of nitrogen to the Hf - Si - O systems improves the dopant penetration properties of the resulting HfSi xOyNz films.

  4. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  5. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  6. Oxide nucleation on thin films of copper during in situ oxidation in an electron microscope

    NASA Technical Reports Server (NTRS)

    Heinemann, K.; Rao, D. B.; Douglass, D. L.

    1975-01-01

    Single-crystal copper thin films were oxidized at an isothermal temperature of 425 C and at an oxygen partial pressure of 0.005 torr. Specimens were prepared by epitaxial vapor deposition onto polished faces of rocksalt and were mounted in a hot stage inside the ultrahigh-vacuum chamber of a high-resolution electron microscope. An induction period of roughly 30 min was established which was independent of the film thickness but depended strongly on the oxygen partial pressure and to exposure to oxygen prior to oxidation. Neither stacking faults nor dislocations were found to be associated with the Cu2O nucleation sites. The experimental data, including results from oxygen dissolution experiments and from repetitive oxidation-reduction-oxidation sequences, fit well into the framework of an oxidation process involving the formation of a surface charge layer, oxygen saturation of the metal with formation of a supersaturated zone near the surface, and nucleation followed by surface diffusion of oxygen and bulk diffusion of copper for lateral and vertical oxide growth, respectively.

  7. Bulk and Thin Film Synthesis of Compositionally Variant Entropy-stabilized Oxides.

    PubMed

    Sivakumar, Sai; Zwier, Elizabeth; Meisenheimer, Peter Benjamin; Heron, John T

    2018-05-29

    Here, we present a procedure for the synthesis of bulk and thin film multicomponent (Mg0.25(1-x)CoxNi0.25(1-x)Cu0.25(1-x)Zn0.25(1-x))O (Co variant) and (Mg0.25(1-x)Co0.25(1-x)Ni0.25(1-x)CuxZn0.25(1-x))O (Cu variant) entropy-stabilized oxides. Phase pure and chemically homogeneous (Mg0.25(1-x)CoxNi0.25(1-x)Cu0.25(1-x)Zn0.25(1-x))O (x = 0.20, 0.27, 0.33) and (Mg0.25(1-x)Co0.25(1-x)Ni0.25(1-x)CuxZn0.25(1-x))O (x = 0.11, 0.27) ceramic pellets are synthesized and used in the deposition of ultra-high quality, phase pure, single crystalline thin films of the target stoichiometry. A detailed methodology for the deposition of smooth, chemically homogeneous, entropy-stabilized oxide thin films by pulsed laser deposition on (001)-oriented MgO substrates is described. The phase and crystallinity of bulk and thin film materials are confirmed using X-ray diffraction. Composition and chemical homogeneity are confirmed by X-ray photoelectron spectroscopy and energy dispersive X-ray spectroscopy. The surface topography of thin films is measured with scanning probe microscopy. The synthesis of high quality, single crystalline, entropy-stabilized oxide thin films enables the study of interface, size, strain, and disorder effects on the properties in this new class of highly disordered oxide materials.

  8. Structural and morphological properties of mesoporous carbon coated molybdenum oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dayal, Saurabh, E-mail: saurabhdayal153@gmail.com; Kumar, C. Sasi, E-mail: csasimv@gmail.com

    2016-05-06

    In the present study, we report the structural and morphological properties of mesoporous carbon coated molybdenum oxide films. The deposition of films was carried out in a two-step process, the first step involves deposition of molybdenum and carbon bilayer thin films using DC magnetron sputtering. In the second step the sample was ex-situ annealed in a muffle furnace at different temperatures (400°C to 600°C) and air cooled in the ambient atmosphere. The formation of the meso-porous carbon clusters on molybdenum oxide during the cooling step was investigated using FESEM and AFM techniques. The structural details were explored using XRD. Themore » meso-porous carbon were found growing over molybdenum oxide layer as a result of segregation phenomena.« less

  9. Measurements of the optical properties of thin films of silver and silver oxide

    NASA Technical Reports Server (NTRS)

    Peters, Palmer N.; Sisk, Robert C.; Brown, Yolanda; Gregory, John C.; Nag, Pallob K.; Christl, Ligia

    1995-01-01

    The optical properties of silver films and their oxides are measured to better characterize such films for use as sensors for atomic oxygen. Good agreement between properties of measured pure silver films and reported optical constants is observed. Similar comparisons for silver oxide have not been possible because of a lack of reported constants, but self-consistencies and discrepancies in our measured results are described.

  10. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films.

    PubMed

    Sun, Ke; Saadi, Fadl H; Lichterman, Michael F; Hale, William G; Wang, Hsin-Ping; Zhou, Xinghao; Plymale, Noah T; Omelchenko, Stefan T; He, Jr-Hau; Papadantonakis, Kimberly M; Brunschwig, Bruce S; Lewis, Nathan S

    2015-03-24

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g).

  11. Impedance spectroscopy of the oxide films formed during high temperature oxidation of a cobalt-plated ferritic alloy

    NASA Astrophysics Data System (ADS)

    Velraj, S.; Zhu, J. H.; Painter, A. S.; Du, S. W.; Li, Y. T.

    2014-02-01

    Impedance spectroscopy was used to evaluate the oxide films formed on cobalt-coated Crofer 22 APU ferritic stainless steel after thermal oxidation at 800 °C in air for different times (i.e. 2, 50, 100 and 500 h). Impedance spectra of the oxide films exhibited two or three semicircles depending on the oxidation time, which correspond to the presence of two or three individual oxide layers. Coupled with scanning electron microscopy/energy-dispersive spectroscopy (SEM/EDS) and X-ray diffraction (XRD), the individual oxide layer corresponding to each semicircle was determined unambiguously. Impedance spectrum analysis of the oxide films formed on the sample after thermal exposure at 800 °C in air for 2 h led to the identification of the low-frequency and high-frequency semicircles as being from Cr2O3 and Co3O4, respectively. SEM/EDS and XRD analysis of the 500-h sample clearly revealed the presence of three oxide layers, analyzed to be Co3-xCrxO4, CoCr2O4, and Cr2O3. Although the SEM images of the 50-h and 100-h samples did not clearly show the CoCr2O4 layer, impedance plots implied their presence. The oxide scales were assigned to their respective semicircles and the electrical properties of Co3-xCrxO4, CoCr2O4 and Cr2O3 were determined from the impedance data.

  12. Performance and stress analysis of metal oxide films for CMOS-integrated gas sensors.

    PubMed

    Filipovic, Lado; Selberherr, Siegfried

    2015-03-25

    The integration of gas sensor components into smart phones, tablets and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held or wearable devices. Metal oxide gas sensors rely on changes in their electrical conductance due to the interaction of the oxide with a surrounding gas. These sensors have been extensively studied in the hopes that they will provide full gas sensing functionality with CMOS integrability. The performance of several metal oxide materials, such as tin oxide (SnO2), zinc oxide (ZnO), indium oxide (In2O3) and indium-tin-oxide (ITO), are studied for the detection of various harmful or toxic cases. Due to the need for these films to be heated to temperatures between 250°C and 550°C during operation in order to increase their sensing functionality, a considerable degradation of the film can result. The stress generation during thin film deposition and the thermo-mechanical stress that arises during post-deposition cooling is analyzed through simulations. A tin oxide thin film is deposited using the efficient and economical spray pyrolysis technique, which involves three steps: the atomization of the precursor solution, the transport of the aerosol droplets towards the wafer and the decomposition of the precursor at or near the substrate resulting in film growth. The details of this technique and a simulation methodology are presented. The dependence of the deposition technique on the sensor performance is also discussed.

  13. Performance and Stress Analysis of Metal Oxide Films for CMOS-Integrated Gas Sensors

    PubMed Central

    Filipovic, Lado; Selberherr, Siegfried

    2015-01-01

    The integration of gas sensor components into smart phones, tablets and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held or wearable devices. Metal oxide gas sensors rely on changes in their electrical conductance due to the interaction of the oxide with a surrounding gas. These sensors have been extensively studied in the hopes that they will provide full gas sensing functionality with CMOS integrability. The performance of several metal oxide materials, such as tin oxide (SnO2), zinc oxide (ZnO), indium oxide (In2O3) and indium-tin-oxide (ITO), are studied for the detection of various harmful or toxic cases. Due to the need for these films to be heated to temperatures between 250 °C and 550 °C during operation in order to increase their sensing functionality, a considerable degradation of the film can result. The stress generation during thin film deposition and the thermo-mechanical stress that arises during post-deposition cooling is analyzed through simulations. A tin oxide thin film is deposited using the efficient and economical spray pyrolysis technique, which involves three steps: the atomization of the precursor solution, the transport of the aerosol droplets towards the wafer and the decomposition of the precursor at or near the substrate resulting in film growth. The details of this technique and a simulation methodology are presented. The dependence of the deposition technique on the sensor performance is also discussed. PMID:25815445

  14. Effect of surface oxide films on the properties of pulse electric-current sintered metal powders

    NASA Astrophysics Data System (ADS)

    Xie, Guoqiang; Ohashi, Osamu; Yamaguchi, Norio; Wang, Airu

    2003-11-01

    Metallic powders with various thermodynamic stability oxide films (Ag, Cu, and Al powders) were sintered using a pulse electric-current sintering (PECS) process. Behavior of oxide films at powder surfaces and their effect on the sintering properties were investigated. The results showed that the sintering properties of metallic powders in the PECS process were subject to the thermodynamic stability of oxide films at particles surfaces. The oxide films at Ag powder surfaces are decomposed during sintering with the contact region between the particles being metal/metal bond. The oxide films at Cu powder surfaces are mainly broken via loading pressure at a low sintering temperature. At a high sintering temperature, they are mainly dissolved in the parent metal, and the contact regions turn into the direct metal/metal bonding. Excellent sintering properties can be received. The oxide films at Al powder surfaces are very stable, and cannot be decomposed and dissolved, but broken by plastic deformation of particles under loading pressure at experimental temperatures. The interface between particles is partially bonded via the direct metal/metal bonding making it difficult to achieve good sintered properties.

  15. Article having an improved platinum-aluminum-hafnium protective coating

    NASA Technical Reports Server (NTRS)

    Nagaraj, Bangalore Aswatha (Inventor); Williams, Jeffrey Lawrence (Inventor)

    2005-01-01

    An article protected by a protective coating has a substrate and a protective coating having an outer layer deposited upon the substrate surface and a diffusion zone formed by interdiffusion of the outer layer and the substrate. The protective coating includes platinum, aluminum, no more than about 2 weight percent hafnium, and substantially no silicon. The outer layer is substantially a single phase.

  16. Method for producing high quality oxide films on substrates

    DOEpatents

    Ruckman, M.W.; Strongin, M.; Gao, Y.L.

    1993-11-23

    A method is described for providing an oxide film of a material on the surface of a substrate using a reactive deposition of the material onto the substrate surface in the presence of a solid or liquid layer of an oxidizing gas. The oxidizing gas is provided on the substrate surface in an amount sufficient to dissipate the latent heat of condensation occurring during deposition as well as creating a favorable oxidizing environment for the material. 4 figures.

  17. Electrical properties of zinc-oxide-based thin-film transistors using strontium-oxide-doped semiconductors

    NASA Astrophysics Data System (ADS)

    Wu, Shao-Hang; Zhang, Nan; Hu, Yong-Sheng; Chen, Hong; Jiang, Da-Peng; Liu, Xing-Yuan

    2015-10-01

    Strontium-zinc-oxide (SrZnO) films forming the semiconductor layers of thin-film transistors (TFTs) are deposited by using ion-assisted electron beam evaporation. Using strontium-oxide-doped semiconductors, the off-state current can be dramatically reduced by three orders of magnitude. This dramatic improvement is attributed to the incorporation of strontium, which suppresses carrier generation, thereby improving the TFT. Additionally, the presence of strontium inhibits the formation of zinc oxide (ZnO) with the hexagonal wurtzite phase and permits the formation of an unusual phase of ZnO, thus significantly changing the surface morphology of ZnO and effectively reducing the trap density of the channel. Project supported by the National Natural Science Foundation of China (Grant No. 6140031454) and the Innovation Program of Chinese Academy of Sciences and State Key Laboratory of Luminescence and Applications.

  18. Corrosion resistant surface for vanadium nitride and hafnium nitride layers as function of grain size

    NASA Astrophysics Data System (ADS)

    Escobar, C. A.; Caicedo, J. C.; Aperador, W.

    2014-01-01

    In this research it was studied vanadium nitride (VN) and hafnium nitride (HfN) film, which were deposited onto silicon (Si (100)) and AISI 4140 steel substrates via r.f. magnetron sputtering technique in Ar/N2 atmosphere with purity at 99.99% for both V and Hf metallic targets. Both films were approximately 1.2±0.1 μm thick. The crystallography structures that were evaluated via X-ray diffraction analysis (XRD) showed preferential orientations in the Bragg planes VN (200) and HfN (111). The chemical compositions for both films were characterized by EDX. Atomic Force Microscopy (AFM) was used to study the morphology; the results reveal grain sizes of 78±2 nm for VN and 58±2 nm for HfN and roughness values of 4.2±0.1 nm for VN and 1.5±0.1 nm for HfN films. The electrochemical performance in VN and HfN films deposited onto steel 4140 were studied by Tafel polarization curves and impedance spectroscopy methods (EIS) under contact with sodium chloride at 3.5 wt% solution, therefore, it was found that the corrosion rate decreased about 95% in VN and 99% for HfN films in relation to uncoated 4140 steel, thus demonstrating, the protecting effect of VN and HfN films under a corrosive environment as function of morphological characteristics (grain size). VN(grain size)=78±2.0 nm, VN(roughness)=4.2±0.1 nm, VN(corrosion rate)=40.87 μmy. HfN(grain size)=58±2.0 nm, HfN(roughness)=1.5±0.1 nm, HfN(corrosion rate)=0.205 μmy. It was possible to analyze that films with larger grain size, can be observed smaller grain boundary thus generating a higher corrosion rate, therefore, in this work it was found that the HfN layer has better corrosion resistance (low corrosion rate) in relation to VN film which presents a larger grain size, indicating that the low grain boundary in (VN films) does not restrict movement of the Cl- ion and in this way the corrosion rate increases dramatically.

  19. In situ oxidation studies on /001/ copper-nickel alloy thin films

    NASA Technical Reports Server (NTRS)

    Heinemann, K.; Rao, D. B.; Douglass, D. L.

    1977-01-01

    High-resolution transmission electron microscopy studies are reported of (001)-oriented single crystalline thin films of Cu-3%Ni, Cu-4.6%Ni, and Cu-50%Ni alloy which were prepared by vapor deposition onto (001) NaCl substrates and subsequently annealed at around 1100 K and oxidized at 725 K at low oxygen partial pressure. At all alloy concentrations, Cu2O and NiO nucleated and grew independently without the formation of mixed oxides. The shape and growth rates of Cu2O nuclei were similar to rates found earlier. For low-nickel alloy concentrations, the NiO nuclei were larger and the number density of NiO was less than that of Cu-50%Ni films for which the shape and growth rates of NiO were identical to those for pure nickel films. Phenomena involving a reduced induction period, surface precipitation, and through-thickness growth are also described. The results are consistent with previously established oxidation mechanisms for pure copper and pure nickel films.

  20. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films

    DOE PAGES

    Sun, Ke; Saadi, Fadl H.; Lichterman, Michael F.; ...

    2015-03-11

    Reactively sputtered nickel oxide (NiO x) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O 2(g). These NiO x coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Finally, under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiO x films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of watermore » to O 2(g).« less

  1. Amorphous nickel incorporated tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jianwen; Ren, Jinhua; Lin, Dong; Han, Yanbing; Qu, Mingyue; Pi, Shubin; Fu, Ruofan; Zhang, Qun

    2017-09-01

    Nickel as a dopant has been proposed to suppress excess carrier concentration in n-type tin oxide based thin film transistors (TFTs). The influences of Ni content on nickel doped tin oxide (TNO) thin films and their corresponding TFTs were investigated with experimental results showing that the TNO thin films are amorphous. Through the comparison of the transfer characteristic curves of the TNO TFTs with different Ni contents, it was observed that Ni doping is useful to improve the performance of SnO2-based TFTs by suppressing the off-state current and shifting the threshold voltage to 0 V. The amorphous TNO TFT with 3.3 at.% Ni content shows optimum performance, with field effect mobility of 8.4 cm2 V-1 s-1, saturation mobility of 6.8 cm2 V-1 s-1, subthreshold swing value of 0.8 V/decade, and an on-off current ratio of 2.1  ×  107. Nevertheless, the bias stress stability of SnO2-based TFTs deteriorate.

  2. Magnetic Transparent Conducting Oxide Film And Method Of Making

    DOEpatents

    Windisch, Jr., Charles F.; Exarhos, Gregory J.; Sharma, Shiv K.

    2006-03-14

    Cobalt-nickel oxide films of nominal 100 nm thickness, and resistivity as low as 0.06 O·cm have been deposited by spin-casting from both aqueous and organic precursor solutions followed by annealing at 450° C. in air. An increase in film resistivity was found upon substitution of other cations (e.g., Zn2+, Al3+) for Ni in the spinel structure. However, some improvement in the mechanical properties of the films resulted. On the other hand, addition of small amounts of Li decreased the resistivity. A combination of XRD, XPS, UV/Vis and Raman spectroscopy indicated that NiCo2O4 is the primary conducting component and that the conductivity reaches a maximum at this stoichiometry. When x<0.67, NiO forms leading to an increase in resistivity; when x>0.67, the oxide was all spinel but the increased Co content lowered the conductivity.

  3. Reversible phase transition in vanadium oxide films sputtered on metal substrates

    NASA Astrophysics Data System (ADS)

    Palai, Debajyoti; Carmel Mary Esther, A.; Porwal, Deeksha; Pradeepkumar, Maurya Sandeep; Raghavendra Kumar, D.; Bera, Parthasarathi; Sridhara, N.; Dey, Arjun

    2016-11-01

    Vanadium oxide films, deposited on aluminium (Al), titanium (Ti) and tantalum (Ta) metal substrates by pulsed RF magnetron sputtering at a working pressure of 1.5 x10-2 mbar at room temperature are found to display mixed crystalline vanadium oxide phases viz., VO2, V2O3, V2O5. The films have been characterized by field-emission scanning electron microscopy, X-ray diffraction, differential scanning calorimetry (DSC) and X-ray photoelectron spectroscopy, and their thermo-optical and electrical properties have been investigated. Studies of the deposited films by DSC have revealed a reversible-phase transition found in the temperature range of 45-49 °C.

  4. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  5. Iron Oxide Films Prepared by Rapid Thermal Processing for Solar Energy Conversion

    PubMed Central

    Wickman, B.; Bastos Fanta, A.; Burrows, A.; Hellman, A.; Wagner, J. B.; Iandolo, B.

    2017-01-01

    Hematite is a promising and extensively investigated material for various photoelectrochemical (PEC) processes for energy conversion and storage, in particular for oxidation reactions. Thermal treatments during synthesis of hematite are found to affect the performance of hematite electrodes considerably. Herein, we present hematite thin films fabricated via one-step oxidation of Fe by rapid thermal processing (RTP). In particular, we investigate the effect of oxidation temperature on the PEC properties of hematite. Films prepared at 750 °C show the highest activity towards water oxidation. These films show the largest average grain size and the highest charge carrier density, as determined from electron microscopy and impedance spectroscopy analysis. We believe that the fast processing enabled by RTP makes this technique a preferred method for investigation of novel materials and architectures, potentially also on nanostructured electrodes, where retaining high surface area is crucial to maximize performance. PMID:28091573

  6. Titanium-silicon oxide film structures for polarization-modulated infrared reflection absorption spectroscopy

    PubMed Central

    Dunlop, Iain E.; Zorn, Stefan; Richter, Gunther; Srot, Vesna; Kelsch, Marion; van Aken, Peter A.; Skoda, Maximilian; Gerlach, Alexander; Spatz, Joachim P.; Schreiber, Frank

    2010-01-01

    We present a titanium-silicon oxide film structure that permits polarization modulated infrared reflection absorption spectroscopy on silicon oxide surfaces. The structure consists of a ~6 nm sputtered silicon oxide film on a ~200 nm sputtered titanium film. Characterization using conventional and scanning transmission electron microscopy, electron energy loss spectroscopy, X-ray photoelectron spectroscopy and X-ray reflectometry is presented. We demonstrate the use of this structure to investigate a selectively protein-resistant self-assembled monolayer (SAM) consisting of silane-anchored, biotin-terminated poly(ethylene glycol) (PEG). PEG-associated IR bands were observed. Measurements of protein-characteristic band intensities showed that this SAM adsorbed streptavidin whereas it repelled bovine serum albumin, as had been expected from its structure. PMID:20418963

  7. Hafnium-Based Bulk Metallic Glasses for Kinetic Energy Penetrators

    DTIC Science & Technology

    2004-12-01

    uranium -based (DU) and tungsten- nickel -iron (W-Ni-Fe) composite kinetic energy (KE) munitions is primarily ascribed to their high densities (U: ρ...based on an invariant point identified in the hafnium- copper- nickel ternary system. They are denser than zirconium-based glass-forming compositions...depleted- uranium penetrators. 1. INTRODUCTION 1.1 Criterion for Effective Kinetic Energy Penetrator Performance The lethality of depleted

  8. The effect of hydrogen peroxide on uranium oxide films on 316L stainless steel

    NASA Astrophysics Data System (ADS)

    Wilbraham, Richard J.; Boxall, Colin; Goddard, David T.; Taylor, Robin J.; Woodbury, Simon E.

    2015-09-01

    For the first time the effect of hydrogen peroxide on the dissolution of electrodeposited uranium oxide films on 316L stainless steel planchets (acting as simulant uranium-contaminated metal surfaces) has been studied. Analysis of the H2O2-mediated film dissolution processes via open circuit potentiometry, alpha counting and SEM/EDX imaging has shown that in near-neutral solutions of pH 6.1 and at [H2O2] ⩽ 100 μmol dm-3 the electrodeposited uranium oxide layer is freely dissolving, the associated rate of film dissolution being significantly increased over leaching of similar films in pH 6.1 peroxide-free water. At H2O2 concentrations between 1 mmol dm-3 and 0.1 mol dm-3, formation of an insoluble studtite product layer occurs at the surface of the uranium oxide film. In analogy to corrosion processes on common metal substrates such as steel, the studtite layer effectively passivates the underlying uranium oxide layer against subsequent dissolution. Finally, at [H2O2] > 0.1 mol dm-3 the uranium oxide film, again in analogy to common corrosion processes, behaves as if in a transpassive state and begins to dissolve. This transition from passive to transpassive behaviour in the effect of peroxide concentration on UO2 films has not hitherto been observed or explored, either in terms of corrosion processes or otherwise. Through consideration of thermodynamic solubility product and complex formation constant data, we attribute the transition to the formation of soluble uranyl-peroxide complexes under mildly alkaline, high [H2O2] conditions - a conclusion that has implications for the design of both acid minimal, metal ion oxidant-free decontamination strategies with low secondary waste arisings, and single step processes for spent nuclear fuel dissolution such as the Carbonate-based Oxidative Leaching (COL) process.

  9. Photon synthesis of iron oxide thin films for thermo-photo-chemical sensors

    NASA Astrophysics Data System (ADS)

    Mulenko, S. A.; Petrov, Yu. N.; Gorbachuk, N. T.

    2012-09-01

    Ultraviolet photons of KrF-laser (248 nm) and of photodiode (360 nm) were used for the synthesis of iron oxide thin films with variable thickness, stoichiometry and electrical properties. The reactive pulsed laser deposition (RPLD) method was based on KrF-laser and photon-induced chemical vapor deposition (PCVD) was based on a photodiode. Deposited films demonstrated semiconductor properties with variable band gap (Eg). The film thickness (50-140 nm) and Eg depended on the laser pulse number, oxygen and iron carbonyl vapor pressure in the deposition chamber, and exposure time to the substrate surface with ultraviolet (UV) radiation. Sensing characteristics strongly depended on electrical and structural properties of such thin films. Iron oxide films were deposited on <1 0 0> Si substrate and had large thermo electromotive force (e.m.f.) coefficient (S) and high photosensitivity (F). The largest value of the S coefficient obtained by RPLD was about 1.65 mV/K in the range 270-290 K and by PCVD was about 1.5 mV/K in the range 280-322 K. The largest value F obtained by RPLD and PCVD was about 44 Vc/W and 40 Vc/W, accordingly, for white light at power density (I ≅ 0.006 W/cm2). It was shown that the S coefficient and F strongly depended on Eg. Moreover, these films were tested as chemical sensors: the largest sensitivity of NO molecules was at the level of 3 × 1012 cm-3. Our results showed that RPLD and PCVD were used to synthesize semiconductor iron oxide thin films with different sensing properties. So iron oxide thin films synthesized by UV photons are up-to-date materials for multi-parameter sensors: thermo-photo-chemical sensors operating at moderate temperature.

  10. Morphology selection for cupric oxide thin films by electrodeposition.

    PubMed

    Dhanasekaran, V; Mahalingam, T; Chandramohan, R

    2011-10-01

    Polycrystalline cupric oxide thin films were deposited using alkaline solution bath employing cathodic electrodeposition method. The thin films were electrodeposited at various solution pH. The surface morphology and elemental analyzes of the films were studied using scanning electron microscopy (SEM) and energy dispersive X-ray analysis, respectively. SEM studies revealed that the surface morphology could be tailored suitably by adjusting the pH value during deposition. Mesh average on multiple lattice mode atomic force microscopy image was obtained and reported. Copyright © 2011 Wiley-Liss, Inc.

  11. Sodium alginate/graphene oxide composite films with enhanced thermal and mechanical properties.

    PubMed

    Ionita, Mariana; Pandele, Madalina Andreea; Iovu, Horia

    2013-04-15

    Sodium alginate/graphene oxide (Al/GO) nanocomposite films with different loading levels of graphene oxide were prepared by casting from a suspension of the two components. The structure, morphologies and properties of Al/GO films were characterized by Fourier transform infrared (FT-IR) spectroscopy, X-ray diffraction (XRD), scanning (SEM) and transmission electron microscopy (TEM), thermal gravimetric (TG) analysis, and tensile tests. The results revealed that hydrogen bonding and high interfacial adhesion between GO filler and Al matrix significantly changed thermal stability and mechanical properties of the nanocomposite films. The tensile strength (σ) and Young's modulus (E) of Al films containing 6 wt% GO increased from 71 MPa and 0.85 GPa to 113 MPa and 4.18 GPa, respectively. In addition, TG analysis showed that the thermal stability of Al/GO composite films was better than that of neat Al film. Copyright © 2013 Elsevier Ltd. All rights reserved.

  12. Multilayered films of cobalt oxyhydroxide nanowires/manganese oxide nanosheets for electrochemical capacitor

    NASA Astrophysics Data System (ADS)

    Zheng, Huajun; Tang, Fengqiu; Lim, Melvin; Mukherji, Aniruddh; Yan, Xiaoxia; Wang, Lianzhou; (Max) Lu, Gao Qing

    Multilayered films of cobalt oxyhydroxide nanowires (CoOOHNW) and exfoliated manganese oxide nanosheet (MONS) are fabricated by potentiostatic deposition and electrostatic self-assembly on indium-tin oxide coated glass substrates. The morphology and chemical composition of these films are characterized by scanning electron microscopy (SEM) and X-ray photoelectron spectra (XPS) and the potential application as electrochemical supercapacitors are investigated using cyclic voltammetry and charge-discharge measurements. These ITO/CoOOHNW/MONS multilayered film electrodes exhibit excellent electrochemical capacitance properties, including high specific capacitance (507 F g -1) and long cycling durability (less 2% capacity loss after 5000 charge/discharge cycles). These characteristics indicate that these newly developed films may find important application for electrochemical capacitors.

  13. Metastable tantalum oxide formation during the devitrification of amorphous tantalum thin films

    DOE PAGES

    Donaldson, Olivia K.; Hattar, Khalid; Trelewicz, Jason R.

    2016-07-04

    Microstructural evolution during the devitrification of amorphous tantalum thin films synthesized via pulsed laser deposition was investigated using in situ transmission electron microscopy (TEM) combined with ex situ isothermal annealing, bright-field imaging, and electron-diffraction analysis. The phases formed during crystallization and their stability were characterized as a function of the chamber pressure during deposition, devitrification temperature, and annealing time. A range of metastable nanocrystalline tantalum oxides were identified following devitrification including multiple orthorhombic oxide phases, which often were present with, or evolved to, the tetragonal TaO 2 phase. While the appearance of these phases indicated the films were evolving tomore » the stable form of tantalum oxide—monoclinic tantalum pentoxide—it was likely not achieved for the conditions considered due to an insufficient amount of oxygen present in the films following deposition. Nevertheless, the collective in situ and ex situ TEM analysis applied to thin film samples enabled the isolation of a number of metastable tantalum oxides. As a result, new insights were gained into the transformation sequence and stability of these nanocrystalline phases, which presents opportunities for the development of advanced tantalum oxide-based dielectric materials for novel memristor designs.« less

  14. Electrical properties of films of zinc oxide nanoparticles and its hybrid with reduced graphene oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Madhuri, K. Priya; Bramhaiah, K.; John, Neena S., E-mail: jsneena@cnsms.res.in

    Free-standing films of ZnO nanoparticles (NPs) and reduced graphene oxide (rGO)-ZnO NPs hybrid are prepared at a liquid/liquid interface. The films are characterized by UV-visible spectroscopy, X-ray diffraction, scanning electron microscopy and atomic force microscopy. ZnO film consists of spherical aggregated NPs while the hybrid film contains folded sheets of rGO with embedded ZnO NPs. Electrical properties of the films and its photoresponse in presence of UV radiation are investigated using current sensing atomic force microscopy (CSAFM) at nanoscale and bulk measurements using two probe methods. Enhancement in photocurrent is observed in both cases and the current imaging reveals anmore » inhomogeneous contribution by different ZnO grains in the film.« less

  15. Perovskite Oxide Thin Film Growth, Characterization, and Stability

    NASA Astrophysics Data System (ADS)

    Izumi, Andrew

    Studies into a class of materials known as complex oxides have evoked a great deal of interest due to their unique magnetic, ferroelectric, and superconducting properties. In particular, materials with the ABO3 perovskite structure have highly tunable properties because of the high stability of the structure, which allows for large scale doping and strain. This also allows for a large selection of A and B cations and valences, which can further modify the material's electronic structure. Additionally, deposition of these materials as thin films and superlattices through techniques such as pulsed laser deposition (PLD) results in novel properties due to the reduced dimensionality of the material. The novel properties of perovskite oxide heterostructures can be traced to a several sources, including chemical intermixing, strain and defect formation, and electronic reconstruction. The correlations between microstructure and physical properties must be investigated by examining the physical and electronic structure of perovskites in order to understand this class of materials. Some perovskites can undergo phase changes due to temperature, electrical fields, and magnetic fields. In this work we investigated Nd0.5Sr 0.5MnO3 (NSMO), which undergoes a first order magnetic and electronic transition at T=158K in bulk form. Above this temperature NSMO is a ferromagnetic metal, but transitions into an antiferromagnetic insulator as the temperature is decreased. This rapid transition has interesting potential in memory devices. However, when NSMO is deposited on (001)-oriented SrTiO 3 (STO) or (001)-oriented (LaAlO3)0.3-(Sr 2AlTaO6)0.7 (LSAT) substrates, this transition is lost. It has been reported in the literature that depositing NSMO on (110)-oriented STO allows for the transition to reemerge due to the partial epitaxial growth, where the NSMO film is strained along the [001] surface axis and partially relaxed along the [11¯0] surface axis. This allows the NSMO film enough

  16. Inkjet-printed p-type nickel oxide thin-film transistor

    NASA Astrophysics Data System (ADS)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  17. Control of lipid oxidation by nonmigratory active packaging films prepared by photoinitiated graft polymerization.

    PubMed

    Tian, Fang; Decker, Eric A; Goddard, Julie M

    2012-08-08

    Transition metal-promoted oxidation impacts the quality, shelf life, and nutrition of many packaged foods. Metal-chelating active packaging therefore offers a means to protect foods against oxidation. Herein, we report the development and characterization of nonmigratory metal-chelating active packaging. To prepare the films, carboxylic acids were grafted onto the surfaces of polypropylene films by photoinitiated graft polymerization of acrylic acid. Attenuated total reflectance/Fourier transform infrared spectroscopy, contact angle, scanning electron microscopy, and iron-chelating assay were used to characterize film properties. Graft polymerization yielded a carboxylic acid density of 68.67 ± 9.99 nmol per cm(2) film, with ferrous iron-chelating activity of 71.07 ± 12.95 nmol per cm(2). The functionalized films extended the lag phase of lipid oxidation in a soybean oil-in-water emulsion system from 2 to 9 days. The application of such nonmigratory active packaging films represents a promising approach to reduce additive use while maintaining food quality.

  18. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  19. Transparent thin films of indium tin oxide: Morphology-optical investigations, inter dependence analyzes

    NASA Astrophysics Data System (ADS)

    Prepelita, P.; Filipescu, M.; Stavarache, I.; Garoi, F.; Craciun, D.

    2017-12-01

    Using a fast and eco-friendly deposition method, ITO thin films with different thicknesses (0.5 μm-0.7 μm) were deposited on glass substrates by radio frequency magnetron sputtering technique. A comparative analysis of these oxide films was then carried out. AFM investigations showed that the deposited films were smooth, uniform and having a surface roughness smaller than 10 nm. X-ray diffraction investigations showed that all samples were polycrystalline and the grain sizes of the films, corresponding to (222) cubic reflection, were found to increase with the increasing film thickness. The optical properties, evaluated by UV-VIS-NIR (190-3000 nm) spectrophotometer, evidenced that the obtained thin films were highly transparent, with a transmission coefficient between 90 and 96%, depending on the film thickness. Various methods (Swanepoel and Drude) were employed to appreciate the optimal behaviour of transparent oxide films, in determining the dielectric optical parameters and refractive index dispersion for ITO films exhibiting interference patterns in the optical transmission spectra. The electrical conductivity also increased as the film thickness increased.

  20. Difference in charge transport properties of Ni-Nb thin films with native and artificial oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trifonov, A. S., E-mail: trifonov.artem@phys.msu.ru; Physics Faculty, Lomonosov Moscow State University, Moscow 119991; Lubenchenko, A. V.

    2015-03-28

    Here, we report on the properties of native and artificial oxide amorphous thin film on a surface of an amorphous Ni-Nb sample. Careful measurements of local current-voltage characteristics of the system Ni-Nb / NiNb oxide/Pt, were carried out in contact mode of an atomic force microscope. Native oxide showed n-type conductivity, while in the artificial one exhibited p-type one. The shape of current-voltage characteristic curves is unique in both cases and no analogical behavior is found in the literature. X-ray photoelectron spectroscopy (XPS) measurements were used to detect chemical composition of the oxide films and the oxidation state of themore » alloy components. Detailed analysis of the XPS data revealed that the structure of natural Ni-Nb oxide film consists of Ni-NbO{sub x} top layer and nickel enriched bottom layer which provides n-type conductivity. In contrast, in the artificial oxide film Nb is oxidized completely to Nb{sub 2}O{sub 5}, Ni atoms migrate into bulk Ni-Nb matrix. Electron depletion layer is formed at the Ni-Nb/Nb{sub 2}O{sub 5} interface providing p-type conductivity.« less

  1. Addition of oxygen to and distribution of oxides in tantalum alloy T-111 at low concentrations

    NASA Technical Reports Server (NTRS)

    Stecura, S.

    1975-01-01

    Oxygen was added at 820 and 990 C at an oxygen pressure of about .0003 torr. The technique permitted predetermined and reproducible oxygen doping of the tantalum alloy (T-111). Based on the temperature dependency of the doping reaction, it was concluded that the initial rates of oxygen pickup are probably controlled by solution of oxygen into the T-111 lattice. Although hafnium oxides are more stable than those of tantalum or tungsten, analyses of extracted residues indicate that the tantalum and tungsten oxides predominate in the as-doped specimens, presumably because of the higher concentrations of tantalum and tungsten in the alloy. However, high-temperature annealing promotes gettering of dissolved oxygen and oxygen from other oxides to form hafnium oxides. Small amounts of tantalum and tungsten oxides were still present after high temperature annealing. Tungsten oxide (WO3) volatilizes slightly from the surface of T-111 at 990 C but not at 820 C. The vaporization of WO3 has no apparent effect on the doping reaction.

  2. Composite catalyst for carbon monoxide and hydrocarbon oxidation

    DOEpatents

    Liu, W.; Flytzani-Stephanopoulos, M.

    1996-03-19

    A method and composition are disclosed for the complete oxidation of carbon monoxide and/or hydrocarbon compounds. The method involves reacting the carbon monoxide and/or hydrocarbons with an oxidizing agent in the presence of a metal oxide composite catalyst. The catalyst is prepared by combining fluorite-type oxygen ion conductors with active transition metals. The fluorite oxide, selected from the group consisting of cerium oxide, zirconium oxide, thorium oxide, hafnium oxide, and uranium oxide, and may be doped by alkaline earth and rare earth oxides. The transition metals, selected from the group consisting of molybdenum, copper, cobalt, manganese, nickel, and silver, are used as additives. The atomic ratio of transition metal to fluorite oxide is less than one.

  3. Composite catalyst for carbon monoxide and hydrocarbon oxidation

    DOEpatents

    Liu, Wei; Flytzani-Stephanopoulos, Maria

    1996-01-01

    A method and composition for the complete oxidation of carbon monoxide and/or hydrocarbon compounds. The method involves reacting the carbon monoxide and/or hydrocarbons with an oxidizing agent in the presence of a metal oxide composite catalyst. The catalyst is prepared by combining fluorite-type oxygen ion conductors with active transition metals. The fluorite oxide, selected from the group consisting of cerium oxide, zirconium oxide, thorium oxide, hafnium oxide, and uranium oxide, and may be doped by alkaline earth and rare earth oxides. The transition metals, selected from the group consisting of molybdnum, copper, cobalt, maganese, nickel, and silver, are used as additives. The atomic ratio of transition metal to fluorite oxide is less than one.

  4. Conductive metal oxide film for solar energy control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Donley, H.E.

    1980-05-20

    An electroconductive film comprising the oxides of cobalt and nickel in a spinel structure having the formula nico2o4 and having high absorption in the luminous and infrared portions of the solar energy spectrum is disclosed.

  5. Properties of anodic oxides grown on a hafnium–tantalum–titanium thin film library

    PubMed Central

    Mardare, Andrei Ionut; Ludwig, Alfred; Savan, Alan; Hassel, Achim Walter

    2014-01-01

    A ternary thin film combinatorial materials library of the valve metal system Hf–Ta–Ti obtained by co-sputtering was studied. The microstructural and crystallographic analysis of the obtained compositions revealed a crystalline and textured surface, with the exception of compositions with Ta concentration above 48 at.% which are amorphous and show a flat surface. Electrochemical anodization of the composition spread thin films was used for analysing the growth of the mixed surface oxides. Oxide formation factors, obtained from the potentiodynamic anodization curves, as well as the dielectric constants and electrical resistances, obtained from electrochemical impedance spectroscopy, were mapped along two dimensions of the library using a scanning droplet cell microscope. The semiconducting properties of the anodic oxides were mapped using Mott–Schottky analysis. The degree of oxide mixing was analysed qualitatively using x-ray photoelectron spectroscopy depth profiling. A quantitative analysis of the surface oxides was performed and correlated to the as-deposited metal thin film compositions. In the concurrent transport of the three metal cations during oxide growth a clear speed order of Ti > Hf > Ta was proven. PMID:27877648

  6. Study of annealing time on sol-gel indium tin oxide films on glass

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    De, A.; Biswas, P.K.; Manara, J.

    2007-07-15

    Indium and tin salt-based precursors maintaining In:Sn atomic ratio as 90:10 were utilized for the development of sol-gel dip coated indium tin oxide films (ITO) on SiO{sub 2} coated ({approx} 200 nm thickness) soda lime silica glass substrate. The gel films were initially cured in air at {approx} 450 deg. C to obtain oxide films of physical thickness {approx} 250 nm. These were then annealed in 95% Ar-5% H{sub 2} atmosphere at {approx} 500 deg. C. The annealing time was varied from 0.5 h to 5 h. Variation of annealing time did not show any considerable change of transmittance inmore » the visible region. Thermal emissivity ({epsilon} {sub d}, 0.67-0.79) of the films were evaluated from their hemispherical spectral reflectance. These passed through a minima with increasing annealing time as the reflectivity of the films in the mid-IR passed through a maxima. The microstructure of the films revealed systematic growth of the ITO grains. XRD and XPS studies revealed the presence of both In and Sn metals in addition to the metal oxides. The energy dispersive X-ray (EDX) analysis showed little lowering of tin content in the films with increasing annealing time.« less

  7. Spectroscopic ellipsometry investigation of the optical properties of graphene oxide dip-coated on magnetron sputtered gold thin films

    NASA Astrophysics Data System (ADS)

    Politano, Grazia Giuseppina; Vena, Carlo; Desiderio, Giovanni; Versace, Carlo

    2018-02-01

    Despite intensive investigations on graphene oxide-gold nanocomposites, the interaction of graphene oxide sheets with magnetron sputtered gold thin films has not been studied yet. The optical constants of graphene oxide thin films dip-coated on magnetron sputtered gold thin films were determined by spectroscopic ellipsometry in the [300-1000] wavelength range. Moreover, the morphologic properties of the samples were investigated by SEM analysis. Graphene oxide absorbs mainly in the ultraviolet region, but when it is dip-coated on magnetron sputtered gold thin films, its optical constants show dramatic changes, becoming absorbing in the visible region, with a peak of the extinction coefficient at 3.1 eV. Using magnetron sputtered gold thin films as a substrate for graphene oxide thin films could therefore be the key to enhance graphene oxide optical sheets' properties for several technological applications, preserving their oxygen content and avoiding the reduction process.

  8. Electro-optical properties of the metal oxide-carbon thin film system of CdO-LCC

    NASA Astrophysics Data System (ADS)

    Kokshina, A. V.; Smirnov, A. V.; Razina, A. G.

    2016-08-01

    This article presents the results of a study electrical and optical properties of the thin film system of CdO-LCC. Cadmium oxide films were obtained by method of thermal oxidation. CdO-LCC thin film system was produced by applying on a CdO film a linear chain carbon film in thickness of 100 nm using the ion-plasma method, after which the obtained system was annealed. The studies showed that the obtained CdO-LCC films are quite transparent in the visible region; it has polycrystalline structure, thickness around 300 nm, the band gap to 2.3 eV. The obtained thin film system has photosensitive properties.

  9. X-ray analyses of thermally grown and reactively sputtered tantalum oxide films on NiTi alloy

    NASA Astrophysics Data System (ADS)

    McNamara, Karrina; Tofail, Syed A. M.; Conroy, Derek; Butler, James; Gandhi, Abbasi A.; Redington, Wynette

    2012-08-01

    Sputter deposition of tantalum (Ta) on the surface of NiTi alloy is expected to improve the alloy's corrosion resistance and biocompatibility. Tantalum is a well-known biomaterial which is not affected by body fluids and is not irritating to human tissue. Here we compare the oxidation chemistry crystal structure evolution of tantalum oxide films grown on NiTi by reactive O2 sputtering and by thermal oxidation of sputter deposited Ta films. The effect of sputtering parameters and post-sputtering treatments on the morphology, oxidation state and crystal structure of the tantalum oxide layer have been investigated by field-emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD). The study has found that it may be better to avoid oxidation at and above 600 °C. The study establishes that reactive sputtering in presence of low oxygen mixture yields thicker film with better control of the film quality except that the surface oxidation state of Ta is slightly lower.

  10. Hydrogen retention in lithium and lithium oxide films

    NASA Astrophysics Data System (ADS)

    Buzi, L.; Yang, Y.; Domínguez-Gutiérrez, F. J.; Nelson, A. O.; Hofman, M.; Krstić, P. S.; Kaita, R.; Koel, B. E.

    2018-04-01

    Pure lithium (Li) surfaces are difficult to maintain in fusion devices due to rapid oxide formation, therefore, parameterizing and understanding the mechanisms of hydrogen (H, D) retention in lithium oxide (Li2O) in addition to pure Li is crucial for Li plasma-facing material applications. To compare H retention in Li and Li2O films, measurements were made as a function of surface temperature (90-520 K) under ultrahigh vacuum (UHV) conditions using temperature programmed desorption (TPD). In both cases, the total retention dropped with surface temperature, from 95% at 90 K to 35% at 520 K Li2O films retained H in similar amounts as pure Li. Molecular Dynamics (MD) modeling was used to elucidate the mechanisms of H retention, and results were consistent with experiments in terms of both retention fraction and the drop of retention with temperature.

  11. Fully transparent thin film transistors based on zinc oxide channel layer and molybdenum doped indium oxide electrodes

    NASA Astrophysics Data System (ADS)

    MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime

    2016-03-01

    In this work we report the fabrication of thin film transistors (TFT) with zinc oxide channel and molybdenum doped indium oxide (IMO) electrodes, achieved by room temperature sputtering. A set of devices was fabricated, with varying channel width and length from 5μm to 300μm. Output and transfer characteristics were then extracted to study the performance of thin film transistors, namely threshold voltage and saturation current, enabling to determine optimal fabrication process parameters. Optical transmission in the UV-VIS-IR are also reported.

  12. Impact of acid and oxidative modifications, single or dual, of sorghum starch on biodegradable films.

    PubMed

    Biduski, Bárbara; Silva, Francine Tavares da; Silva, Wyller Max da; Halal, Shanise Lisie de Mello El; Pinto, Vania Zanella; Dias, Alvaro Renato Guerra; Zavareze, Elessandra da Rosa

    2017-01-01

    The objective of this study was to evaluate the effects of acid and oxidation modifications on sorghum starch, as well as the effect of dual modification of starch on the physical, morphological, mechanical, and barrier properties of biodegradable films. The acid modification was performed with 3% lactic acid and the oxidation was performed with 1.5% active chlorine. For dual modification, the acid modification was performed first, followed by oxidation under the same conditions as above. Both films of the oxidized starches, single and dual, had increased stiffness, providing a higher tensile strength and lower elongation when compared to films based on native and single acid modified starches. However, the dual modification increased the water vapor permeability of the films without changing their solubility. The increase in sorghum starch concentration in the filmogenic solution increased the thickness, water vapor permeability, and elongation of the films. Copyright © 2016. Published by Elsevier Ltd.

  13. Unusual Ferroelectricity in Two-Dimensional Perovskite Oxide Thin Films.

    PubMed

    Lu, Jinlian; Luo, Wei; Feng, Junsheng; Xiang, Hongjun

    2018-01-10

    Two-dimensional (2D) ferroelectricity have attracted much attention due to their applications in novel miniaturized devices such as nonvolatile memories, field effect transistors, and sensors. Since most of the commercial ferroelectric (FE) devices are based on ABO 3 perovskite oxides, it is important to investigate the properties of 2D ferroelectricity in perovskite oxide thin films. Here, based on density functional theory (DFT) calculations, we find that there exist three kinds of in-plane FE states that originate from different microscopic mechanisms: (i) a proper FE state with the polarization along [110] due to the second-order Jahn-Teller effect related to the B ion with empty d-orbitals; (ii) a robust FE state with the polarization along [100] induced by the surface effect; (iii) a hybrid improper FE state with the polarization along [110] that is induced by the trilinear coupling between two rotational modes and the A-site displacement. Interestingly, the ferroelectricity in the latter two cases becomes stronger along with decreasing the thin film thickness, in contrast to the usual behavior. Moreover, the latter two FE states are compatible with magnetism since their stability does not depend on the occupation of the d-orbitals of the B-ion. These two novel 2D FE mechanisms provide new avenues to design 2D multiferroics, as we demonstrated in SrVO and CaFeO thin film cases. Our work not only reveals new physical mechanisms of 2D ferroelectricity in perovskite oxide thin films but also provides a new route to design the high-performance 2D FE and multiferroics.

  14. Magnetic transparent conducting oxide film and method of making

    DOEpatents

    Windisch, Jr., Charles F.; Exarhos, Gregory J.; Sharma, Shiv K.

    2004-07-13

    Cobalt-nickel oxide films of nominal 100 nm thickness, and resistivity as low as 0.06 .OMEGA..multidot.cm have been deposited by spin-casting from both aqueous and organic precursor solutions followed by annealing at 450.degree. C. in air. Films deposited on sapphire substrates exhibit a refractive index of about 1.7 and are relatively transparent in the wavelength region from 0.6 to 10.0 .mu.m. They are also magnetic. The electrical and spectroscopic properties of the oxides have been studied as a function of x=Co/(Co+Ni) ratio. An increase in film resistivity was found upon substitution of other cations (e.g., Zn.sup.2+, Al.sup.3+) for Ni in the spinel structure. However, some improvement in the mechanical properties of the films resulted. On the other hand, addition of small amounts of Li decreased the resistivity. A combination of XRD, XPS, UV/Vis and Raman spectroscopy indicated that NiCo.sub.2 O.sub.4 is the primary conducting component and that the conductivity reaches a maximum at this stoichiometry. When x<0.67, NiO forms leading to an increase in resistivity; when x>0.67, the oxide was all spinel but the increased Co content lowered the conductivity. The influence of cation charge state and site occupancy in the spinel structure markedly affects calculated electron band structures and contributes to a reduction of p-type conductivity, the formation of polarons, and the reduction in population of mobile charge carriers that tend to limit transmission in the infrared.

  15. Nanostructured antistatic and antireflective thin films made of indium tin oxide and silica over-coat layer

    NASA Astrophysics Data System (ADS)

    Cho, Young-Sang; Hong, Jeong-Jin; Yang, Seung-Man; Choi, Chul-Jin

    2010-08-01

    Stable dispersion of colloidal indium tin oxide nanoparticles was prepared by using indium tin oxide nanopowder, organic solvent, and suitable dispersants through attrition process. Various comminution parameters during the attrition step were studied to optimize the process for the stable dispersion of indium tin oxide sol. The transparent and conductive films were fabricated on glass substrate using the indium tin oxide sol by spin coating process. To obtain antireflective function, partially hydrolyzed alkyl silicate was deposited as over-coat layer on the pre-fabricated indium tin oxide film by spin coating technique. This double-layered structure of the nanostructured film was characterized by measuring the surface resistance and reflectance spectrum in the visible wavelength region. The final film structure was enough to satisfy the TCO regulations for EMI shielding purposes.

  16. Specular spin-valve films with an FeCo nano-oxide layer by ion-assisted oxidation

    NASA Astrophysics Data System (ADS)

    Fukuzawa, Hideaki; Koi, Katsuhiko; Tomita, Hiroshi; Fuke, Hiromi Niu; Iwasaki, Hitoshi; Sahashi, Masashi

    2002-05-01

    We compared the specular spin-valve films with an Fe50Co50 nano-oxide layer (NOL) and a Co90Fe10 NOL in a pinned layer, prepared by natural oxidation (NO) and ion-assisted oxidation (IAO). For the IAO, an Ar-ion beam was used for the energy-assist effect during the oxidation, resulting in thermally stable NOL formation. With small oxygen exposures during the oxidation for the Fe50Co50 NOL by IAO, good ferromagnetic coupling through the NOL and high specularity at the NOL interface were concurrently obtained. Moreover, twisted coupling through the NOL was observed for the Fe50Co50 NOL by IAO for higher oxygen exposures. On the other hand, the NO did not cause large magnetoresistance (MR) enhancement for either the Co90Fe10 or Fe50Co50 NOLs, and the Co90Fe10 NOL by IAO caused weak magnetic coupling through the NOL, resulting in a small MR ratio. The Fe50Co50 NOL for small oxygen exposures is a good candidate for a final specular spin-valve film head for 100-Giga-bit per square inch recording.

  17. Effects of oxygen and antioxidants on the lipid oxidation and yellow discolouration of film from red tilapia mince.

    PubMed

    Tongnuanchan, Phakawat; Benjakul, Soottawat; Prodpran, Thummanoon

    2012-09-01

    Generally, biodegradable films from fish muscle protein become yellow after preparation. This discolouration is more likely associated with lipid oxidation and can be prevented by minimising the oxidation in the films. Thus, the effects of oxygen and antioxidants on lipid oxidation and yellow discolouration of film from red tilapia mince during storage were investigated. Both films prepared at pH 3 and 11, and kept under atmosphere containing 100% N(2) had the lowest TBARS value with the concomitant lowest b* and ΔE* values during storage (P < 0.05), when compared with other films kept in air and a 100% O(2) atmosphere. Films prepared at pH 3 and incorporated with antioxidants (Trolox and catechin) at all levels (100, 200 and 400 mg L(-1) film-forming solution) had the lowest TBARS value, b* and ΔE* values during storage, indicating the retardation of lipid oxidation and yellow discolouration in films. Nevertheless, films prepared at pH 11 had no difference in TBARS values, in comparison with control film, regardless of antioxidant incorporation. Coincidentally, increases in b* and ΔE* values were observed in those films. Lipid oxidation was the main factor inducing yellow discolouration of film exposed to oxygen and the incorporation of antioxidants in film prepared at acidic pH was able to prevent yellow discolouration of resulting film. Copyright © 2012 Society of Chemical Industry.

  18. Metal current collect protected by oxide film

    DOEpatents

    Jacobson, Craig P.; Visco, Steven J.; DeJonghe, Lutgard C.

    2004-05-25

    Provided are low-cost, mechanically strong, highly electronically conductive current collects and associated structures for solid-state electrochemical devices, techniques for forming these structures, and devices incorporating the structures. The invention provides solid state electrochemical devices having as current interconnects a ferritic steel felt or screen coated with a protective oxide film.

  19. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    NASA Astrophysics Data System (ADS)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  20. Ellipsometric study of oxide films formed on LDEF metal samples

    NASA Technical Reports Server (NTRS)

    Franzen, W.; Brodkin, J. S.; Sengupta, L. C.; Sagalyn, P. L.

    1992-01-01

    The optical constants of samples of six different metals (Al, Cu, Ni, Ta, W, and Zr) exposed to space on the Long Duration Exposure Facility (LDEF) were studied by variable angle spectroscopic ellipsometry. Measurements were also carried out on portions of each sample which were shielded from direct exposure by a metal bar. A least-squares fit of the data using an effective medium approximation was then carried out, with thickness and composition of surface films formed on the metal substrates as variable parameters. The analysis revealed that exposed portions of the Cu, Ni, Ta, and Zr samples are covered with porous oxide films ranging in thickness from 500 to 1000 A. The 410 A thick film of Al2O3 on the exposed Al sample is practically free of voids. Except for Cu, the shielded portions of these metals are covered by thin non-porous oxide films characteristic of exposure to air. The shielded part of the Cu sample has a much thicker porous coating of Cu2O. The tungsten data could not be analyzed.

  1. The performance of hafnium and gadolinium self powered neutron detectors in the TREAT reactor

    NASA Astrophysics Data System (ADS)

    Imel, G. R.; Hart, P. R.

    1996-05-01

    The use of gadolinium and hafnium self powered neutron detectors in a transient reactor is described in this paper. The detectors were calibrated to the fission rate of U-235 using calibrated fission chambers; the calibration factors were tested in two reactors in steady state and found to be consistent. Calibration of the detectors in transient reactor conditions was done by using uranium wires that were analyzed by radiochemistry techniques to determine total fissions during the transient. This was correlated to the time-integrated current of the detectors during the transient. A temperature correction factor was derived to account for self-shielding effects in the hafnium and gadolinium detectors. The dynamic response of the detectors under transient conditions was studied, and found to be excellent.

  2. Positron annihilation and X-ray diffraction studies on tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Prabakar, K.; Abhaya, S.; Krishnan, R.; Kalavathi, S.; Dash, S.; Jayapandian, J.; Amarendra, G.

    2009-04-01

    Positron annihilation spectroscopy along with glancing incidence X-ray diffraction have been used to investigate tin oxide thin films grown on Si by pulsed laser deposition. The films were prepared at room temperature and at 670 K under oxygen partial pressure. As-grown samples are amorphous and are found to contain large concentration of open volume sites (vacancy defects). Post-deposition annealing of as-grown samples at 970 K is found to drastically reduce the number of open volume sites and the film becomes crystalline. However, film grown under elevated temperature and under partial pressure of oxygen is found to exhibit a lower S-parameter, indicating lower defect concentration. Based on the analysis of experimental positron annihilation results, the defect-sensitive S-parameter and the overlayer thickness of tin oxide thin films are deduced. S- W correlation plots exhibit distinct positron trapping defect states in three samples.

  3. Oxidation resistant iron and nickel alloys for high temperature use

    NASA Technical Reports Server (NTRS)

    Hill, V. L.; Misra, S. K.; Wheaton, H. L.

    1970-01-01

    Iron-base and nickel-base alloys exhibit good oxidation resistance and improved ductility with addition of small amounts of yttrium, tantalum /or hafnium/, and thorium. They can be used in applications above the operating temperatures of the superalloys, if high strength materials are not required.

  4. Effect of annealing temperature on the properties of copper oxide films prepared by dip coating technique

    NASA Astrophysics Data System (ADS)

    Raship, N. A.; Sahdan, M. Z.; Adriyanto, F.; Nurfazliana, M. F.; Bakri, A. S.

    2017-01-01

    Copper oxide films were grown on silicon substrates by sol-gel dip coating method. In order to study the effects of annealing temperature on the properties of copper oxide films, the temperature was varied from 200 °C to 450 °C. In the process of dip coating, the substrate is withdrawn from the precursor solution with uniform velocity to obtain a uniform coating before undergoing an annealing process to make the copper oxide film polycrystalline. The physical properties of the copper oxide films were measured by an X-ray diffraction (XRD), a field emission scanning electron microscope (FESEM), an atomic force microscopy (AFM) and a four point probe instrument. From the XRD results, we found that pure cuprite (Cu2O) phase can be obtained by annealing the films annealed at 200 °C. Films annealed at 300 °C had a combination phase which consists of tenorite (CuO) and cuprite (Cu2O) phase while pure tenorite (CuO) phase can be obtained at 450 °C annealing temperature. The surface microstructure showed that the grains size is increased whereas the surface roughness is increased and then decreases by increasing in annealing temperature. The films showed that the resistivity decreased with increasing annealing temperature. Consequently, it was observed that annealing temperature has strong effects on the structural, morphological and electrical properties of copper oxide films.

  5. Study of indium tin oxide films exposed to atomic axygen

    NASA Technical Reports Server (NTRS)

    Snyder, Paul G.; De, Bhola N.; Woollam, John A.; Coutts, T. J.; Li, X.

    1989-01-01

    A qualitative simulation of the effects of atomic oxygen has been conducted on indium tin oxide (ITO) films prepared by dc sputtering onto room-temperature substrates, by exposing them to an RF-excited oxygen plasma and characterizing the resulting changes in optical, electrical, and structural properties as functions of exposure time with ellipsometry, spectrophotometry, resistivity, and X-ray measurements. While the films thus exposed exhibit reduced resistivity and optical transmission; both of these effects, as well as partial crystallization of the films, may be due to sample heating by the plasma. Film resistivity is found to stabilize after a period of exposure.

  6. Scavenging of oxygen from SrTiO3 by metals and its implications for oxide thin film deposition

    NASA Astrophysics Data System (ADS)

    Posadas, Agham; Kormondy, Kristy; Guo, Wei; Ponath, Patrick; Kremer, Jacqueline; Hadamek, Tobias; Demkov, Alexander

    SrTiO3 is a widely used substrate for the growth of other functional oxide thin films. However, SrTiO3 loses oxygen very easily during oxide thin film deposition even under relatively high oxygen pressures. In some cases, there will be an interfacial layer of oxygen-deficient SrTiO3 formed at the interface with the deposited oxide film, depending on the metals present in the film. By depositing a variety of metals layer by layer and measuring the evolution of the core level spectra of both the deposited metal and SrTiO3 using x-ray photoelectron spectroscopy, we show that there are three distinct types of behavior that occur for thin metal films on SrTiO3. We discuss the implications of these types of behavior for the growth of complex oxide thin films on SrTiO3, and which oxide thin films are expected to produce an interfacial oxygen-deficient layer depending on their elemental constituents.

  7. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  8. Subtractive Plasma-Assisted-Etch Process for Developing High Performance Nanocrystalline Zinc-Oxide Thin-Film-Transistors

    DTIC Science & Technology

    2015-03-26

    THIN - FILM - TRANSISTORS THESIS Thomas M. Donigan, First Lieutenant, USAF AFIT-ENG-MS-15-M-027 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR...DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS THESIS Presented to the Faculty Department of Electrical and...15-M-027 SUBTRACTIVE PLASMA-ASSISTED-ETCH PROCESS FOR DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS

  9. Local Anodic Oxidation of Thin GeO Films and Formation of Nanostructures Based on Them

    NASA Astrophysics Data System (ADS)

    Astankova, K. N.; Kozhukhov, A. S.; Azarov, I. A.; Gorokhov, E. B.; Sheglov, D. V.; Latyshev, A. V.

    2018-04-01

    The process of local anodic oxidation of thin GeO films has been studied using an atomic force microscope. The electron-probe microanalysis showed that oxidized areas of a GeO film were germanium dioxide. The effect of the voltage pulse duration applied to the probe-substrate system and the atmospheric humidity on the height of the oxide structures has been studied. The kinetics of the local anodic oxidation (LAO) in a semi-contact mode obeys the Cabrera-Mott model for large times. The initial growth rate of the oxide ( R 0) significantly increases and the time of starting the oxidation ( t 0) decreases as the atmospheric humidity increases by 20%, which is related to an increase in the concentration of oxygen-containing ions at the surface of the oxidized GeO film. It was shown that nanostructures in thin GeO layers can be formed by the LAO method.

  10. Photo-oxidative degradation of TiO{sub 2}/polypropylene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    García-Montelongo, X.L.; Martínez-de la Cruz, A., E-mail: azael70@yahoo.com.mx; Vázquez-Rodríguez, S.

    Graphical abstract: - Highlights: • Photo-oxidative degradation of polypropylene is accelerated by TiO{sub 2} incorporation. • Weight loss, FTIR, SEM and GPC shown high degree of degradation of polypropylene. • A mechanism of the photo-degradation of polypropylene by TiO{sub 2} is proposed. - Abstract: Photo-oxidative degradation of polypropylene films with TiO{sub 2} nanoparticles incorporated was studied in a chamber of weathering with Xenon lamps as irradiation source. TiO{sub 2} powder with crystalline structure of anatase was synthesized by thermal treatments at 400 and 500 °C starting from a precursor material obtained by sol–gel method. Composites of TiO{sub 2}/polypropylene were preparedmore » with 0.1, 0.5 and 1.0 wt% of TiO{sub 2}. The mixture of components was performed using a twin screw extruder, the resulting material was pelletized by mechanical fragmenting and then hot-pressed in order to form polypropylene films with TiO{sub 2} dispersed homogeneously. Photo-oxidative degradation process was followed by visual inspection, weight loss of films, scanning electron microscopy (SEM), infrared spectroscopy with Fourier transformed (FTIR), and gel permeation chromatography (GPC)« less

  11. Transparent Oxide Thin-Film Transistors: Production, Characterization and Integration

    NASA Astrophysics Data System (ADS)

    Barquinha, Pedro Miguel Candido

    This dissertation is devoted to the study of the emerging area of transparent electronics, summarizing research work regarding the development of n-type thin-film transistors (TFTs) based on sputtered oxide semiconductors. All the materials are produced without intentional substrate heating, with annealing temperatures of only 150-200 °C being used to optimize transistor performance. The work is based on the study and optimization of active semiconductors from the gallium-indium-zinc oxide system, including both the binary compounds Ga2O3, In2O3 and ZnO, as well as ternary and quaternary oxides based on mixtures of those, such as IZO and GIZO with different atomic ratios. Several topics are explored, including the study and optimization of the oxide semiconductor thin films, their application as channel layers on TFTs and finally the implementation of the optimized processes to fabricate active matrix backplanes to be integrated in liquid crystal display (LCD) prototypes. Sputtered amorphous dielectrics with high dielectric constant (high-kappa) based on mixtures of tantalum-silicon or tantalum-aluminum oxides are also studied and used as the dielectric layers on fully transparent TFTs. These devices also include transparent and highly conducting IZO thin films as source, drain and gate electrodes. Given the flexibility of the sputtering technique, oxide semiconductors are analyzed regarding several deposition parameters, such as oxygen partial pressure and deposition pressure, as well as target composition. One of the most interesting features of multicomponent oxides such as IZO and GIZO is that, due to their unique electronic configuration and carrier transport mechanism, they allow to obtain amorphous structures with remarkable electrical properties, such as high hall-effect mobility that exceeds 60 cm2 V -1 s-1 for IZO. These properties can be easily tuned by changing the processing conditions and the atomic ratios of the multicomponent oxides, allowing to

  12. Dissolution of a metal oxide film during titanium carbide synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bloshenko, V.N.; Bokii, V.A.; Borovinskaya, I.P.

    1985-05-01

    Oxygen is most difficult to remove during combustion of the mixture Ti + C. Its fundamental mass is in two states in the initial charge: part of the oxygen is dissolved in the titanium particles; the rest is bound in the metal oxide film (an insignificant part of the oxygen is in the adsorbed state in the carbon and titanium particles). On the basis of the results of vacuum annealing of specimens from a Ti + C mixture, the possibility is shown in this paper for dissolution of the intrinsic oxide film by titanium particles during residency of these particlesmore » in the heating zone of the combustion wave.« less

  13. Nonlinear refraction properties of nickel oxide thin films at 800 nm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melo, Ronaldo P. Jr. de; Silva, Blenio J. P. da; Santos, Francisco Eroni P. dos

    2009-11-01

    Measurements of the nonlinear refractive index, n{sub 2}, of nickel oxide films prepared by controlled oxidation of nickel films deposited on substrates of soda-lime glass are reported. The structure and morphology of the samples were characterized by scanning electron microscopy, atomic force microscopy, and x-ray diffractometry. Samples of excellent optical quality were prepared. The nonlinear measurements were performed using the thermally managed eclipse Z-scan technique at 800 nm. A large value of n{sub 2}approx =10{sup -12} cm{sup 2}/W and negligible nonlinear absorption were obtained.

  14. Oxide Ceramic Films Grown on 60 Nitinol for NASA and Department of Defense Applications

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Street, Kenneth W.; Lukco, Dorothy; Cytron, Sheldon J.

    2005-01-01

    Both the NASA Glenn Research Center and the U.S. Army Research Laboratory, Development and Engineering Center (ARDEC) have worked to develop oxide ceramic films grown on 60 nitinol (60-wt% nickel and 40-wt% titanium) to decrease friction and increase wear resistance under unlubricated conditions. In general, oxide and nonoxide ceramic films have unique capabilities as mechanical-, chemical-, and thermal-barrier materials in diverse applications, including high-temperature bearings and gas bearings requiring low friction, wear resistance, and chemical stability. All oxide ceramic films grown on 60 nitinol were furnished by ARDEC, and materials and surface characterization and tribological experiments were conducted at Glenn.

  15. Au Nanoparticle Sub-Monolayers Sandwiched between Sol-Gel Oxide Thin Films

    PubMed Central

    Della Gaspera, Enrico; Menin, Enrico; Sada, Cinzia

    2018-01-01

    Sub-monolayers of monodisperse Au colloids with different surface coverage have been embedded in between two different metal oxide thin films, combining sol-gel depositions and proper substrates functionalization processes. The synthetized films were TiO2, ZnO, and NiO. X-ray diffraction shows the crystallinity of all the oxides and verifies the nominal surface coverage of Au colloids. The surface plasmon resonance (SPR) of the metal nanoparticles is affected by both bottom and top oxides: in fact, the SPR peak of Au that is sandwiched between two different oxides is centered between the SPR frequencies of Au sub-monolayers covered with only one oxide, suggesting that Au colloids effectively lay in between the two oxide layers. The desired organization of Au nanoparticles and the morphological structure of the prepared multi-layered structures has been confirmed by Rutherford backscattering spectrometry (RBS), Secondary Ion Mass Spectrometry (SIMS), and Scanning Electron Microscopy (SEM) analyses that show a high quality sandwich structure. The multi-layered structures have been also tested as optical gas sensors. PMID:29538338

  16. Hydrogen retention in lithium and lithium oxide films

    DOE PAGES

    Buzi, L.; Yang, Y.; Dominguez-Gutierrez, F. J.; ...

    2018-02-09

    Pure lithium (Li) surfaces are difficult to maintain in fusion devices due to rapid oxide formation, therefore, parameterizing and understanding the mechanisms of hydrogen (H, D) retention in lithium oxide (Li 2O) in addition to pure Li is crucial for Li plasma-facing material applications. To compare H retention in Li and Li 2O films, measurements were made as a function of surface temperature (90–520 K) under ultrahigh vacuum (UHV) conditions using temperature programmed desorption (TPD). In both cases, the total retention dropped with surface temperature, from 95% at 90 K to 35% at 520 K Li 2O films retained Hmore » in similar amounts as pure Li. Finally, Molecular Dynamics (MD) modeling was used to elucidate the mechanisms of H retention, and results were consistent with experiments in terms of both retention fraction and the drop of retention with temperature.« less

  17. Hydrogen retention in lithium and lithium oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Buzi, L.; Yang, Y.; Dominguez-Gutierrez, F. J.

    Pure lithium (Li) surfaces are difficult to maintain in fusion devices due to rapid oxide formation, therefore, parameterizing and understanding the mechanisms of hydrogen (H, D) retention in lithium oxide (Li 2O) in addition to pure Li is crucial for Li plasma-facing material applications. To compare H retention in Li and Li 2O films, measurements were made as a function of surface temperature (90–520 K) under ultrahigh vacuum (UHV) conditions using temperature programmed desorption (TPD). In both cases, the total retention dropped with surface temperature, from 95% at 90 K to 35% at 520 K Li 2O films retained Hmore » in similar amounts as pure Li. Finally, Molecular Dynamics (MD) modeling was used to elucidate the mechanisms of H retention, and results were consistent with experiments in terms of both retention fraction and the drop of retention with temperature.« less

  18. Purely electronic mechanism of electrolyte gating of indium tin oxide thin films

    DOE PAGES

    Leng, X.; Bozovic, I.; Bollinger, A. T.

    2016-08-10

    Epitaxial indium tin oxide films have been grown on both LaAlO 3 and yttria-stabilized zirconia substrates using RF magnetron sputtering. Electrolyte gating causes a large change in the film resistance that occurs immediately after the gate voltage is applied, and shows no hysteresis during the charging/discharging processes. When two devices are patterned next to one another and the first one gated through an electrolyte, the second one shows no changes in conductance, in contrast to what happens in materials (like tungsten oxide) susceptible to ionic electromigration and intercalation. These findings indicate that electrolyte gating in indium tin oxide triggers amore » pure electronic process (electron depletion or accumulation, depending on the polarity of the gate voltage), with no electrochemical reactions involved. Electron accumulation occurs in a very thin layer near the film surface, which becomes highly conductive. These results contribute to our understanding of the electrolyte gating mechanism in complex oxides and may be relevant for applications of electric double layer transistor devices.« less

  19. Transpassive Dissolution of Copper and Rapid Formation of Brilliant Colored Copper Oxide Films

    NASA Astrophysics Data System (ADS)

    Fredj, Narjes; Burleigh, T. David; New Mexico Tech Team

    2014-03-01

    This investigation describes an electrochemical technique for growing adhesive copper oxide films on copper with attractive colors ranging from gold-brown to pearl with intermediate colors from red violet to gold green. The technique consists of anodically dissolving copper at transpassive potentials in hot sodium hydroxide, and then depositing brilliant color films of Cu2O onto the surface of copper after the anodic potential has been turned off. The color of the copper oxide film depends on the temperature, the anodic potential, the time t1 of polarization, and the time t2, which is the time of immersion after potential has been turned off. The brilliant colored films were characterized using glancing angle x-ray diffraction, and the film was found to be primarily Cu2O. Cyclic voltammetry, chronopotentiometry, scanning electron microscopy, and x-ray photoelectron spectroscopy were also used to characterize these films.

  20. Development and characterization of reduced graphene oxide films for transient electronics

    NASA Astrophysics Data System (ADS)

    Sheikh, Rasel; Bhatkar, Omkar; Smith, David; Rizvi, Reza

    2018-03-01

    Emerging interests in hardware security as well as environmental concerns have given rise to the field of transient or temporary electronics, which can be decommissioned by an external stimulus with minimal impact to the surrounding environment. In this study, an all graphene based film is produced by a one-step deposition process. The conversion of graphene oxide (GO) to reduced graphene oxide (rGO) depends on an interfacial reduction reaction. Control of processing conditions such as the underlying substrate, pH of GO and the film drying environment results in an ability to tailor the internal architecture of the films and their electronic properties. Furthermore, the ability to create masks for selective reduction of GO during deposition was also demonstrated, which was used to create intricate yet well-defined patterns and connections required in electronic circuits and devices. All graphene based freestanding films with selectively reduced GO were used in transient electronics application as circuitry and RFID tag patterns.

  1. Oxide films state analysis by IR spectroscopy based on the simple oscillator approximation

    NASA Astrophysics Data System (ADS)

    Volkov, N. V.; Yakutkina, T. V.; Karpova, V. V.

    2017-05-01

    Stabilization of structure-phase state in a wide temperature range is one of the most important problems of improving properties of oxide compounds. As such, the search of new effective methods for obtaining metal oxides with desired physic-chemical, electro-physical and thermal properties and their control is important and relevant. The aim of this work is identification features state of the oxide films of some metals Be, Al, Fe, Cu, Zr on the metal surface of the polycrystalline samples by infrared spectroscopy. To identify the resonance emission bands the algorithm of IR-spectra processing was developed and realized on the basis of table processor EXCEL-2010, which allow revealing characteristic resonance bands successfully and identification of inorganic chemical compounds. In the frame of simple oscillator model, resonance frequencies of normal vibrations of water and some inorganic compounds: metal oxides - Be, Al, Fe, Cu, Zr were calculated and characteristic frequencies for different states (aggregate, deformation, phase) were specified. By means of IR-spectroscopy fundamental possibility of revealing oxides films on metal substrate features state is shown, that allow development and optimization of the technology for production of the oxide films with desired properties.

  2. Photoactive lead oxide thin films by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Bhagat, Dharini; Waldiya, Manmohansingh; Mukhopadhyay, Indrajit

    2018-05-01

    We report the synthesis of photoactive lead monoxide thin films on fluorine doped tin oxide substrate by cost effective spray pyrolysis technique using aqueous solution of lead acetate trihydrate. Influence of substrate temperature on the structural and optical properties of thin films was studied. Polymorph of lead monoxide, litharge (α-PbO), was obtained when the substrate temperature was kept constant at 360 °C. XRD analysis revealed that the deposits were tetragonal structured with preferred orientation along 002 plane. Band gap value was found to be 1.93ev from diffuse reflectance spectra.

  3. Effects of Graphene Oxide Addition on Mechanical and Thermal Properties of Evoh Films

    NASA Astrophysics Data System (ADS)

    González-Ruiz, Jesús; Yataco-Lazaro, Lourde; Virginio, Sueli; das Graças da Silva-Valenzuela, Maria; Moura, Esperidiana; Valenzuela-Díaz, Francisco

    Currently, ethylene vinyl alcohol (EVOH) is one of the oxygen barrier materials most used for food packaging. The addition of graphene oxide nanosheets to the EVOH matrix is employed to improve their mechanic al and barrier properties. In this work, films of EVOH-based composites reinforced with graphene oxide were prepared by melt extrusion, using a twin screw extruder machine and blown extrusion process. The graphene oxide was prepared via chemical oxidation of natural graphite and then was exfoliated into nanosheets using the sonochemical method. The composite films samples were characterized using FTIR and DSC analysis. In addition, their mechanical properties were also determined.

  4. Solution-processed flexible fluorine-doped indium zinc oxide thin-film transistors fabricated on plastic film at low temperature.

    PubMed

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm(2)/V·s and stable characteristics under the various gate bias and temperature stresses.

  5. "Decarbonization" of an imino N-heterocyclic carbene via triple benzyl migration from hafnium

    USDA-ARS?s Scientific Manuscript database

    An imino N-heterocyclic carbene underwent three sequential benzyl migrations upon reaction with tetrabenzylhafnium, resulting in complete removal of the carbene carbon from the ligand. The resulting eneamido-amidinato hafnium complex showed alkene polymerization activity comparable to that of a prec...

  6. Nanoporous cerium oxide thin film for glucose biosensor.

    PubMed

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  7. SPM oxidation and parallel writing on zirconium nitride thin films

    NASA Astrophysics Data System (ADS)

    Farkas, N.; Comer, J. R.; Zhang, G.; Evans, E. A.; Ramsier, R. D.; Dagata, J. A.

    2005-07-01

    Systematic investigation of the SPM oxidation process of sputter-deposited ZrN thin films is reported. During the intrinsic part of the oxidation, the density of the oxide increases until the total oxide thickness is approximately twice the feature height. Further oxide growth is sustainable as the system undergoes plastic flow followed by delamination from the ZrN-silicon interface keeping the oxide density constant. ZrN exhibits superdiffusive oxidation kinetics in these single tip SPM studies. We extend this work to the fabrication of parallel oxide patterns 70 nm in height covering areas in the square centimeter range. This simple, quick, and well-controlled parallel nanolithographic technique has great potential for biomedical template fabrication.

  8. Effect of Adding SiO2-Al2O3 Sol into Anodizing Bath on Corrosion Resistance of Oxidation Film on Magnesium Alloy

    NASA Astrophysics Data System (ADS)

    Liu, Huicong; Zhu, Liqun; Li, Weiping

    Due to the widely use in automobile and construction field, AZ91D magnesium alloy need to be protected more effectively for its high chemical activity. In this paper, three kinds of films were formed on magnesium alloy. The first kind of film, named as anodic oxidation film, was prepared by anodic oxidation in the alkaline solution. The processes for preparing the second kind of film, named as multiple film, involved coating sol-gel on the samples and heat-treating before anodic oxidation. The third kind of film was prepared by anodic oxidation in the alkaline oxidation solution containning 5% (vol) SiO2-Al2O3 sol, named as modified oxidation film. The corrosion resistance of the three different films was investigated. The results showed that the modified oxidation film had the highest corrosion resistance due to the largest thickness and most dense surface morphology. Sol was discussed to react during the film forming process, which leaded to the difference between modified oxidation film and anodic oxidation film.

  9. The application of the barrier-type anodic oxidation method to thickness testing of aluminum films

    NASA Astrophysics Data System (ADS)

    Chen, Jianwen; Yao, Manwen; Xiao, Ruihua; Yang, Pengfei; Hu, Baofu; Yao, Xi

    2014-09-01

    The thickness of the active metal oxide film formed from a barrier-type anodizing process is directly proportional to its formation voltage. The thickness of the consumed portion of the metal film is also corresponding to the formation voltage. This principle can be applied to the thickness test of the metal films. If the metal film is growing on a dielectric substrate, when the metal film is exhausted in an anodizing process, because of the high electrical resistance of the formed oxide film, a sudden increase of the recorded voltage during the anodizing process would occur. Then, the thickness of the metal film can be determined from this voltage. As an example, aluminum films are tested and discussed in this work. This method is quite simple and is easy to perform with high precision.

  10. Free standing graphene oxide film for hydrogen peroxide sensing

    NASA Astrophysics Data System (ADS)

    Ranjan, Pranay; Balakrishnan, Jayakumar; Thakur, Ajay D.

    2018-05-01

    We report hydrogen peroxide (H2O2)sensing using free standing graphene oxide thin films prepared using a cost effective scalable approach. Such sensors may find application in pharmaceutical and food processing industries.

  11. Surface Chemistry of Nano-Structured Mixed Metal Oxide Films

    DTIC Science & Technology

    2012-12-11

    surface chemical and catalytic properties of the films, and finally (iv) we also investigated some of these materials as electrodes for the photo-oxidation of water and as anode materials for lithium ion batteries .

  12. Compact chromium oxide thin film resistors for use in nanoscale quantum circuits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.

    We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantummore » phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.« less

  13. Fabrication of nanostructured metal oxide films with supercritical carbon dioxide: Processing and applications

    NASA Astrophysics Data System (ADS)

    You, Eunyoung

    Nanostructured metal oxide films have many applications in catalysis, microelectronics, microfluidics, photovoltaics and other fields. Since the performance of a device depends greatly on the structure of the material, the development of methodologies that enable prescriptive control of morphology are of great interest. The focus of this work is to control the structure and properties of the nanostructured metal oxide films using novel synthetic schemes in supercritical fluids and to use those films as key building components in alternative energy applications. A supercritical fluid is a substance at a temperature and pressure above its critical point. It typically exhibits gas-like transport properties and liquid-like densities. Supercritical fluid deposition (SFD) utilizes these properties of supercritical CO2 (scCO2) to deposit chemically pure metal, oxides and alloys of metal films. SFD is a chemical vapor deposition (CVD)-like process in the sense that it uses similar metal organic precursors and deposits films at elevated temperatures. Instead of vaporizing or subliming the precursors, they are dissolved in supercritical fluids. SFD has typically shown to exhibit higher precursor concentrations, lower deposition temperatures, conformal deposition of films on high aspect ratio features as compared to CVD. In2 O3, ZnO and SnO2 are attractive materials because they are used in transparent conductors. SFD of these materials were studied and In2 O3 deposition kinetics using tris(2,2,6,6-tetramethyl-3,5-heptanedionato) In (III) as precursor were determined. Growth rate dependence on the deposition temperature and the precursor concentrations were studied and the physicochemical and optical properties of In2 O3 films were characterized. Metal oxide nanochannels that can potentially be used for microfluidics have been fabricated by sequentially performing nanoimprint lithography (NIL) and SFD. NIL was used to pattern photoresist grating on substrates and SFD of TiO2

  14. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu; Quesnel, David J.; Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical propertiesmore » of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of

  15. PROCESS OF RECOVERING ZIRCONIUM VALUES FROM HAFNIUM VALUES BY SOLVENT EXTRACTION WITH AN ALKYL PHOSPHATE

    DOEpatents

    Peppard, D.F.

    1960-02-01

    A process of separating hafnium nitrate from zirconium nitrate contained in a nitric acid solution by selectively. extracting the zirconium nitrate with a water-immiscible alkyl phosphate is reported.

  16. Anti-Oxidative and Antibacterial Self-Healing Edible Polyelectrolyte Multilayer Film in Fresh-Cut Fruits.

    PubMed

    Liu, Xuefan; Han, Wei; Zhu, Yanxi; Xuan, Hongyun; Ren, Jiaoyu; Zhang, Jianhao; Ge, Liqin

    2018-04-01

    The consumption of fresh-cut fruits is limited because of the oxidation browning and pathogenic bacteria's growth on the fruit surface. Besides, crack of the fresh-keeping film may shorten the preservation time of fruit. In this work, polyelectrolyte multilayer (PEM) film was fabricated by layer-by-layer (LBL) electrostatic deposition method. The film was made by carboxy methylcellulose sodium (CMC) and chitosan (CS). The as-prepared PEM film had good anti-oxidative and antibacterial capability. It inhibited the growth of Gram-negative bacteria and the antibacterial rate was more than 95%. The stratified structure and linear increase of the absorbance in the film verified a linear increase of film thickness. The slight scratched film could self-heal rapidly after the stimulation of water whatever the layer number was. Moreover, the film could heal cracks whose width was far bigger than the thickness. The application of PEM film on fresh-cut apples showed that PEM film had good browning, weight loss and metabolic activity inhibition ability. These results showed that the PEM film is a good candidate as edible film in fresh-cut fruits applications.

  17. Dissolution kinetics of small amounts of oxygen in tantalum alloy T-111 and internal oxide displacement reactions during annealing

    NASA Technical Reports Server (NTRS)

    Stecura, S.

    1976-01-01

    Oxygen was added to T-111 (Ta-8W-2Hf, wt. %) at 820 and 990 C at an oxygen pressure of about 0.0003 torr. The technique employed permitted predetermined and reproducible doping of T-111 up to 3.0 at. % oxygen. Based on the temperature dependence of the doping reaction, it is concluded that the initial rates of oxygen pickup are probably controlled by solution of oxygen into the T-111 lattice. Although hafnium oxides are more stable than those of tantalum or tungsten, analyses of extracted residues indicate that the latter oxides predominate in the as-doped specimens, presumably because of the higher concentrations of tantalum and tungsten in the alloy. However, high-temperature annealing promotes gettering of dissolved oxygen and of other oxides to form hafnium oxides. Small amounts of tantalum and tungsten oxides were still present after high-temperature annealing. Tungsten oxide (WO3) volatilizes slightly from the surface of T-111 at 990 C. The vaporization of WO3 has no apparent affect on the doping reaction.

  18. Controlled oxide films formation by nanosecond laser pulses for color marking.

    PubMed

    Veiko, Vadim; Odintsova, Galina; Ageev, Eduard; Karlagina, Yulia; Loginov, Anatoliy; Skuratova, Alexandra; Gorbunova, Elena

    2014-10-06

    A technology of laser-induced coloration of metals by surface oxidation is demonstrated. Each color of the oxide film corresponds to a technologic chromacity coefficient, which takes into account the temperature of the sample after exposure by sequence of laser pulses with nanosecond duration and effective time of action. The coefficient can be used for the calculation of laser exposure regimes for the development of a specific color on the metal. A correlation between the composition of the films obtained on the surface of stainless steel AISI 304 and commercial titanium Grade 2 and its color and chromacity coordinates is shown.

  19. THE BREAKDOWN OF THE PROTECTIVE OXIDE FILM ON TRANSITION METAL ALLOYS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smeltzer, W.W.

    1960-04-01

    Initial breaks in oxidation curves of iron-chromium alloys were found to be associated with the ferriteaustenitic phase transformation. This transformation was caused by preferential oxidation of chromium at a rate much larger than its replenishment by diffusion the metal-oxide interface. The stress resulting from this transformation caused breakdown of the protective oxide film. Results indicated that continuous oxidation curves for iron-chromium alloys could be obtained under conditions where preferential oxidation of in alloy constituent did not cause the ferritic-austentic phase transformation. (M.C.G.)

  20. Nanostructured manganese oxide thin films as electrode material for supercapacitors

    NASA Astrophysics Data System (ADS)

    Xia, Hui; Lai, Man On; Lu, Li

    2011-01-01

    Electrochemical capacitors, also called supercapacitors, are alternative energy storage devices, particularly for applications requiring high power densities. Recently, manganese oxides have been extensively evaluated as electrode materials for supercapacitors due to their low cost, environmental benignity, and promising supercapacitive performance. In order to maximize the utilization of manganese oxides as the electrode material for the supercapacitors and improve their supercapacitive performance, the nanostructured manganese oxides have therefore been developed. This paper reviews the synthesis of the nanostructured manganese oxide thin films by different methods and the supercapacitive performance of different nanostructures.

  1. Polyelectrolyte-mediated assembly of copper-phthalocyanine tetrasulfonate multilayers and the subsequent production of nanoparticulate copper oxide thin films.

    PubMed

    Chickneyan, Zarui Sara; Briseno, Alejandro L; Shi, Xiangyang; Han, Shubo; Huang, Jiaxing; Zhou, Feimeng

    2004-07-01

    An approach to producing films of nanometer-sized copper oxide particulates, based on polyelectrolyte-mediated assembly of the precursor, copper(II)phthalocyanine tetrasulfonate (CPTS), is described. Multilayered CPTS and polydiallyldimethylammonium chloride (PDADMAC) were alternately assembled on different planar substrates via the layer-by-layer (LbL) procedure. The growth of CPTS multilayers was monitored by UV-visible spectrometry and quartz crystal microbalance (QCM) measurements. Both the UV-visible spectra and the QCM data showed that a fixed amount of CPTS could be attached to the substrate surface for a given adsorption cycle. Cyclic voltammograms at the CPTS/PDADMAC-covered gold electrode exhibited a decrease in peak currents with the layer number, indicating that the permeability of CPTS multilayers on the electrodes had diminished. When these CPTS multilayered films were calcined at elevated temperatures, uniform thin films composed of nanoparticulate copper oxide could be produced. Ellipsometry showed that the thickness of copper oxide nanoparticulate films could be precisely tailored by varying the thickness of CPTS multilayer films. The morphology and roughness of CPTS multilayer and copper oxide thin films were characterized by atomic force microscopy. X-ray diffraction (XRD) measurements indicated that these thin films contained both CuO and Cu2O nanoparticles. The preparation of such copper oxide thin films with the use of metal complex precursors represents a new route for the synthesis of inorganic oxide films with a controlled thickness.

  2. Optical, structural and electrochromic properties of sputter- deposited W-Mo oxide thin films

    NASA Astrophysics Data System (ADS)

    Gesheva, K.; Arvizu, M. A.; Bodurov, G.; Ivanova, T.; Niklasson, G. A.; Iliev, M.; Vlakhov, T.; Terzijska, P.; Popkirov, G.; Abrashev, M.; Boyadjiev, S.; Jágerszki, G.; Szilágyi, I. M.; Marinov, Y.

    2016-10-01

    Thin metal oxide films were investigated by a series of characterization techniques including impedance spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, and Atomic Force Microscopy. Thin film deposition by reactive DC magnetron sputtering was performed at the Ångström Laboratory. W and Mo targets (5 cm diameter) and various oxygen gas flows were employed to prepare samples with different properties, whereas the gas pressure was kept constant at about 30 mTorr. The substrates were 5×5 cm2 plates of unheated glass pre-coated with ITO having a resistance of 40 ohm/sq. Film thicknesses were around 300 nm as determined by surface profilometry. Newly acquired equipment was used to study optical spectra, optoelectronic properties, and film structure. Films of WO3 and of mixed W- Mo oxide with three compositions showed coloring and bleaching under the application of a small voltage. Cyclic voltammograms were recorded with a scan rate of 5 mV s-1. Ellipsometric data for the optical constants show dependence on the amount of MoOx in the chemical composition. Single MoOx film, and the mixed one with only 8% MoOx have the highest value of refractive index, and similar dispersion in the visible spectral range. Raman spectra displayed strong lines at wavenumbers between 780 cm-1 and 950 cm-1 related to stretching vibrations of WO3, and MoO3. AFM gave evidence for domains of different composition in mixed W-Mo oxide films.

  3. Characterization of interfacial reactions and oxide films on 316L stainless steel in various simulated PWR primary water environments

    NASA Astrophysics Data System (ADS)

    Chen, Junjie; Xiao, Qian; Lu, Zhanpeng; Ru, Xiangkun; Peng, Hao; Xiong, Qi; Li, Hongjuan

    2017-06-01

    The effect of water chemistry on the electrochemical and oxidizing behaviors of 316L SS was investigated in hydrogenated, deaerated and oxygenated PWR primary water at 310 °C. Water chemistry significantly influenced the electrochemical impedance spectroscopy parameters. The highest charge-transfer resistance and oxide-film resistance occurred in oxygenated water. The highest electric double-layer capacitance and constant phase element of the oxide film were in hydrogenated water. The oxide films formed in deaerated and hydrogenated environments were similar in composition but different in morphology. An oxide film with spinel outer particles and a compact and Cr-rich inner layer was formed in both hydrogenated and deaerated water. Larger and more loosely distributed outer oxide particles were formed in deaerated water. In oxygenated water, an oxide film with hematite outer particles and a porous and Ni-rich inner layer was formed. The reaction kinetics parameters obtained by electrochemical impedance spectroscopy measurements and oxidation film properties relating to the steady or quasi-steady state conditions in the time-period of measurements could provide fundamental information for understanding stress corrosion cracking processes and controlling parameters.

  4. Fabrication and characterization of oxide-based thin film transistors, and process development for oxide heterostructures

    NASA Astrophysics Data System (ADS)

    Lim, Wantae

    2009-12-01

    This dissertation is focused on the development of thin film transistors (TFTs) using oxide materials composed of post-transitional cations with (n-1)d 10ns0 (n≥4). The goal is to achieve high performance oxide-based TFTs fabricated at low processing temperature on either glass or flexible substrates for next generation display applications. In addition, etching mechanism and Ohmic contact formation for oxide heterostructure (ZnO/CuCrO 2) system is demonstrated. The deposition and characterization of oxide semiconductors (In 2O3-ZnO, and InGaZnO4) using a RF-magnetron sputtering system are studied. The main influence on the resistivity of the films is found to be the oxygen partial pressure in the sputtering ambient. The films remained amorphous and transparent (> 70%) at all process conditions. These films showed good transmittance at suitable conductivity for transistor fabrication. The electrical characteristics of both top- and bottom-gate type Indium Zinc Oxide (InZnO) and Indium Gallium Zinc Oxide (InGaZnO4)-based TFTs are reported. The InZnO films were favorable for depletion-mode TFTs due to their tendency to form oxygen vacancies, while enhancement-mode devices were realized with InGaZnO4 films. The InGaZnO4-based TFTs fabricated on either glass or plastic substrates at low temperature (<100°C) exhibit good electrical properties: the saturation mobility of 5--12 cm2.V-1.s-1 and threshold voltage of 0.5--2.5V. The devices are also examined as a function of aging time in order to verify long-term stability in air. The effect of gate dielectric materials on electrical properties of InGaZnO 4-based TFTs was investigated. The use of SiNx film as a gate dielectric reduces the trap density and the roughness at the channel/gate dielectric interface compared to SiO2 gate dielectric, resulting in an improvement of device parameters by reducing scattering of trapped charges at the interface. The quality of interface is shown to have large effect on TFT performance

  5. Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties

    DOE PAGES

    Baggetto, Loic; Charvillat, Cedric; Thebault, Yannick; ...

    2015-12-02

    Ti/Al 2O 3 bilayer stacks are used as model systems to investigate the role of atomic layer deposition (ALD) and chemical vapor deposition (CVD) to prepare 30-180 nm thick amorphous alumina films as protective barriers for the medium temperature oxidation (500-600⁰C) of titanium, which is employed in aeronautic applications. X-ray diffraction (XRD), transmission electron microscopy (TEM) with selected area electron diffraction (SAED), and X-ray photoelectron spectroscopy (XPS) results show that the films produced from the direct liquid injection (DLI) CVD of aluminum tri-isopropoxide (ATI) are poor oxygen barriers. The films processed using the ALD of trimethylaluminum (TMA) show good barriermore » properties but an extensive intermixing with Ti which subsequently oxidizes. In contrast, the films prepared from dimethyl aluminum isopropoxide (DMAI) by CVD are excellent oxygen barriers and show little intermixing with Ti. Overall, these measurements correlate the effect of the alumina coating thickness, morphology, and stoichiometry resulting from the preparation method to the oxidation barrier properties, and show that compact and stoichiometric amorphous alumina films offer superior barrier properties.« less

  6. Intrinsic charge trapping in amorphous oxide films: status and challenges

    NASA Astrophysics Data System (ADS)

    Strand, Jack; Kaviani, Moloud; Gao, David; El-Sayed, Al-Moatasem; Afanas’ev, Valeri V.; Shluger, Alexander L.

    2018-06-01

    We review the current understanding of intrinsic electron and hole trapping in insulating amorphous oxide films on semiconductor and metal substrates. The experimental and theoretical evidences are provided for the existence of intrinsic deep electron and hole trap states stemming from the disorder of amorphous metal oxide networks. We start from presenting the results for amorphous (a) HfO2, chosen due to the availability of highest purity amorphous films, which is vital for studying their intrinsic electronic properties. Exhaustive photo-depopulation spectroscopy measurements and theoretical calculations using density functional theory shed light on the atomic nature of electronic gap states responsible for deep electron trapping observed in a-HfO2. We review theoretical methods used for creating models of amorphous structures and electronic structure calculations of amorphous oxides and outline some of the challenges in modeling defects in amorphous materials. We then discuss theoretical models of electron polarons and bi-polarons in a-HfO2 and demonstrate that these intrinsic states originate from low-coordinated ions and elongated metal-oxygen bonds in the amorphous oxide network. Similarly, holes can be captured at under-coordinated O sites. We then discuss electron and hole trapping in other amorphous oxides, such as a-SiO2, a-Al2O3, a-TiO2. We propose that the presence of low-coordinated ions in amorphous oxides with electron states of significant p and d character near the conduction band minimum can lead to electron trapping and that deep hole trapping should be common to all amorphous oxides. Finally, we demonstrate that bi-electron trapping in a-HfO2 and a-SiO2 weakens Hf(Si)–O bonds and significantly reduces barriers for forming Frenkel defects, neutral O vacancies and O2‑ ions in these materials. These results should be useful for better understanding of electronic properties and structural evolution of thin amorphous films under carrier injection

  7. Low temperature catalytic oxidative aging of LDPE films in response to heat excitation.

    PubMed

    Luo, Xuegang; Zhang, Sizhao; Ding, Feng; Lin, Xiaoyan

    2015-09-14

    The waste treatment of polymer materials is often conducted using the photocatalytic technique; however, complete decomposition is frequently inhibited owing to several shortcomings such as low quantum yield and the requirement of ultraviolet irradiation. Herein, we report a strategy to implement moderate management of polymeric films via thermocatalytic oxidative route, which is responsive to heat stimulus. Diverse LDPE-matrix films together with as-prepared thermal catalysts (TCs) or initiators were synthesized to further investigate heat-dependent-catalytic degradation effects. After artificial ageing, structural textures of the as-synthesized films could be chemically deteriorated, followed by a huge increase in surface roughness values, and appreciable loss was also found in the average molecular weights and mechanical parameters. We found an emergent phenomenon in which crystallization closely resembled two-dimensional (2D) growth, which displayed rod-like or disc-type crystal shapes. New chemical groups generated on film surfaces were monitored, and led to a higher limiting oxygen index because of strong catalytic oxidation, thus demonstrating the success of catalytic oxidative ageing by heat actuation. The underlying mechanism responsible for thermocatalytic oxidative pattern is also discussed. Accordingly, these findings may have important implications for better understanding the development of polymeric-matrix waste disposal.

  8. Direct laser writing of micro-supercapacitors on hydrated graphite oxide films.

    PubMed

    Gao, Wei; Singh, Neelam; Song, Li; Liu, Zheng; Reddy, Arava Leela Mohana; Ci, Lijie; Vajtai, Robert; Zhang, Qing; Wei, Bingqing; Ajayan, Pulickel M

    2011-07-31

    Microscale supercapacitors provide an important complement to batteries in a variety of applications, including portable electronics. Although they can be manufactured using a number of printing and lithography techniques, continued improvements in cost, scalability and form factor are required to realize their full potential. Here, we demonstrate the scalable fabrication of a new type of all-carbon, monolithic supercapacitor by laser reduction and patterning of graphite oxide films. We pattern both in-plane and conventional electrodes consisting of reduced graphite oxide with micrometre resolution, between which graphite oxide serves as a solid electrolyte. The substantial amounts of trapped water in the graphite oxide makes it simultaneously a good ionic conductor and an electrical insulator, allowing it to serve as both an electrolyte and an electrode separator with ion transport characteristics similar to that observed for Nafion membranes. The resulting micro-supercapacitor devices show good cyclic stability, and energy storage capacities comparable to existing thin-film supercapacitors.

  9. Direct laser writing of micro-supercapacitors on hydrated graphite oxide films

    NASA Astrophysics Data System (ADS)

    Gao, Wei; Singh, Neelam; Song, Li; Liu, Zheng; Reddy, Arava Leela Mohana; Ci, Lijie; Vajtai, Robert; Zhang, Qing; Wei, Bingqing; Ajayan, Pulickel M.

    2011-08-01

    Microscale supercapacitors provide an important complement to batteries in a variety of applications, including portable electronics. Although they can be manufactured using a number of printing and lithography techniques, continued improvements in cost, scalability and form factor are required to realize their full potential. Here, we demonstrate the scalable fabrication of a new type of all-carbon, monolithic supercapacitor by laser reduction and patterning of graphite oxide films. We pattern both in-plane and conventional electrodes consisting of reduced graphite oxide with micrometre resolution, between which graphite oxide serves as a solid electrolyte. The substantial amounts of trapped water in the graphite oxide makes it simultaneously a good ionic conductor and an electrical insulator, allowing it to serve as both an electrolyte and an electrode separator with ion transport characteristics similar to that observed for Nafion membranes. The resulting micro-supercapacitor devices show good cyclic stability, and energy storage capacities comparable to existing thin-film supercapacitors.

  10. Properties of mixed molybdenum oxide iridium oxide thin films synthesized by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Patil, P. S.; Kawar, R. K.; Sadale, S. B.; Inamdar, A. I.; Deshmukh, H. P.

    2006-09-01

    Molybdenum-doped iridium oxide thin films have been deposited onto corning glass- and fluorine-doped tin oxide coated corning glass substrates at 350 °C by using a pneumatic spray pyrolysis technique. An aqueous solution of 0.01 M ammonium molybdate was mixed with 0.01 M iridium trichloride solution in different volume proportions and the resultant solution was used as a precursor solution for spraying. The as-deposited samples were annealed at 600 °C in air medium for 1 h. The structural, electrical and optical properties of as-deposited and annealed Mo-doped iridium oxide were studied and values of room temperature electrical resistivity, and thermoelectric power were estimated. The as-deposited samples with 2% Mo doping exhibit more pronounced electrochromism than other samples, including pristine Ir oxide.

  11. Correlation between surface morphology and electrical properties of VO2 films grown by direct thermal oxidation method

    NASA Astrophysics Data System (ADS)

    Yoon, Joonseok; Park, Changwoo; Park, Sungkyun; Mun, Bongjin Simon; Ju, Honglyoul

    2015-10-01

    We investigate surface morphology and electrical properties of VO2 films fabricated by direct thermal oxidation method. The VO2 film prepared with oxidation temperature at 580 °C exhibits excellent qualities of VO2 characteristics, e.g. a metal-insulator transition (MIT) near 67 °C, a resistivity ratio of ∼2.3 × 104, and a bandgap of 0.7 eV. The analysis of surface morphology with electrical resistivity of VO2 films reveals that the transport properties of VO2 films are closely related to the grain size and surface roughness that vary with oxidation annealing temperatures.

  12. Production of Silicon Oxide like Thin Films by the Use of Atmospheric Plasma Torch

    NASA Astrophysics Data System (ADS)

    Ozono, E. M.; Fachini, E. R.; Silva, M. L. P.; Ruchko, L. F.; Galvão, R. M. O.

    2015-03-01

    The advantages of HMDS (hexamethyldisilazane) APT-plasma films for sensor applications were explored producing films in a three-turn copper coil APT equipment. HMDS was introduced into the argon plasma at four different conditions. Additional flux of oxygen could modulate the presence of organic components in the film, the composition varying from pure inorganic oxides to organo-silane polymers. Oxygen promoted deposition rates as high as 900 nm/min on silicon, acrylic or piezoelectric quartz crystal substrates. Films with a clustered morphology and refractive index of 1.45 were obtained, mainly due to a silicon oxide structure. Raman spectroscopy and XPS data showed the presence of CHn and amorphous carbon in the inorganic matrix. The films were sensitive to the humidity of the air. The adsorptive capabilities of outstanding films were tested in a Quartz Crystal Microbalance (QCM). The results support that those films can be a useful and simple alternative for the development of sensors.

  13. Codoping of zinc and tungsten for practical high-performance amorphous indium-based oxide thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizu, Takio, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Tsukagoshi, Kazuhito, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp

    2015-09-28

    Using practical high-density sputtering targets, we investigated the effect of Zn and W codoping on the thermal stability of the amorphous film and the electrical characteristics in thin film transistors. zinc oxide is a potentially conductive component while W oxide is an oxygen vacancy suppressor in oxide films. The oxygen vacancy from In-O and Zn-O was suppressed by the W additive because of the high oxygen bond dissociation energy. With controlled codoping of W and Zn, we demonstrated a high mobility with a maximum mobility of 40 cm{sup 2}/V s with good stability under a negative bias stress in InWZnO thinmore » film transistors.« less

  14. Combinatorial study of zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  15. Ultrathin free-standing graphene oxide film based flexible touchless sensor

    NASA Astrophysics Data System (ADS)

    Liu, Lin; Wang, Yingyi; Li, Guanghui; Qin, Sujie; Zhang, Ting

    2018-01-01

    Ultrathin free-standing graphene oxide (GO) films were fabricated by vacuum filtration method assisted with Ni(OH)2 nanosheets as the sacrifice layer. The surface of the obtained GO film is very clean as the Ni(OH)2 nanosheets can be thoroughly etched by HCl. The thickness of the GO films can be well-controlled by changing the volume of GO dispersion, and the thinnest GO film reached ~12 nm. As a novel and transparent dielectric material, the GO film has been applied as the dielectric layer for the flexible touchless capacitive sensor which can effectively distinguish the approaching of an insulator or a conductor. Project supported by the National Natural Science Foundation of China (No. 61574163) and the Foundation Research Project of Jiangsu Province (Nos. BK20160392, BK20170008).

  16. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  17. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    NASA Astrophysics Data System (ADS)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  18. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  19. In-situ XPS analysis of oxidized and reduced plasma deposited ruthenium-based thin catalytic films

    NASA Astrophysics Data System (ADS)

    Balcerzak, Jacek; Redzynia, Wiktor; Tyczkowski, Jacek

    2017-12-01

    A novel in-situ study of the surface molecular structure of catalytically active ruthenium-based films subjected to the oxidation (in oxygen) and reduction (in hydrogen) was performed in a Cat-Cell reactor combined with a XPS spectrometer. The films were produced by the plasma deposition method (PEMOCVD). It was found that the films contained ruthenium at different oxidation states: metallic (Ru0), RuO2 (Ru+4), and other RuOx (Ru+x), of which content could be changed by the oxidation or reduction, depending on the process temperature. These results allow to predict the behavior of the Ru-based catalysts in different redox environments.

  20. Enhancing the Oxidation Stability of Polydivinylbenzene Films via Residual Pendant Vinyl Passivation

    DOE PAGES

    Lepro, Xavier; Ehrmann, Paul; Rodriguez, Jennifer; ...

    2018-01-11

    Polydivinylbenzene (PDVB) is a thermally stable, optically transparent, crosslinked polymer that until recently has been difficult to synthesize as a thin film. With the recent demonstration of initiated chemical vapor deposition (iCVD) of thin PDVB films, a renewed interest in the material properties of PDVB has developed. In particular, attention is now focused on its oxidation pathways and long-term stability under the desired application use conditions. In this paper, we report on the thermal and environmental stability of PDVB films and show that unreacted pendant vinyl groups drive polymer oxidation upon exposure to either air or light. We demonstrate thatmore » such vinyls can be effectively passivated by a simple ex-situ thermal annealing at ca. 300 °C in inert atmosphere that induces an 87% reduction of the PDVB oxidation rate in air and slows light (λ=405 nm) induced oxidation by 56%. While the thermal annealing is less effective at preventing oxidation under higher energy (λ = 365 nm) UV light, we demonstrate that this aging pathway is based on the presence of reactive oxygen species rather than traditional photo-oxidation. Finally, vinyl removal through ex-situ thermal annealing improves the chemical stability of iCVD PDVB to continuous air (over 500 days) or light (70 hours) exposure and offers a simple option to improve its environmental aging resistance which is important for long-term protective applications.« less

  1. Depth Profiling Analysis of Aluminum Oxidation During Film Deposition in a Conventional High Vacuum System

    NASA Technical Reports Server (NTRS)

    Kim, Jongmin; Weimer, Jeffrey J.; Zukic, Muamer; Torr, Douglas G.

    1994-01-01

    The oxidation of aluminum thin films deposited in a conventional high vacuum chamber has been investigated using x-ray photoelectron spectroscopy (XPS) and depth profiling. The state of the Al layer was preserved by coating it with a protective MgF2 layer in the deposition chamber. Oxygen concentrations in the film layers were determined as a function of sputter time (depth into the film). The results show that an oxidized layer is formed at the start of Al deposition and that a less extensively oxidized Al layer is deposited if the deposition rate is fast. The top surface of the Al layer oxidizes very quickly. This top oxidized layer may be thicker than has been previously reported by optical methods. Maximum oxygen concentrations measured by XPS at each Al interface are related to pressure to rate ratios determined during the Al layer deposition.

  2. Modulation of strain, resistance, and capacitance of tantalum oxide film by converse piezoelectric effect

    NASA Astrophysics Data System (ADS)

    Jia, Yanmin; Tian, Xiangling; Si, Jianxiao; Huang, Shihua; Wu, Zheng; Zhu, Chenchen

    2011-07-01

    We deposited tantalum oxide film on a laminate structure composed of a Si substrate and a piezoelectric 0.72Pb(Mg1/3Nb2/3)O3-0.28PbTiO3 single crystal and achieved in situ modulation of the resistance and capacitance of the Ta2O5 film. The modulation arises from the induced lattice strain in the Ta2O5 film, which is induced by the electric-field-induced strain in the piezoelectric crystal. Under an external electric field of ˜2 kV/cm, the longitudinal gauge factor of the Ta2O5 film is ˜3300. The control of the strain using the converse piezoelectric effect may be further extended to tune the intrinsic strain of other oxide thin films.

  3. Solution-Processed Flexible Fluorine-doped Indium Zinc Oxide Thin-Film Transistors Fabricated on Plastic Film at Low Temperature

    PubMed Central

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm2/V·s and stable characteristics under the various gate bias and temperature stresses. PMID:23803977

  4. Morphological Influence of Solution-Processed Zinc Oxide Films on Electrical Characteristics of Thin-Film Transistors.

    PubMed

    Lee, Hyeonju; Zhang, Xue; Hwang, Jaeeun; Park, Jaehoon

    2016-10-19

    We report on the morphological influence of solution-processed zinc oxide (ZnO) semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs). Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites.

  5. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  6. Electro-thermal control of aluminum-doped zinc oxide/vanadium dioxide multilayered thin films for smart-device applications

    PubMed Central

    Skuza, J. R.; Scott, D. W.; Mundle, R. M.; Pradhan, A. K.

    2016-01-01

    We demonstrate the electro-thermal control of aluminum-doped zinc oxide (Al:ZnO) /vanadium dioxide (VO2) multilayered thin films, where the application of a small electric field enables precise control of the applied heat to the VO2 thin film to induce its semiconductor-metal transition (SMT). The transparent conducting oxide nature of the top Al:ZnO film can be tuned to facilitate the fine control of the SMT of the VO2 thin film and its associated properties. In addition, the Al:ZnO film provides a capping layer to the VO2 thin film, which inhibits oxidation to a more energetically favorable and stable V2O5 phase. It also decreases the SMT of the VO2 thin film by approximately 5–10 °C because of an additional stress induced on the VO2 thin film and/or an alteration of the oxygen vacancy concentration in the VO2 thin film. These results have significant impacts on technological applications for both passive and active devices by exploiting this near-room-temperature SMT. PMID:26884225

  7. Nano-crystalline porous tin oxide film for carbon monoxide sensing

    NASA Technical Reports Server (NTRS)

    Liu, Chung-Chiun (Inventor); Savinell, Robert F. (Inventor); Jin, Zhihong (Inventor)

    2000-01-01

    A tin oxide sol is deposited on platinum electrodes (12) of a sensor (10). The sol is calcined at a temperature of 500 to 800.degree. C. to produce a thin film of tin oxide with a thickness of about 150 nm to 2 .mu. and having a nano-crystalline structure with good stability. The sensor rapidly detects reducing gases, such as carbon monoxide, or hydrocarbons and organic vapors. Sensors using films calcined at around 700.degree. C. have high carbon monoxide selectivity with a response time of around 4 minutes and a recovery time of 1 minute, and therefore provide good detection systems for detection of trace amounts of pollutants such as toxic and flammable gases in homes, industrial settings, and hospitals.

  8. Raman Spectra of High-κ Dielectric Layers Investigated with Micro-Raman Spectroscopy Comparison with Silicon Dioxide

    PubMed Central

    Borowicz, P.; Taube, A.; Rzodkiewicz, W.; Latek, M.; Gierałtowska, S.

    2013-01-01

    Three samples with dielectric layers from high-κ dielectrics, hafnium oxide, gadolinium-silicon oxide, and lanthanum-lutetium oxide on silicon substrate were studied by Raman spectroscopy. The results obtained for high-κ dielectrics were compared with spectra recorded for silicon dioxide. Raman spectra suggest the similarity of gadolinium-silicon oxide and lanthanum-lutetium oxide to the bulk nondensified silicon dioxide. The temperature treatment of hafnium oxide shows the evolution of the structure of this material. Raman spectra recorded for as-deposited hafnium oxide are similar to the results obtained for silicon dioxide layer. After thermal treatment especially at higher temperatures (600°C and above), the structure of hafnium oxide becomes similar to the bulk non-densified silicon dioxide. PMID:24072982

  9. Nonlinear optical characterization of graphite oxide thin film by open aperture Z-scan technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sreeja, V. G.; Reshmi, R.; Devasia, Sebin

    In this paper we explore the structural characterization of graphite oxide powder prepared from graphite powder by oxidation via modified Hummers method. The nonlinear optical properties of the spin coated graphite oxide thin film is also explored by open aperture Z-Scan technique. Structural and physiochemical properties of the samples were investigated with the help of Fourier Transform Infrared Spectroscopy (FTIR) and Raman Spectroscopy (Raman).The results of FT-IR and Raman spectroscopy showed that the graphite is oxidized by strong oxidants and the oxygen atoms are introduced into the graphite layers forming C=C, O-H and –C-H groups. The synthesized sample has goodmore » crystalline nature with lesser defects. The nonlinear optical property of GO thin film was studied by open aperture Z-Scan technique using Q-switched Nd-Yag Laser at 532 nm. The Z-scan plot showed that the investigated GO thin film has saturable absorption behavior. The nonlinear absorption coefficient and saturation intensity were also estimated to explore its applications in Q switched mode locking laser systems.« less

  10. Improving the optoelectronic properties of titanium-doped indium tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Taha, Hatem; Jiang, Zhong-Tao; Henry, David J.; Amri, Amun; Yin, Chun-Yang; Mahbubur Rahman, M.

    2017-06-01

    The focus of this study is on a sol-gel method combined with spin-coating to prepare high-quality transparent conducting oxide (TCO) films. The structural, morphological, optical and electrical properties of sol-gel-derived pure and Ti-doped indium tin oxide (ITO) thin films were studied as a function of the concentration of the Ti (i.e. 0 at%, 2 at% and 4 at%) and annealing temperatures (150 °C-600 °C). FESEM measurements indicate that all the films are ˜350 nm thick. XRD analysis confirmed the cubic bixbyite structure of the polycrystalline indium oxide phase for all of the thin films. Increasing the Ti ratio, as well as the annealing temperature, improved the crystallinity of the films. Highly crystalline structures were obtained at 500 °C, with average grain sizes of about 50, 65 and 80 nm for Ti doping of 0 at%, 2 at% and 4 at%, respectively. The electrical and optical properties improved as the annealing temperature increased, with an enlarged electronic energy band gap and an optical absorption edge below 280 nm. In particular, the optical transmittance and electrical resistivity of the samples with a 4 at% Ti content improved from 87% and 7.10 × 10-4 Ω.cm to 92% and 1.6 × 10-4 Ω.cm, respectively. The conductivity, especially for the annealing temperature at 150 °C, is acceptable for many applications such as flexible electronics. These results demonstrate that unlike the more expensive and complex vacuum sputtering process, high-quality Ti-doped ITO films can be achieved by fast processing, simple wet-chemistry, and easy doping level control with the possibility of producing films with high scalability.

  11. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition.

    PubMed

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-12-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al 2 O 3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a , which can explain the experimental observation. A high-field effect mobility of 9.4 cm 2 /Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 10 7 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  12. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al2O3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a, which can explain the experimental observation. A high-field effect mobility of 9.4 cm2/Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 107 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  13. Atomic Layer-Deposited Titanium-Doped Vanadium Oxide Thin Films and Their Thermistor Applications

    DOE PAGES

    Wang, Shuyu; Yu, Shifeng; Lu, Ming; ...

    2016-11-30

    In this paper, we report the enhancement in the temperature coefficient of resistance (TCR) of atomic layer-deposited vanadium oxide thin films through the doping of titanium oxide. The Hall effect measurement provides a potential explanation for the phenomenon. The composition and morphology of the thin films are investigated by x-ray diffraction and scanning electron microscopy techniques. The high TCR, good uniformity, and low processing temperature of the material make it a good candidate for thermistor application.

  14. Studies on nickel-tungsten oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Usha, K. S.; Sivakumar, R., E-mail: krsivakumar1979@yahoo.com; Sanjeeviraja, C.

    2014-10-15

    Nickel-Tungsten oxide (95:5) thin films were prepared by rf sputtering at 200W rf power with various substrate temperatures. X-ray diffraction study reveals the amorphous nature of films. The substrate temperature induced decrease in energy band gap with a maximum transmittance of 71%1 was observed. The Micro-Raman study shows broad peaks at 560 cm{sup −1} and 1100 cm{sup −1} correspond to Ni-O vibration and the peak at 860 cm{sup −1} can be assigned to the vibration of W-O-W bond. Photoluminescence spectra show two peaks centered on 420 nm and 485 nm corresponding to the band edge emission and vacancies created duemore » to the addition of tungsten, respectively.« less

  15. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  16. Epitaxial Growth of Intermetallic MnPt Films on Oxides and Large Exchange Bias

    DOE PAGES

    Liu, Zhiqi; Biegalski, Michael D; Hsu, Mr. S. L.; ...

    2015-11-05

    We achieved a high-quality epitaxial growth of inter­metallic MnPt films on oxides, with potential for multiferroic heterostructure applications. Also, antisite-stabilized spin-flipping induces ferromagnetism in MnPt films, although it is robustly antiferromagnetic in bulk. Moreover, highly ordered antiferromagnetic MnPt films exhibit superiorly large exchange coupling with a ferromagnetic layer.

  17. Crystallization behavior of amorphous indium-gallium-zinc-oxide films and its effects on thin-film transistor performance

    NASA Astrophysics Data System (ADS)

    Suko, Ayaka; Jia, JunJun; Nakamura, Shin-ichi; Kawashima, Emi; Utsuno, Futoshi; Yano, Koki; Shigesato, Yuzo

    2016-03-01

    Amorphous indium-gallium-zinc oxide (a-IGZO) films were deposited by DC magnetron sputtering and post-annealed in air at 300-1000 °C for 1 h to investigate the crystallization behavior in detail. X-ray diffraction, electron beam diffraction, and high-resolution electron microscopy revealed that the IGZO films showed an amorphous structure after post-annealing at 300 °C. At 600 °C, the films started to crystallize from the surface with c-axis preferred orientation. At 700-1000 °C, the films totally crystallized into polycrystalline structures, wherein the grains showed c-axis preferred orientation close to the surface and random orientation inside the films. The current-gate voltage (Id-Vg) characteristics of the IGZO thin-film transistor (TFT) showed that the threshold voltage (Vth) and subthreshold swing decreased markedly after the post-annealing at 300 °C. The TFT using the totally crystallized films also showed the decrease in Vth, whereas the field-effect mobility decreased considerably.

  18. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    NASA Astrophysics Data System (ADS)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  19. Properties of solid solutions, doped film, and nanocomposite structures based on zinc oxide

    NASA Astrophysics Data System (ADS)

    Lashkarev, G. V.; Shtepliuk, I. I.; Ievtushenko, A. I.; Khyzhun, O. Y.; Kartuzov, V. V.; Ovsiannikova, L. I.; Karpyna, V. A.; Myroniuk, D. V.; Khomyak, V. V.; Tkach, V. N.; Timofeeva, I. I.; Popovich, V. I.; Dranchuk, N. V.; Khranovskyy, V. D.; Demydiuk, P. V.

    2015-02-01

    A study of the properties of materials based on the wide bandgap zinc oxide semiconductor, which are promising for application in optoelectronics, photovoltaics and nanoplasmonics. The structural and optical properties of solid solution Zn1-xCdxO films with different cadmium content, are studied. The samples are grown using magnetron sputtering on sapphire backing. Low-temperature photoluminescence spectra revealed emission peaks associated with radiative recombination processes in those areas of the film that have varying amounts of cadmium. X-ray phase analysis showed the presence of a cadmium oxide cubic phase in these films. Theoretical studies of the solid solution thermodynamic properties allowed for a qualitative interpretation of the observed experimental phenomena. It is established that the growth of the homogeneous solid solution film is possible only at high temperatures, whereas regions of inhomogeneous composition can be narrowed through elastic deformation, caused by the mismatch of the film-backing lattice constants. The driving forces of the spinodal decomposition of the Zn1-xCdxO system are identified. Fullerene-like clusters of Znn-xCdxOn are used to calculate the bandgap and the cohesive energy of ZnCdO solid solutions. The properties of transparent conductive ZnO films, doped with Group III donor impurities (Al, Ga, In), are examined. It is shown that oxygen vacancies are responsible for the hole trap centers in the zinc oxide photoconductivity process. We also examine the photoluminescence properties of metal-ZnO nanocomposite structures, caused by surface plasmons.

  20. Technical Note: A simulation study on the feasibility of radiotherapy dose enhancement with calcium tungstate and hafnium oxide nano- and microparticles.

    PubMed

    Sherck, Nicholas J; Won, You-Yeon

    2017-12-01

    To assess the radiotherapy dose enhancement (RDE) potential of calcium tungstate (CaWO 4 ) and hafnium oxide (HfO 2 ) nano- and microparticles (NPs). A Monte Carlo simulation study was conducted to gauge their respective RDE potentials relative to that of the broadly studied gold (Au) NP. The study was warranted due to the promising clinical and preclinical studies involving both CaWO 4 and HfO 2 NPs as RDE agents in the treatment of various types of cancers. The study provides a baseline RDE to which future experimental RDE trends can be compared to. All three materials were investigated in silico with the software Penetration and Energy Loss of Positrons and Electrons (PENELOPE 2014) developed by Francesc Salvat and distributed in the United States by the Radiation Safety Information Computational Center (RSICC) at Oak Ridge National Laboratory. The work utilizes the extensively studied Au NP as the "gold standard" for a baseline. The key metric used in the evaluation of the materials was the local dose enhancement factor (DEF loc ). An additional metric used, termed the relative enhancement ratio (RER), evaluates material performance at the same mass concentrations. The results of the study indicate that Au has the strongest RDE potential using the DEF loc metric. HfO 2 and CaWO 4 both underperformed relative to Au with lower DEF loc of 2-3 × and 4-100 ×, respectively. The computational investigation predicts the RDE performance ranking to be: Au > HfO 2 > CaWO 4 . © 2017 American Association of Physicists in Medicine.

  1. Study on the influences of reduction temperature on nickel-yttria-stabilized zirconia solid oxide fuel cell anode using nickel oxide-film electrode

    NASA Astrophysics Data System (ADS)

    Jiao, Zhenjun; Ueno, Ai; Suzuki, Yuji; Shikazono, Naoki

    2016-10-01

    In this study, the reduction processes of nickel oxide at different temperatures were investigated using nickel-film anode to study the influences of reduction temperature on the initial performances and stability of nickel-yttria-stabilized zirconia anode. Compared to conventional nickel-yttria-stabilized zirconia composite cermet anode, nickel-film anode has the advantage of direct observation at nickel-yttria-stabilized zirconia interface. The microstructural changes were characterized by scanning electron microscopy. The reduction process of nickel oxide is considered to be determined by the competition between the mechanisms of volume reduction in nickel oxide-nickel reaction and nickel sintering. Electrochemical impedance spectroscopy was applied to analyze the time variation of the nickel-film anode electrochemical characteristics. The anode performances and microstructural changes before and after 100 hours discharging and open circuit operations were analyzed. The degradation of nickel-film anode is considered to be determined by the co-effect between the nickel sintering and the change of nickel-yttria-stabilized zirconia interface bonding condition.

  2. In2O3-based multicomponent metal oxide films and their prospects for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Korotcenkov, G.; Brinzari, V.; Cho, B. K.

    2016-02-01

    Thermoelectric properties of In2O3-SnO2-based multi-component metal oxide films formed by spray pyrolysis method are studied. It is shown that the introduction of additional components such as gallium and zinc can control the parameters of the deposited layers. At that, the doping with gallium is more effective for optimization of the efficiency of the thermoelectric conversion. The explanation of the observed changes in the electro-physical and thermoelectric properties of the films at the composition change is given. It is found that the main changes in the properties of multicomponent metal oxide films take place at concentrations of dopants which correspond to their limit solubility in the dominant oxide.

  3. Effect of anode oxide films on glow discharge spatial structure

    NASA Astrophysics Data System (ADS)

    Gulamov, E. N.; Islamov, R. S.; Zabelin, Alexandre M.

    1994-04-01

    A self-consistent calculation of voltage fall on the anode film as a function of its resistance has been performed in the presence of anode current spots under elevated-pressure glow discharge in nitrogen and N2:He equals 1:1 mixture. It has been shown that resistance of anode oxide films in industrial lasers with continuous copper anode can reach the values when total suppression of anode current structures takes place.

  4. Graphene Oxide Transparent Hybrid Film and Its Ultraviolet Shielding Property.

    PubMed

    Xie, Siyuan; Zhao, Jianfeng; Zhang, Bowu; Wang, Ziqiang; Ma, Hongjuan; Yu, Chuhong; Yu, Ming; Li, Linfan; Li, Jingye

    2015-08-19

    Herein, we first reported a facile strategy to prepare functional Poly(vinyl alcohol) (PVA) hybrid film with well ultraviolet (UV) shielding property and visible light transmittance using graphene oxide nanosheets as UV-absorber. The absorbance of ultraviolet light at 300 nm can be up to 97.5%, while the transmittance of visible light at 500 nm keeps 40% plus. This hybrid film can protect protein from UVA light induced photosensitive damage, remarkably.

  5. Pulsed laser deposited metal oxide thin films mediated controlled adsorption of proteins

    NASA Astrophysics Data System (ADS)

    Kim, Se Jin

    Several metal oxide thin films were grown on Si substrate by pulsed laser deposition for controlling adsorption of proteins. No intentional heating of substrate and introduction of oxygen gas during growth were employed. Additionally, fibrinogen, bovine serum albumin (BSA), and lysozyme were used as model protein in this study. The film properties such as cyratllinity, surface roughness, surface electrical charge and chemistry were investigated by many techniques in order to obtain the relationship with protein adsorption. Firstly, as grown Ta2O5 and ZnO thin film were used to study the effects of surface charge on the behaviors of BSA and lysozyme adsorption. The protein thickness results by ellipsometry showed that negatively charged Ta2O5 had a stronger affinity to positively charged lysozyme, while positively charged ZnO had a stronger affinity to negatively charged BSA. The results confirmed electrostatic interaction due to surface charge is one of main factors for determining adsorption of proteins. Furthermore, annealing studies were performed by heat treatment of as grown Ta2O5 and ZnO at 800°C in air ambience. Annealed Ta2O5 thin film had almost wetting property (from 10.02° to less than 1˜2°) and the change of cystallinity (from amorphous to cyrsalline) while annealed ZnO thin film had a reduced contact angle (from 75.65° to 39.41°) and remained to crystalline structure. The fibrinogen thickness on annealed Ta2O5 film was increased compared with as grown sample, while heat treated ZnO film showed much reduction of fibrinogen adsorption. Binary Ta-Zn oxide thin films (TZ) were grown by preparing PLD target composed of 50 wt% Ta2O5 and 50 wt% ZnO. This binary film had IEP pH 7.1 indicating nearly neutral charge in pH 7.4 PBS solution, and hydrophilic property. Ellipsometrical results showed that TZ film had the lowest fibrinogen, BSA and lysozyme thickness after 120 min adsorption compared with Ta2O5 and ZnO. Other samples, bilayer oxide films in

  6. Green synthesis of high conductivity silver nanoparticle-reduced graphene oxide composite films

    NASA Astrophysics Data System (ADS)

    Dinh, D. A.; Hui, K. S.; Hui, K. N.; Cho, Y. R.; Zhou, Wei; Hong, Xiaoting; Chun, Ho-Hwan

    2014-04-01

    A green facile chemical approach to control the dimensions of Ag nanoparticles-graphene oxide (AgNPs/GO) composites was performed by the in situ ultrasonication of a mixture of AgNO3 and graphene oxide solutions with the assistance of vitamin C acting as an environmentally friendly reducing agent at room temperature. With decreasing ultrasonication time, the size of the Ag nanoparticles decreased and became uniformly distributed over the surface of the GO nanosheets. The as-prepared AgNPs/rGO composite films were then formed using a spin coating method and reduced at 500 °C under N2/H2 gas flow for 1 h. Four-point probe measurements showed that the sheet resistance of the AgNPs/rGO films decreased with decreasing AgNPs size. The lowest sheet resistance of 270 Ω/sq was obtained in the film corresponding to 1 min of ultrasonication, which showed a 40 times lower resistivity than the rGO film (10.93 kΩ/sq). The formation mechanisms of the as-prepared AgNPs/rGO films are proposed. This study provides a guide to controlling the dimensions of AgNPs/rGO films, which might hold promise as advanced materials for a range of analytical applications, such as catalysis, sensors and microchips.

  7. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors.

    PubMed

    Zhang, Xue; Lee, Hyeonju; Kwon, Jung-Hyok; Kim, Eui-Jik; Park, Jaehoon

    2017-07-31

    We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.

  8. Preparation of polyvinyl alcohol graphene oxide phosphonate film and research of thermal stability and mechanical properties.

    PubMed

    Li, Jihui; Song, Yunna; Ma, Zheng; Li, Ning; Niu, Shuai; Li, Yongshen

    2018-05-01

    In this article, flake graphite, nitric acid, peroxyacetic acid and phosphoric acid are used to prepare graphene oxide phosphonic and phosphinic acids (GOPAs), and GOPAs and polyvinyl alcohol (PVA) are used to synthesize polyvinyl alcohol graphene oxide phosphonate and phosphinate (PVAGOPs) in the case of faint acidity and ultrasound irradiation, and PVAGOPs are used to fabricate PVAGOPs film, and the structure and morphology of GOPAs, PVAGOPs and PVAGOPs film are characterized, and the thermal stability and mechanical properties of PVAGOPs film are investigated. Based on these, it has been proved that GOPAs consist of graphene oxide phosphonic acid and graphene oxide phosphinic acid, and there are CP covalent bonds between them, and PVAGOPs are composed of GOPAs and PVA, and there are six-member lactone rings between GOPAs and PVA, and the thermal stability and mechanical properties of PVAGOPs film are improved effectively. Copyright © 2018 Elsevier B.V. All rights reserved.

  9. Morphological Influence of Solution-Processed Zinc Oxide Films on Electrical Characteristics of Thin-Film Transistors

    PubMed Central

    Lee, Hyeonju; Zhang, Xue; Hwang, Jaeeun; Park, Jaehoon

    2016-01-01

    We report on the morphological influence of solution-processed zinc oxide (ZnO) semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs). Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites. PMID:28773973

  10. Influence of silver nanoparticles on titanium oxide and nitrogen doped titanium oxide thin films for sun light photocatalysis

    NASA Astrophysics Data System (ADS)

    Madhavi, V.; Kondaiah, P.; Mohan Rao, G.

    2018-04-01

    Decreasing recombination of photogenerated charge carriers in photocatalysts is a critical issue for enhancing the efficiency of dye degradation. It is one of the greatest challenges to reduce the recombination of photo generated charge carriers in semiconductor. In this paper, we report that there is an enhancement of photocatalytic activity in presence of Sun light, by introducing Plasmon (silver nanoparticles (Ag)) onto the titanium oxide (TiO2) and nitrogen incorporated titanium oxide (N-TiO2) films. These silver nanoparticles facilitate the charge transport and separation of charge carriers. In this paper we find that the phase transformation accurse from rutile to anatase with increase of nitrogen flow rates. The FE-SEM analysis showed the micro structure changes to dense columnar growth with increase of nitrogen flow rates. XPS studies of the N-TiO2 thin films revealed that the substitution of N atoms within the O sites plays a crucial role in narrowing the band gap of the TiO2. This enables the absorption of visible light radiation and leads to operation of the film as a highly reactive and effective photocatalysis. The synergetic effect of silver nanoparticles on TiO2 and N-TiO2 films tailored the photocatalytic acitivity, charge transfer mechanism, and photocurrent studies. The silver nanoparticle loaded N-TiO2 films showed highest degradation of 95% compare to the N-TiO2 films. The photo degradation rate constant of Ag/N-TiO2 film was larger than the N-TiO2 films.

  11. Stress generation in thermally grown oxide films. [oxide scale spalling from superalloy substrates

    NASA Technical Reports Server (NTRS)

    Kumnick, A. J.; Ebert, L. J.

    1981-01-01

    A three dimensional finite element analysis was conducted, using the ANSYS computer program, of the stress state in a thin oxide film thermally formed on a rectangular piece of NiCrAl alloy. The analytical results indicate a very high compressive stress in the lateral directions of the film (approximately 6200 MPa), and tensile stresses in the metal substrate that ranged from essentially zero to about 55 MPa. It was found further that the intensity of the analytically determined average stresses could be approximated reasonably well by the modification of an equation developed previously by Oxx for stresses induced into bodies by thermal gradients.

  12. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE PAGES

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    2016-04-16

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  13. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  14. A photoelectrochemical (PEC) study on graphene oxide based hematite thin films heterojunction (R-GO/Fe2O3)

    NASA Astrophysics Data System (ADS)

    Sharma, Poonam; Zachariah, Michael; Ehrman, Sheryl; Shrivastava, Rohit; Dass, Sahab; Satsangi, Vibha; Michael Zachariah, Sheryl Ehrman Collaboration; Rohit Shrivastava, Sahab Dass Collaboration; Vibha R Satsangi, Poonam Sharma Team

    2013-03-01

    Graphene has an excellent electronic conductivity, a high theoretical surface area of 2630 m2/g and excellent mechanical properties and, thus, is a promising component for high-performance electrode materials. Following this, GO has been used to modify the PEC response of photoactive material hematite thin films in PEC cell. A reduced graphene oxide/iron oxide (R-GO/Fe2O3) thin film structure has been successfully prepared on ITO by directly growing iron oxide particles on the thermally reduced graphene oxide sheets prepared from suspension of exfoliated graphene oxide. R-GO/Fe2O3 thin films were tested in PEC cell and offered ten times higher photocurrent density than pristine Fe2O3 thin film sample. XRD, SEM, EDS, UV-Vis, Mott-Schottky and Raman studies were carried out to study spectro-electrochemical properties. Enhanced PEC performance of these photoelectrodes was attributed to its porous morphology, improved conductivity upon favorable carrier transfer across the oxides interface.

  15. Influence of controlled surface oxidation on the magnetic anisotropy of Co ultrathin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Di, N.; Maroun, F., E-mail: fouad.maroun@polytechnique.fr; Allongue, P.

    2015-03-23

    We studied the influence of controlled surface-limited oxidation of electrodeposited epitaxial Co(0001)/Au(111) films on their magnetic anisotropy energy using real time in situ magneto optical Kerr effect and density functional theory (DFT) calculations. We investigated the Co first electrochemical oxidation step which we demonstrate to be completely reversible and determined the structure of this oxide layer. We show that the interface magnetic anisotropy of the Co film increases by 0.36 erg/cm{sup 2} upon Co surface oxidation. We performed DFT calculations to determine the different surface structures in a wide potential range as well as the charge transfer at the Co surface.more » Our results suggest that the magnetic anisotropy change is correlated with a positive charge increase of 0.54 e{sup −} for the Co surface atom upon oxidation.« less

  16. High catalytic activity of oriented 2.0.0 copper(I) oxide grown on graphene film

    PubMed Central

    Primo, Ana; Esteve-Adell, Ivan; Blandez, Juan F.; Dhakshinamoorthy, Amarajothi; Álvaro, Mercedes; Candu, Natalia; Coman, Simona M.; Parvulescu, Vasile I.; García, Hermenegildo

    2015-01-01

    Metal oxide nanoparticles supported on graphene exhibit high catalytic activity for oxidation, reduction and coupling reactions. Here we show that pyrolysis at 900 °C under inert atmosphere of copper(II) nitrate embedded in chitosan films affords 1.1.1 facet-oriented copper nanoplatelets supported on few-layered graphene. Oriented (1.1.1) copper nanoplatelets on graphene undergo spontaneous oxidation to render oriented (2.0.0) copper(I) oxide nanoplatelets on few-layered graphene. These films containing oriented copper(I) oxide exhibit as catalyst turnover numbers that can be three orders of magnitude higher for the Ullmann-type coupling, dehydrogenative coupling of dimethylphenylsilane with n-butanol and C–N cross-coupling than those of analogous unoriented graphene-supported copper(I) oxide nanoplatelets. PMID:26509224

  17. Characterization of iron oxide nanoparticle films at the air–water interface in Arctic tundra waters

    DOE PAGES

    Jubb, Aaron M.; Eskelsen, Jeremy R.; Yin, Xiangping Lisa; ...

    2018-04-04

    Here, massive amounts of organic carbon have accumulated in Arctic permafrost and soils due to anoxic and low temperature conditions that limit aerobic microbial respiration. Alternative electron acceptors are thus required for microbes to degrade organic carbon in these soils. Iron or iron oxides have been recognized to play an important role in carbon cycle processes in Arctic soils, although the exact form and role as an electron acceptor or donor remain poorly understood. Here, Arctic biofilms collected during the summers of 2016 and 2017 from tundra surface waters on the Seward Peninsula of western Alaska were characterized with amore » suite of microscopic and spectroscopic methods. We hypothesized that these films contain redox-active minerals bound to biological polymers. The major components of the films were found to be iron oxide nanoparticle aggregates associated with extracellular polymeric substances. The observed mineral phases varied between films collected in different years with magnetite (Fe 2+Fe 2 3+O 4) nanoparticles (<5 nm) predominantly identified in the 2016 films, while for films collected in 2017 ferrihydrite-like amorphous iron oxyhydroxides were found. While the exact formation mechanism of these Artic iron oxide films remains to be explored, the presence of magnetite and other iron oxide/oxyhydroxide nanoparticles at the air–water interface may represent a previously unknown source of electron acceptors for continual anaerobic microbial respiration of organic carbon within poorly drained Arctic tundra.« less

  18. Characterization of iron oxide nanoparticle films at the air–water interface in Arctic tundra waters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jubb, Aaron M.; Eskelsen, Jeremy R.; Yin, Xiangping Lisa

    Here, massive amounts of organic carbon have accumulated in Arctic permafrost and soils due to anoxic and low temperature conditions that limit aerobic microbial respiration. Alternative electron acceptors are thus required for microbes to degrade organic carbon in these soils. Iron or iron oxides have been recognized to play an important role in carbon cycle processes in Arctic soils, although the exact form and role as an electron acceptor or donor remain poorly understood. Here, Arctic biofilms collected during the summers of 2016 and 2017 from tundra surface waters on the Seward Peninsula of western Alaska were characterized with amore » suite of microscopic and spectroscopic methods. We hypothesized that these films contain redox-active minerals bound to biological polymers. The major components of the films were found to be iron oxide nanoparticle aggregates associated with extracellular polymeric substances. The observed mineral phases varied between films collected in different years with magnetite (Fe 2+Fe 2 3+O 4) nanoparticles (<5 nm) predominantly identified in the 2016 films, while for films collected in 2017 ferrihydrite-like amorphous iron oxyhydroxides were found. While the exact formation mechanism of these Artic iron oxide films remains to be explored, the presence of magnetite and other iron oxide/oxyhydroxide nanoparticles at the air–water interface may represent a previously unknown source of electron acceptors for continual anaerobic microbial respiration of organic carbon within poorly drained Arctic tundra.« less

  19. Simple Methods for Production of Nanoscale Metal Oxide Films from Household Sources

    ERIC Educational Resources Information Center

    Campbell, Dean J.; Baliss, Michelle S.; Hinman, Jordan J.; Ziegenhorn, John W.; Andrews, Mark J.; Stevenson, Keith J.

    2013-01-01

    Production of thin metal oxide films was recently explored as part of an outreach program with a goal of producing nanoscale structures with household items. Household items coated with various metals or titanium compounds can be heated to produce colorful films with nanoscale thicknesses. As part of a materials chemistry laboratory experiment…

  20. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    NASA Astrophysics Data System (ADS)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  1. Optical properties of spin-on deposited low temperature titanium oxide thin films

    NASA Astrophysics Data System (ADS)

    Rantala, J. T.; Kärkkäinen, A. H. O.

    2003-06-01

    This letter presents a method to fabricate high quality, high refractive index titanium oxide thin films by applying liquid phase spin-on deposition combined with low temperature annealing. The synthesis of the liquid form titanium oxide material is carried out using a sol-gel synthesis technique. The material can be annealed at low temperature (150 C°) to achieve relatively high refractive index of 1.94 at 632.8 nm wavelength, whereas annealing at 350 C° results in index of 2.03 at 632.8 nm. Film depositions are demonstrated on silicon substrates with 0.5% uniformity in thickness. Refractive indices and extinction coefficients are characterized over a broad wavelength range to demonstrate the optical performance of this novel aqueous phase spin-on deposited hybrid titanium oxide material.

  2. Temperature Dependence of the Seebeck Coefficient in Zinc Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Noori, Amirreza; Masoumi, Saeed; Hashemi, Najmeh

    2017-12-01

    Thermoelectric devices are reliable tools for converting waste heat into electricity as they last long, produce no noise or vibration, have no moving elements, and their light weight makes them suitable for the outer space usage. Materials with high thermoelectric figure of merit (zT) have the most important role in the fabrication of efficient thermoelectric devices. Metal oxide semiconductors, specially zinc oxide has recently received attention as a material suitable for sensor, optoelectronic and thermoelectric device applications because of their wide direct bandgap, chemical stability, high-energy radiation endurance, transparency and acceptable zT. Understanding the thermoelectric properties of the undoped ZnO thin films can help design better ZnO-based devices. Here, we report the results of our experimental work on the thermoelectric properties of the undoped polycrystalline ZnO thin films. These films are deposited on alumina substrates by thermal evaporation of zinc in vacuum followed by a controlled oxidation process in air carried out at the 350-500 °C temperature range. The experimental setup including gradient heaters, thermometry system and Seebeck voltage measurement equipment for high resistance samples is described. Seebeck voltage and electrical resistivity of the samples are measured at different conditions. The observed temperature dependence of the Seebeck coefficient is discussed.

  3. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    PubMed Central

    Noviyana, Imas; Lestari, Annisa Dwi; Putri, Maryane; Won, Mi-Sook; Bae, Jong-Seong; Heo, Young-Woo; Lee, Hee Young

    2017-01-01

    Top-contact bottom-gate thin film transistors (TFTs) with zinc-rich indium zinc tin oxide (IZTO) active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C. PMID:28773058

  4. Binary metal oxide nanoparticle incorporated composite multilayer thin films for sono-photocatalytic degradation of organic pollutants

    NASA Astrophysics Data System (ADS)

    Gokul, Paramasivam; Vinoth, Ramalingam; Neppolian, Bernaurdshaw; Anandhakumar, Sundaramurthy

    2017-10-01

    We report reduced graphene oxide (rGO) supported binary metal oxide (CuO-TiO2/rGO) nanoparticle (NP) incorporated multilayer thin films based on Layer-by-Layer (LbL) assembly for enhanced sono-photocatalytic degradation of methyl orange under exposure to UV radiation. Multilayer thin films were fabricated on glass and quartz slides, and investigated using scanning electron microscopy and UV-vis spectroscopy. The loading of catalyst NPs on the film resulted in the change of morphology of the film from smooth to rough with uniformly distributed NPs on the surface. The growth of the control and NP incorporated films followed a linear regime as a function of number of layers. The%degradation of methyl orange as a function of time was investigated by UV-vis spectroscopy and total organic carbon (TOC) measurements. Complete degradation of methyl orange was achieved within 13 h. The amount of NP loading in the film significantly influenced the%degradation of methyl orange. Catalyst reusability studies revealed that the catalyst thin films could be repeatedly used for up to five times without any change in photocatalytic activity of the films. The findings of the present study support that the binary metal oxide catalyst films reported here are very useful for continuous systems, and thus, making it an option for scale up.

  5. Self-activated ultrahigh chemosensitivity of oxide thin film nanostructures for transparent sensors

    PubMed Central

    Moon, Hi Gyu; Shim, Young-Soek; Kim, Do Hong; Jeong, Hu Young; Jeong, Myoungho; Jung, Joo Young; Han, Seung Min; Kim, Jong Kyu; Kim, Jin-Sang; Park, Hyung-Ho; Lee, Jong-Heun; Tuller, Harry L.; Yoon, Seok-Jin; Jang, Ho Won

    2012-01-01

    One of the top design priorities for semiconductor chemical sensors is developing simple, low-cost, sensitive and reliable sensors to be built in handheld devices. However, the need to implement heating elements in sensor devices, and the resulting high power consumption, remains a major obstacle for the realization of miniaturized and integrated chemoresistive thin film sensors based on metal oxides. Here we demonstrate structurally simple but extremely efficient all oxide chemoresistive sensors with ~90% transmittance at visible wavelengths. Highly effective self-activation in anisotropically self-assembled nanocolumnar tungsten oxide thin films on glass substrate with indium-tin oxide electrodes enables ultrahigh response to nitrogen dioxide and volatile organic compounds with detection limits down to parts per trillion levels and power consumption less than 0.2 microwatts. Beyond the sensing performance, high transparency at visible wavelengths creates opportunities for their use in transparent electronic circuitry and optoelectronic devices with avenues for further functional convergence. PMID:22905319

  6. Photoinduced Cross-Linking of Dynamic Poly(disulfide) Films via Thiol Oxidative Coupling.

    PubMed

    Feillée, Noémi; Chemtob, Abraham; Ley, Christian; Croutxé-Barghorn, Céline; Allonas, Xavier; Ponche, Arnaud; Le Nouen, Didier; Majjad, Hicham; Jacomine, Léandro

    2016-01-01

    Initially developed as an elastomer with an excellent record of barrier and chemical resistance properties, poly(disulfide) has experienced a revival linked to the dynamic nature of the S-S covalent bond. A novel photobase-catalyzed oxidative polymerization of multifunctional thiols to poly(disulfide) network is reported. Based solely on air oxidation, the single-step process is triggered by the photodecarboxylation of a xanthone acetic acid liberating a strong bicyclic guanidine base. Starting with a 1 μm thick film based on trithiol poly(ethylene oxide) oligomer, the UV-mediated oxidation of thiols to disulfides occurs in a matter of minutes both selectively, i.e., without overoxidation, and quantitatively as assessed by a range of spectroscopic techniques. Thiolate formation and film thickness determine the reaction rates and yield. Spatial control of the photopolymerization serves to generate robust micropatterns, while the reductive cleavage of S-S bridges allows the recycling of 40% of the initial thiol groups. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Structure and thermoelectric properties of Al-doped ZnO films prepared by thermal oxidization under high magnetic field

    NASA Astrophysics Data System (ADS)

    Liu, Shiying; Peng, Sunjuan; Ma, Jun; Li, Guojian; Qin, Xuesi; Li, Mengmeng; Wang, Qiang

    2017-04-01

    This paper studies the effects of high magnetic field (HMF) on the structure, optical and thermoelectric properties of the doped ZnO thin films. The results show that both Al dopant and application of HMF can affect the crystal structure, surface morphology, elemental distribution and so on. The particles of the thin films become small and regular by doping Al. The ZnO films oxidized from the Au/Zn bilayer have needle structure. The ZnO films oxidized from the Au/Zn-Al bilayer transform to spherical from hexagonal due to the application of HMF. The transmittance decreases with doping Al because of the opaque of Al element and decreases with the application of HMF due to the dense structure obtained under HMF. Electrical resistivity (ρ) of the ZnO films without Al decreases with increasing measurement temperature (T) and is about 1.5 × 10-3 Ω·m at 210 °C. However, the ρ of the Al-doped ZnO films is less than 10-5 Ω·m. The Seebeck coefficient (S) of the films oxidized from the Au/Zn-Al films reduces with increasing T. The S values oxidized under 0 T and 12 T conditions are 2.439 μV/K and -3.415 μV/K at 210 °C, respectively. Power factor reaches the maximum value (3.198 × 10-4 W/m·K2) at 210 °C for the film oxidized under 12 T condition. These results indicate that the Al dopant and the application of HMF can be used to control structure and thermoelectric properties of doped ZnO films.

  8. Structural, optical and electrical characteristics of nickel oxide thin films synthesised through chemical processing method

    NASA Astrophysics Data System (ADS)

    Akinkuade, Shadrach; Mwankemwa, Benanrd; Nel, Jacqueline; Meyer, Walter

    2018-04-01

    A simple and cheap chemical deposition method was used to produce a nickel oxide (NiO) thin film on glass substrates from a solution that contained Ni2+ and monoethanolamine. Thermal treatment of the film at temperatures above 350 °C for 1 h caused decomposition of the nickel hydroxide into nickel oxide. Structural, optical and electrical properties of the film were studied using X-ray diffraction (XRD), spectrophotometry, current-voltage measurements and scanning electron microscopy (SEM). The film was found to be polycrystalline with interplanar spacing of 0.241 nm, 0.208 nm and 0.148 nm for (111), (200) and (220) planes respectively, the lattice constant a was found to be 0.417 nm. The film had a porous surface morphology, formed from a network of nanowalls of average thickness of 66.67 nm and 52.00 nm for as-deposited and annealed films respectively. Transmittance of visible light by the as-deposited film was higher and the absorption edge of the film blue-shifted after annealing. The optical band gap of the annealed film was 3.8 eV. Electrical resistivity of the film was 378 Ωm.

  9. Microstructure of epitaxial ferroelectric/metal oxide electrode thin film heterostructures on LaAlO{sub 3} and silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghonge, S.G.; Goo, E.; Ramesh, R.

    1994-12-31

    TEM and X-ray diffraction studies of PZT, PLZT, lead titanate and bismuth titanate ferroelectric thin films and YBa{sub 2}Cu{sub 3}O{sub 7{minus}x}(YBCO), Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8}(BSCCO) and La{sub 0.5}Sr{sub 0.5}CoO{sub 3}(LSCO) electrically conductive oxide thin films, that are sequentially deposited by pulsed laser ablation, show that these films may be deposited epitaxially onto LaAlO{sub 3}(LAO) or Si substrates. The conductive oxides are promising candidates for use is electrodes in place of metal electrodes in integrated ferroelectric device applications. The oxide electrodes are more chemically compatible with the ferroelectric films. High resolution electron microscopy his been used to investigate the interfacemore » between the ferroelectric and metal oxide thin films and no reaction was detected. Epitaxial growth is possible due to the similar crystal structures and the small lattice mismatch. The lattice mismatch that is present causes the domains in the ferroelectric films to be preferentially oriented and in the case of lead titanate, the film is single domain. These films may also have potential applications in integrated optical devices.« less

  10. Structural, optical and electrochemical properties of F-doped vanadium oxide transparent semiconducting thin films

    NASA Astrophysics Data System (ADS)

    Mousavi, M.; Khorrami, Gh. H.; Kompany, A.; Yazdi, Sh. Tabatabai

    2017-12-01

    In this study, F-doped vanadium oxide thin films with doping levels up to 60 at % were prepared by spray pyrolysis method on glass substrates. To measure the electrochemical properties, some films were deposited on fluorine-tin oxide coated glass substrates. The effect of F-doping on the structural, electrical, optical and electrochemical properties of vanadium oxide samples was investigated. The X-ray diffractographs analysis has shown that all the samples grow in tetragonal β-V2O5 phase structure with the preferred orientation of [200]. The intensity of (200) peak belonging to β-V2O5 phase was strongest in the undoped vanadium oxide film. The scanning electron microscopy images show that the samples have nanorod- and nanobelt-shaped structure. The size of the nanobelts in the F-doped vanadium oxide films is smaller than that in the pure sample and the width of the nanobelts increases from 30 to 70 nm with F concentration. With increasing F-doping level from 10 to 60 at %, the resistivity, the transparency and the optical band gap decrease from 111 to 20 Ω cm, 70 to 50% and 2.4 to 2.36 eV, respectively. The cyclic voltammogram (CV) results show that the undoped sample has the most extensive CV and by increasing F-doping level from 20 to 60 at %, the area of the CV is expanded. The anodic and cathodic peaks in F-doped samples are stronger.

  11. Control of the Structure of Diffusion Layer in Carbon Steels Under Nitriding with Preliminary Deposition of Copper Oxide Catalytic Films

    NASA Astrophysics Data System (ADS)

    Petrova, L. G.; Aleksandrov, V. A.; Malakhov, A. Yu.

    2017-07-01

    The effect of thin films of copper oxide deposited before nitriding on the phase composition and the kinetics of growth of diffusion layers in carbon steels is considered. The process of formation of an oxide film involves chemical reduction of pure copper on the surface of steel specimens from a salt solution and subsequent oxidation under air heating. The oxide film exerts a catalytic action in nitriding of low- and medium-carbon steels, which consists in accelerated growth of the diffusion layer, the nitride zone in the first turn. The kinetics of the nitriding process and the phase composition of the layer are controlled by the thickness of the copper oxide precursor, i.e., the deposited copper film.

  12. Evolution of insoluble eutectic Si particles in anodic oxidation films during adipic-sulfuric acid anodizing processes of ZL114A aluminum alloys

    NASA Astrophysics Data System (ADS)

    Hua, Lei; Liu, Jian-hua; Li, Song-mei; Yu, Mei; Wang, Lei; Cui, Yong-xin

    2015-03-01

    The effects of insoluble eutectic Si particles on the growth of anodic oxide films on ZL114A aluminum alloy substrates were investigated by optical microscopy (OM) and scanning electron microscopy (SEM). The anodic oxidation was performed at 25°C and a constant voltage of 15 V in a solution containing 50 g/L sulfuric acid and 10 g/L adipic acid. The thickness of the formed anodic oxidation film was approximately 7.13 μm. The interpore distance and the diameters of the major pores in the porous layer of the film were within the approximate ranges of 10-20 nm and 5-10 nm, respectively. Insoluble eutectic Si particles strongly influenced the morphology of the anodic oxidation films. The anodic oxidation films exhibited minimal defects and a uniform thickness on the ZL114A substrates; in contrast, when the front of the oxide oxidation films encountered eutectic Si particles, defects such as pits and non-uniform thickness were observed, and pits were observed in the films.

  13. Development of metal oxide impregnated stilbite thick film ethanol sensor

    NASA Astrophysics Data System (ADS)

    Mahabole, M. P.; Lakhane, M. A.; Choudhari, A. L.; Khairnar, R. S.

    2016-05-01

    This paper presents the study of the sensing efficiency of Titanium oxide/ Stilbite and Copper oxide /Stilbite composites towards detection of hazardous pollutants like ethanol. Stilbite based composites are prepared by physically mixing zeolite with metal oxides namely TiO2 and CuO with weight ratios of 25:75, 50:50 and 75:25. The resulting sensor materials are characterized by X-ray diffraction and Fourier Transform Infrared Spectroscopy techniques. Composite sensors are fabricated in the form of thick film by using screen printing technique. The effect of metal oxide concentration on various ethanol sensing parameters such as operating temperature, maximum uptake capacity and response/recovery time are investigated. The results indicate that metal oxide impregnated stilbite composites have great potential as low temperature ethanol sensor.

  14. Hafnium isotope evidence for a transition in the dynamics of continental growth 3.2 Gyr ago.

    PubMed

    Næraa, T; Scherstén, A; Rosing, M T; Kemp, A I S; Hoffmann, J E; Kokfelt, T F; Whitehouse, M J

    2012-05-30

    Earth's lithosphere probably experienced an evolution towards the modern plate tectonic regime, owing to secular changes in mantle temperature. Radiogenic isotope variations are interpreted as evidence for the declining rates of continental crustal growth over time, with some estimates suggesting that over 70% of the present continental crustal reservoir was extracted by the end of the Archaean eon. Patterns of crustal growth and reworking in rocks younger than three billion years (Gyr) are thought to reflect the assembly and break-up of supercontinents by Wilson cycle processes and mark an important change in lithosphere dynamics. In southern West Greenland numerous studies have, however, argued for subduction settings and crust growth by arc accretion back to 3.8 Gyr ago, suggesting that modern-day tectonic regimes operated during the formation of the earliest crustal rock record. Here we report in situ uranium-lead, hafnium and oxygen isotope data from zircons of basement rocks in southern West Greenland across the critical time period during which modern-like tectonic regimes could have initiated. Our data show pronounced differences in the hafnium isotope-time patterns across this interval, requiring changes in the characteristics of the magmatic protolith. The observations suggest that 3.9-3.5-Gyr-old rocks differentiated from a >3.9-Gyr-old source reservoir with a chondritic to slightly depleted hafnium isotope composition. In contrast, rocks formed after 3.2 Gyr ago register the first additions of juvenile depleted material (that is, new mantle-derived crust) since 3.9 Gyr ago, and are characterized by striking shifts in hafnium isotope ratios similar to those shown by Phanerozoic subduction-related orogens. These data suggest a transitional period 3.5-3.2 Gyr ago from an ancient (3.9-3.5 Gyr old) crustal evolutionary regime unlike that of modern plate tectonics to a geodynamic setting after 3.2 Gyr ago that involved juvenile crust generation by plate

  15. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance. PMID:28773242

  16. A facile fabrication of chemically converted graphene oxide thin films and their uses as absorber materials for solar cells

    NASA Astrophysics Data System (ADS)

    Adelifard, Mehdi; Darudi, Hosein

    2016-07-01

    There is a great interest in the use of graphene sheets in thin film solar cells with low-cost and good-optoelectronic properties. Here, the production of absorbent conductive reduced graphene oxide (RGO) thin films was investigated. RGO thin films were prepared from spray-coated graphene oxide (GO) layers at various substrate temperature followed by a simple hydrazine-reducing method. The structural, morphological, optical, and electrical characterizations of graphene oxide (GO) and RGO thin films were investigated. X-ray diffraction analysis showed a phase shift from GO to RGO due to hydrazine treatment, in agreement with the FTIR spectra of the layers. FESEM images clearly exhibited continuous films resulting from the overlap of graphene nanosheets. The produced low-cost thin films had high absorption coefficient up to 1.0 × 105 cm-1, electrical resistance as low as 0.9 kΩ/sq, and effective optical band gap of about 1.50 eV, close to the optimum value for solar conversion. The conductive absorbent properties of the reduced graphene oxide thin films would be useful to develop photovoltaic cells.

  17. Zn1-xAlxO:Cu2O transparent metal oxide composite thin films by sol gel method

    NASA Astrophysics Data System (ADS)

    AlHammad, M. S.

    2017-05-01

    We have synthesized undoped zinc oxide (ZnO) and Cu2O doped Zn1-XAlXO (AZO; Al/Zn = 1.5 at.%) metal oxide films by sol-gel spin coating method. Atomic force microscopy results indicate that the Zn1-xAlxO:Cu2O is are formed form the fibers. The surface morphology of the films is found to depend on the concentration of Cu2O. The optical constants such as band gap, Urbach energy, refractive index, extinction coefficient and dielectric constants of the films were determined. The transmittance spectra shows that all the films are highly transparent. The study revealed that undoped ZnO film has direct bang gap of 3.29 eV and the optical band gap of films is increased with doping content. The hot probe measurements indicate that Zn1-xAlxO:Cu2O transparent metal oxide composite thin films exhibited p-type electrical conductivity.

  18. Manganese oxide nanowires, films, and membranes and methods of making

    DOEpatents

    Suib, Steven Lawrence [Storrs, CT; Yuan, Jikang [Storrs, CT

    2008-10-21

    Nanowires, films, and membranes comprising ordered porous manganese oxide-based octahedral molecular sieves, and methods of making, are disclosed. A single crystal ultra-long nanowire includes an ordered porous manganese oxide-based octahedral molecular sieve, and has an average length greater than about 10 micrometers and an average diameter of about 5 nanometers to about 100 nanometers. A film comprises a microporous network comprising a plurality of single crystal nanowires in the form of a layer, wherein a plurality of layers is stacked on a surface of a substrate, wherein the nanowires of each layer are substantially axially aligned. A free standing membrane comprises a microporous network comprising a plurality of single crystal nanowires in the form of a layer, wherein a plurality of layers is aggregately stacked, and wherein the nanowires of each layer are substantially axially aligned.

  19. Microstructure, optical, and electrochromic properties of sol-gel nanoporous tungsten oxide films

    NASA Astrophysics Data System (ADS)

    Djaoued, Yahia; Ashrit, P. V.; Badilescu, S.; Bruning, R.

    2003-08-01

    Porous tungsten oxide films have been prepared by a nonhydrolitic sol-gel method using poly(ethylene glycol) (PEG) as a structure directing agent. The method entails the hydrolysis of an ethanolic solution of tungsten ethoxide (formed by the reaction of WCl6 with ethanol) followed by condensation and polymerization at the PEG-tungsten oxide oligometers interface. A highly porous WO3 framework was obtained after PEG was burned off by calcination at a relativley low temperature. AFM images of the films treated thermally show an ordered material rather than microscopic particulates. Both fibrilar nanostructures and striped phase can be obtained via this approach, depending on the concentration of PEG in the coating solution. XRD data from the fibrils indicate that they are crystalline with very small crystals, whereas the striped phases obtained with 20% PEG correspond to two crystalline phases, one, the stoichiometric WO3 and the other one an oxygen deficient phase, containing larger crystals (~28 nm). The results show that PEG promotes the formation of oxygen deficient phases and delays crystallization. Compared to WO3 with no PEG, the optical and electrochromic properties of the macroporous tungsten oxide films appear to be significantly improved. The formation of organized nanostructures is tentatively accounted for by the strong hydrogen bonding interactions between PEG and the tungsten oxide oligomers.

  20. Oxidation and biodegradation of polyethylene films containing pro-oxidantadditives: Synergistic effects of sunlight exposure, thermal aging and fungal biodegradation

    USDA-ARS?s Scientific Manuscript database

    Synergistic effects of sunlight exposure, thermal aging and fungal biodegradation on the oxidation and biodegradation of linear low density poly (ethylene) PE-LLD films containing pro-oxidant were examined. To achieve oxidation and degradation, films were first exposed to the sunlight for 93 days du...

  1. Stabilization of ultrathin (hydroxy)oxide films on transition metal substrates for electrochemical energy conversion

    NASA Astrophysics Data System (ADS)

    Zeng, Zhenhua; Chang, Kee-Chul; Kubal, Joseph; Markovic, Nenad M.; Greeley, Jeffrey

    2017-06-01

    Design of cost-effective electrocatalysts with enhanced stability and activity is of paramount importance for the next generation of energy conversion systems, including fuel cells and electrolysers. However, electrocatalytic materials generally improve one of these properties at the expense of the other. Here, using density functional theory calculations and electrochemical surface science measurements, we explore atomic-level features of ultrathin (hydroxy)oxide films on transition metal substrates and demonstrate that these films exhibit both excellent stability and activity for electrocatalytic applications. The films adopt structures with stabilities that significantly exceed bulk Pourbaix limits, including stoichiometries not found in bulk and properties that are tunable by controlling voltage, film composition, and substrate identity. Using nickel (hydroxy)oxide/Pt(111) as an example, we further show how the films enhance activity for hydrogen evolution through a bifunctional effect. The results suggest design principles for this class of electrocatalysts with simultaneously enhanced stability and activity for energy conversion.

  2. Stabilization of ultrathin (hydroxy)oxide films on transition metal substrates for electrochemical energy conversion

    DOE PAGES

    Zeng, Zhenhua; Chang, Kee-Chul; Kubal, Joseph; ...

    2017-05-08

    Design of cost-effective electrocatalysts with enhanced stability and activity is of paramount importance for the next generation of energy conversion systems, including fuel cells and electrolyzers. However, electrocatalytic materials generally improve one of these properties at the expense of the other. Here, using Density Functional Theory calculations and electrochemical surface science measurements, we explore atomic-level features of ultrathin (hydroxy)oxide films on transition metal substrates and demonstrate that these films exhibit both excellent stability and activity for electrocatalytic applications. The films adopt structures with stabilities that significantly exceed bulk Pourbaix limits, including stoichiometries not found in bulk and properties that aremore » tunable by controlling voltage, film composition, and substrate identity. Using nickel (hydroxy)oxide/Pt(111) as an example, we further show how the films enhance activity for hydrogen evolution through a bifunctional effect. Finally, the results suggest design principles for a new class of electrocatalysts with simultaneously enhanced stability and activity for energy conversion.« less

  3. Synthesis of tin oxide nanoparticle film by cathodic electrodeposition.

    PubMed

    Kim, Seok; Lee, Hochun; Park, Chang Min; Jung, Yongju

    2012-02-01

    Three-dimensional SnO2 nanoparticle films were deposited onto a copper substrate by cathodic electrodeposition in a nitric acid solution. A new formation mechanism for SnO2 films is proposed based on the oxidation of Sn2+ ion to Sn4+ ion by NO+ ion and the hydrolysis of Sn4+. The particle size of SnO2 was controlled by deposition potential. The SnO2 showed excellent charge capacity (729 mAh/g) at a 0.2 C rate and high rate capability (460 mAh/g) at a 5 C rate.

  4. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  5. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  6. Acoustoelectric current saturation in c-axis fiber-textured polycrystalline zinc oxide films

    NASA Astrophysics Data System (ADS)

    Pompe, T.; Srikant, V.; Clarke, D. R.

    1996-12-01

    Acoustoelectric current saturation, which until now has only been observed in piezoelectric single crystals, is observed in thin polycrystalline zinc oxide films. Epitaxial ZnO films on c-plane sapphire and textured ZnO polycrystalline films on fused silica both exhibit current saturation phenomenon. The values of the saturation current densities are in the range 105-106 A/cm2, depending on the carrier concentration in the film, with corresponding saturation electric fields of 3-5×103 V/cm. In addition to the current saturation, the electrical properties of the films degraded with the onset of the acoustoelectric effect but could be restored by annealing at 250 °C in a vacuum for 30 min.

  7. Generation of metallic plasmon nanostructures in a thin transparent photosensitive copper oxide film by femtosecond thermochemical decomposition

    NASA Astrophysics Data System (ADS)

    Danilov, P. A.; Zayarny, D. A.; Ionin, A. A.; Kudryashov, S. I.; Litovko, E. P.; Mel'nik, N. N.; Rudenko, A. A.; Saraeva, I. N.; Umanskaya, S. P.; Khmelnitskii, R. A.

    2017-09-01

    Irradiation of optically transparent copper (I) oxide film covering a glass substrate with a tightly focused femtosecond laser pulses in the pre-ablation regime leads to film reduction to a metallic colloidal state via a single-photon absorption and its subsequent thermochemical decomposition. This effect was demonstrated by the corresponding measurement of the extinction spectrum in visible spectral range. The laser-induced formation of metallic copper nanoparticles in the focal region inside the bulk oxide film allows direct recording of individual thin-film plasmon nanostructures and optical-range metasurfaces.

  8. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    NASA Astrophysics Data System (ADS)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  9. Antimony-Doped Tin Oxide Thin Films Grown by Home Made Spray Pyrolysis Technique

    NASA Astrophysics Data System (ADS)

    Yusuf, Gbadebo; Babatola, Babatunde Keji; Ishola, Abdulahi Dimeji; Awodugba, Ayodeji O.; Solar cell Collaboration

    2016-03-01

    Transparent conducting antimony-doped tin oxide (ATO) films have been deposited on glass substrates by home made spray pyrolysis technique. The structural, electrical and optical properties of the ATO films have been investigated as a function of Sb-doping level and annealing temperature. The optimum target composition for high conductivity and low resistivity was found to be 20 wt. % SnSb2 + 90 wt. ATO. Under optimized deposition conditions of 450oC annealing temperature, electrical resistivity of 5.2×10-4 Ω -cm, sheet resistance of 16.4 Ω/sq, average optical transmittance of 86% in the visible range, and average optical band-gap of 3.34eV were obtained. The film deposited at lower annealing temperature shows a relatively rough, loosely bound slightly porous surface morphology while the film deposited at higher annealing temperature shows uniformly distributed grains of greater size. Keywords: Annealing, Doping, Homemade spray pyrolysis, Tin oxide, Resistivity

  10. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications.

    PubMed

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-17

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  11. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    NASA Astrophysics Data System (ADS)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  12. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type <1 0 0> Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  13. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    PubMed

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  14. Interfacial Shear Strength of Multilayer Graphene Oxide Films.

    PubMed

    Daly, Matthew; Cao, Changhong; Sun, Hao; Sun, Yu; Filleter, Tobin; Singh, Chandra Veer

    2016-02-23

    Graphene oxide (GO) is considered as one of the most promising layered materials with tunable physical properties and applicability in many important engineering applications. In this work, the interfacial behavior of multilayer GO films was directly investigated via GO-to-GO friction force microscopy, and the interfacial shear strength (ISS) was measured to be 5.3 ± 3.2 MPa. Based on high resolution atomic force microscopy images and the available chemical data, targeted molecular dynamics simulations were performed to evaluate the influence of functional structure, topological defects, and interlayer registry on the shear response of the GO films. Theoretical values for shear strength ranging from 17 to 132 MPa were predicted for the different structures studied, providing upper bounds for the ISS. Computational results also revealed the atomic origins of the stochastic nature of friction measurements. Specifically, the wide scatter in experimental measurements was attributed to variations in functional structure and topological defects within the sliding volume. The findings of this study provide important insight for understanding the significant differences in strength between monolayer and bulk graphene oxide materials and can be useful for engineering topological structures with tunable mechanical properties.

  15. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    PubMed

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  16. Porous Aluminum Oxide and Magnesium Oxide Films Using Organic Hydrogels as Structure Matrices

    PubMed Central

    Chen, Zimei

    2018-01-01

    We describe the synthesis of mesoporous Al2O3 and MgO layers on silicon wafer substrates by using poly(dimethylacrylamide) hydrogels as porogenic matrices. Hydrogel films are prepared by spreading the polymer through spin-coating, followed by photo-cross-linking and anchoring to the substrate surface. The metal oxides are obtained by swelling the hydrogels in the respective metal nitrate solutions and subsequent thermal conversion. Combustion of the hydrogel results in mesoporous metal oxide layers with thicknesses in the μm range and high specific surface areas up to 558 m2∙g−1. Materials are characterized by SEM, FIB ablation, EDX, and Kr physisorption porosimetry. PMID:29565802

  17. Preparation of Zinc Oxide (ZnO) Thin Film as Transparent Conductive Oxide (TCO) from Zinc Complex Compound on Thin Film Solar Cells: A Study of O2 Effect on Annealing Process

    NASA Astrophysics Data System (ADS)

    Muslih, E. Y.; Kim, K. H.

    2017-07-01

    Zinc oxide (ZnO) thin film as a transparent conductive oxide (TCO) for thin film solar cell application was successfully prepared through two step preparations which consisted of deposition by spin coating at 2000 rpm for 10 second and followed by annealing at 500 °C for 2 hours under O2 and ambient atmosphere. Zinc acetate dehydrate was used as a precursor which dissolved in ethanol and acetone (1:1 mol) mixture in order to make a zinc complex compound. In this work, we reported the O2 effect, reaction mechanism, structure, morphology, optical and electrical properties. ZnO thin film in this work shows a single phase of wurtzite, with n-type semiconductor and has band gap, carrier concentration, mobility, and resistivity as 3.18 eV, 1.21 × 10-19cm3, 11 cm2/Vs, 2.35 × 10-3 Ωcm respectively which is suitable for TCO at thin film solar cell.

  18. X-ray photoelectron spectroscopic study of the oxide film on an aluminum-tin alloy in 3.5% sodium chloride solution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Venugopal, A.; Selvam, P.; Raja, V.S.

    1997-10-01

    Oxide films on Al and an Al-Sn alloy were analyzed by x-ray photoelectron spectroscopy (XPS) after immersion in 3.5% sodium chloride (NaCl) solution. Results showed Sn exhibited both Sn{sup 2+} and Sn{sup 4+} oxidation stats in the oxide film. It was proposed that incorporation of these cations in the film would result in generation of more anionic and cationic vacancies in aluminum oxide (Al{sub 2}O{sub 3}), leading to active dissolution of Al.

  19. Chemical stability and electrical performance of dual-active-layered zinc-tin-oxide/indium-gallium-zinc-oxide thin-film transistors using a solution process.

    PubMed

    Kim, Chul Ho; Rim, You Seung; Kim, Hyun Jae

    2013-07-10

    We investigated the chemical stability and electrical properties of dual-active-layered zinc-tin-oxide (ZTO)/indium-gallium-zinc-oxide (IGZO) structures (DALZI) with the durability of the chemical damage. The IGZO film was easily corroded or removed by an etchant, but the DALZI film was effectively protected by the high chemical stability of ZTO. Furthermore, the electrical performance of the DALZI thin-film transistor (TFT) was improved by densification compared to the IGZO TFT owing to the passivation of the pin holes or pore sites and the increase in the carrier concentration due to the effect of Sn(4+) doping.

  20. Fabrication and characterization of lithographically patterned and optically transparent anodic aluminum Oxide (AAO) nanostructure thin film.

    PubMed

    He, Yuan; Li, Xiang; Que, Long

    2012-10-01

    Optically transparent anodic aluminum oxide (AAO) nanostructure thin film has been successfully fabricated from lithographically patterned aluminum on indium tin oxide (ITO) glass substrates for the first time, indicating the feasibility to integrate the AAO nanostructures with microdevices or microfluidics for a variety of applications. Both one-step and two-step anodization processes using sulfuric acid and oxalic acid have been utilized for fabricating the AAO nanostructure thin film. The optical properties of the fabricated AAO nanostructure thin film have been evaluated and analyzed.

  1. Lowering the environmental impact of high-kappa/ metal gate stack surface preparation processes

    NASA Astrophysics Data System (ADS)

    Zamani, Davoud

    ABSTRACT Hafnium based oxides and silicates are promising high-κ dielectrics to replace SiO2 as gate material for state-of-the-art semiconductor devices. However, integrating these new high-κ materials into the existing complementary metal-oxide semiconductor (CMOS) process remains a challenge. One particular area of concern is the use of large amounts of HF during wet etching of hafnium based oxides and silicates. The patterning of thin films of these materials is accomplished by wet etching in HF solutions. The use of HF allows dissolution of hafnium as an anionic fluoride complex. Etch selectivity with respect to SiO2 is achieved by appropriately diluting the solutions and using slightly elevated temperatures. From an ESH point of view, it would be beneficial to develop methods which would lower the use of HF. The first objective of this study is to find new chemistries and developments of new wet etch methods to reduce fluoride consumption during wet etching of hafnium based high-κ materials. Another related issue with major environmental impact is the usage of large amounts of rinsing water for removal of HF in post-etch cleaning step. Both of these require a better understanding of the HF interaction with the high-κ surface during the etching, cleaning, and rinsing processes. During the rinse, the cleaning chemical is removed from the wafers. Ensuring optimal resource usage and cycle time during the rinse requires a sound understanding and quantitative description of the transport effects that dominate the removal rate of the cleaning chemicals from the surfaces. Multiple processes, such as desorption and re-adsorption, diffusion, migration and convection, all factor into the removal rate of the cleaning chemical during the rinse. Any of these processes can be the removal rate limiting process, the bottleneck of the rinse. In fact, the process limiting the removal rate generally changes as the rinse progresses, offering the opportunity to save resources

  2. Development of metal oxide impregnated stilbite thick film ethanol sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahabole, M. P., E-mail: kashinath.bogle@gmail.com; Lakhane, M. A.; Choudhari, A. L.

    This paper presents the study of the sensing efficiency of Titanium oxide/ Stilbite and Copper oxide /Stilbite composites towards detection of hazardous pollutants like ethanol. Stilbite based composites are prepared by physically mixing zeolite with metal oxides namely TiO{sub 2} and CuO with weight ratios of 25:75, 50:50 and 75:25. The resulting sensor materials are characterized by X-ray diffraction and Fourier Transform Infrared Spectroscopy techniques. Composite sensors are fabricated in the form of thick film by using screen printing technique. The effect of metal oxide concentration on various ethanol sensing parameters such as operating temperature, maximum uptake capacity and response/recoverymore » time are investigated. The results indicate that metal oxide impregnated stilbite composites have great potential as low temperature ethanol sensor.« less

  3. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Astrophysics Data System (ADS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-06-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  4. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Technical Reports Server (NTRS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-01-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  5. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  6. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    NASA Astrophysics Data System (ADS)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  7. Highly conducting and crystalline doubly doped tin oxide films fabricated using a low-cost and simplified spray technique

    NASA Astrophysics Data System (ADS)

    Ravichandran, K.; Muruganantham, G.; Sakthivel, B.

    2009-11-01

    Doubly doped (simultaneous doping of antimony and fluorine) tin oxide films (SnO 2:Sb:F) have been fabricated by employing an inexpensive and simplified spray technique using perfume atomizer from aqueous solution of SnCl 2 precursor. The structural studies revealed that the films are highly crystalline in nature with preferential orientation along the (2 0 0) plane. It is found that the size of the crystallites of the doubly doped tin oxide films is larger (69 nm) than that (27 nm) of their undoped counterparts. The dislocation density of the doubly doped film is lesser (2.08×10 14 lines/m 2) when compared with that of the undoped film (13.2×10 14 lines/m 2), indicating the higher degree of crystallinity of the doubly doped films. The SEM images depict that the films are homogeneous and uniform. The optical transmittance in the visible range and the optical band gap of the doubly doped films are 71% and 3.56 eV respectively. The sheet resistance (4.13 Ω/□) attained for the doubly doped film in this study is lower than the values reported for spray deposited fluorine or antimony doped tin oxide films prepared from aqueous solution of SnCl 2 precursor (without using methanol or ethanol).

  8. Technology and characterization of Thin-Film Transistors (TFTs) with a-IGZO semiconductor and high-k dielectric layer

    NASA Astrophysics Data System (ADS)

    Mroczyński, R.; Wachnicki, Ł.; Gierałtowska, S.

    2016-12-01

    In this work, we present the design of the technology and fabrication of TFTs with amorphous IGZO semiconductor and high-k gate dielectric layer in the form of hafnium oxide (HfOx). In the course of this work, the IGZO fabrication was optimized by means of Taguchi orthogonal tables approach in order to obtain an active semiconductor with reasonable high concentration of charge carriers, low roughness and relatively high mobility. The obtained Thin-Film Transistors can be characterized by very good electrical parameters, i.e., the effective mobility (μeff ≍ 12.8 cm2V-1s-1) significantly higher than that for a-Si TFTs (μeff ≍ 1 cm2V-1s-1). However, the value of sub-threshold swing (i.e., 640 mV/dec) points that the interfacial properties of IGZO/HfOx stack is characterized by high value of interface states density (Dit) which, in turn, demands further optimization for future applications of the demonstrated TFT structures.

  9. Effects of oxidation potential and retention time on electrochromic stability of poly (3-hexyl thiophene) films

    NASA Astrophysics Data System (ADS)

    Kim, Tae-Ho; Hyun Song, Seok; Kim, Hyo-Jae; Oh, Seong-Hyeon; Han, Song-Yi; Kim, Goung; Nah, Yoon-Chae

    2018-06-01

    Herein, we report the effects of applied voltage on the electrochromic (EC) stability of poly(3-hexylthiophene) (P3HT) films during EC reactions. The transmittance difference and cycling stability of these films were monitored to optimize the oxidation voltage, and their chemical compositions were analyzed by X-ray photoelectron spectroscopy after long-term electrochemical cycling. High oxidation voltages increased the color contrast of P3HT films but decreased their cycling stability due to facilitating chemical degradation. Furthermore, at an optimized oxidation voltage, the retention time during potential pulsing was adjusted utilizing the optical memory of P3HT, revealing that the decreased voltage application time reduced power consumption by 9.6% and enhanced EC stability without loss of color contrast.

  10. Electronic-Reconstruction-Enhanced Tunneling Conductance at Terrace Edges of Ultrathin Oxide Films.

    PubMed

    Wang, Lingfei; Kim, Rokyeon; Kim, Yoonkoo; Kim, Choong H; Hwang, Sangwoon; Cho, Myung Rae; Shin, Yeong Jae; Das, Saikat; Kim, Jeong Rae; Kalinin, Sergei V; Kim, Miyoung; Yang, Sang Mo; Noh, Tae Won

    2017-11-01

    Quantum mechanical tunneling of electrons across ultrathin insulating oxide barriers has been studied extensively for decades due to its great potential in electronic-device applications. In the few-nanometers-thick epitaxial oxide films, atomic-scale structural imperfections, such as the ubiquitously existed one-unit-cell-high terrace edges, can dramatically affect the tunneling probability and device performance. However, the underlying physics has not been investigated adequately. Here, taking ultrathin BaTiO 3 films as a model system, an intrinsic tunneling-conductance enhancement is reported near the terrace edges. Scanning-probe-microscopy results demonstrate the existence of highly conductive regions (tens of nanometers wide) near the terrace edges. First-principles calculations suggest that the terrace-edge geometry can trigger an electronic reconstruction, which reduces the effective tunneling barrier width locally. Furthermore, such tunneling-conductance enhancement can be discovered in other transition metal oxides and controlled by surface-termination engineering. The controllable electronic reconstruction can facilitate the implementation of oxide electronic devices and discovery of exotic low-dimensional quantum phases. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Tuning the properties of tin oxide thin films for device fabrications

    NASA Astrophysics Data System (ADS)

    Sudha, A.; Sharma, S. L.; Gupta, A. N.; Sharma, S. D.

    2017-11-01

    Tin oxide thin films were deposited on well cleaned glass substrates by thermal evaporation in vacuum and were annealed at 500 ∘C in the open atmosphere inside a furnace for 90 min for promoting the sensitivity of the films. The X-ray diffraction studies revealed that the as-deposited films were amorphous in nature and the annealed films showed appreciable crystalline behavior. The annealed thin films were then irradiated using 60Co gamma source. The radiation induced changes were then studied by X-ray diffraction, scanning electron microscopy, UV-vis spectroscopy and I- V characterization. The remarkable increase in the average grain size, the decrement in the energy band gap and resistivity due to the gamma irradiations up to a certain dose and the reversal of these properties at higher doses are the important observations. The large changes in the conductivity and energy band gap of the annealed thin films due to gamma irradiation make these films quite important device material for the fabrication of gamma sensors and dosimeters.

  12. Enhanced Reduction of Graphene Oxide on Recyclable Cu Foils to Fabricate Graphene Films with Superior Thermal Conductivity

    PubMed Central

    Huang, Sheng-Yun; Zhao, Bo; Zhang, Kai; Yuen, Matthew M. F.; Xu, Jian-Bin; Fu, Xian-Zhu; Sun, Rong; Wong, Ching-Ping

    2015-01-01

    Large-area freestanding graphene films are facilely fabricated by reducing graphene oxide films on recyclable Cu foils in H2-containing atmosphere at high temperature. Cu might act as efficient catalysts for considerably improved reduction of graphene oxide according to the SEM, EDS, XRD, XPS, Raman and TGA results. Comparing to the graphene films with ~30 μm thickness reduced without Cu substrate at 900 °C, the thermal conductivity and electrical conductivity of graphene films reduced on Cu foils are enhanced about 140% to 902 Wm−1K−1 and 3.6 × 104 S/m, respectively. Moreover, the graphene films demonstrate superior thermal conductivity of ~1219 Wm−1K−1 as decreasing the thickness of films to ~10 μm. The graphene films also exhibit excellent mechanical properties and flexibility. PMID:26404674

  13. Thin-film transistors with a graphene oxide nanocomposite channel.

    PubMed

    Jilani, S Mahaboob; Gamot, Tanesh D; Banerji, P

    2012-12-04

    Graphene oxide (GO) and graphene oxide-zinc oxide nanocomposites (GO-ZnO) were used as channel materials on SiO(2)/Si to fabricate thin-film transistors (TFT) with an aluminum source and drain. Pure GO-based TFT showed poor field-effect characteristics. However, GO-ZnO-nanocomposite-based TFT showed better field-effect performance because of the anchoring of ZnO nanostructures in the GO matrix, which causes a partial reduction in GO as is found from X-ray photoelectron spectroscopic data. The field-effect mobility of charge carriers at a drain voltage of 1 V was found to be 1.94 cm(2)/(V s). The transport of charge carriers in GO-ZnO was explained by a fluctuation-induced tunneling mechanism.

  14. Indium oxide inverse opal films synthesized by structure replication method

    NASA Astrophysics Data System (ADS)

    Amrehn, Sabrina; Berghoff, Daniel; Nikitin, Andreas; Reichelt, Matthias; Wu, Xia; Meier, Torsten; Wagner, Thorsten

    2016-04-01

    We present the synthesis of indium oxide (In2O3) inverse opal films with photonic stop bands in the visible range by a structure replication method. Artificial opal films made of poly(methyl methacrylate) (PMMA) spheres are utilized as template. The opal films are deposited via sedimentation facilitated by ultrasonication, and then impregnated by indium nitrate solution, which is thermally converted to In2O3 after drying. The quality of the resulting inverse opal film depends on many parameters; in this study the water content of the indium nitrate/PMMA composite after drying is investigated. Comparison of the reflectance spectra recorded by vis-spectroscopy with simulated data shows a good agreement between the peak position and calculated stop band positions for the inverse opals. This synthesis is less complex and highly efficient compared to most other techniques and is suitable for use in many applications.

  15. Ultrathin dendrimer-graphene oxide composite film for stable cycling lithium-sulfur batteries.

    PubMed

    Liu, Wen; Jiang, Jianbing; Yang, Ke R; Mi, Yingying; Kumaravadivel, Piranavan; Zhong, Yiren; Fan, Qi; Weng, Zhe; Wu, Zishan; Cha, Judy J; Zhou, Henghui; Batista, Victor S; Brudvig, Gary W; Wang, Hailiang

    2017-04-04

    Lithium-sulfur batteries (Li-S batteries) have attracted intense interest because of their high specific capacity and low cost, although they are still hindered by severe capacity loss upon cycling caused by the soluble lithium polysulfide intermediates. Although many structure innovations at the material and device levels have been explored for the ultimate goal of realizing long cycle life of Li-S batteries, it remains a major challenge to achieve stable cycling while avoiding energy and power density compromises caused by the introduction of significant dead weight/volume and increased electrochemical resistance. Here we introduce an ultrathin composite film consisting of naphthalimide-functionalized poly(amidoamine) dendrimers and graphene oxide nanosheets as a cycling stabilizer. Combining the dendrimer structure that can confine polysulfide intermediates chemically and physically together with the graphene oxide that renders the film robust and thin (<1% of the thickness of the active sulfur layer), the composite film is designed to enable stable cycling of sulfur cathodes without compromising the energy and power densities. Our sulfur electrodes coated with the composite film exhibit very good cycling stability, together with high sulfur content, large areal capacity, and improved power rate.

  16. Electrical and Infrared Optical Properties of Vanadium Oxide Semiconducting Thin-Film Thermometers

    NASA Astrophysics Data System (ADS)

    Zia, Muhammad Fakhar; Abdel-Rahman, Mohamed; Alduraibi, Mohammad; Ilahi, Bouraoui; Awad, Ehab; Majzoub, Sohaib

    2017-10-01

    A synthesis method has been developed for preparation of vanadium oxide thermometer thin film for microbolometer application. The structure presented is a 95-nm thin film prepared by sputter-depositing nine alternating multilayer thin films of vanadium pentoxide (V2O5) with thickness of 15 nm and vanadium with thickness of 5 nm followed by postdeposition annealing at 300°C in nitrogen (N2) and oxygen (O2) atmospheres. The resulting vanadium oxide (V x O y ) thermometer thin films exhibited temperature coefficient of resistance (TCR) of -3.55%/°C with room-temperature resistivity of 2.68 Ω cm for structures annealed in N2 atmosphere, and TCR of -3.06%/°C with room-temperature resistivity of 0.84 Ω cm for structures annealed in O2 atmosphere. Furthermore, optical measurements of N2- and O2-annealed samples were performed by Fourier-transform infrared ellipsometry to determine their dispersion curves, refractive index ( n), and extinction coefficient ( k) at wavelength from 7000 nm to 14,000 nm. The results indicate the possibility of applying the developed materials in thermometers for microbolometers.

  17. An investigation of thin-film Ni-Fe oxide catalysts for the electrochemical evolution of oxygen.

    PubMed

    Louie, Mary W; Bell, Alexis T

    2013-08-21

    A detailed investigation has been carried out of the structure and electrochemical activity of electrodeposited Ni-Fe films for the oxygen evolution reaction (OER) in alkaline electrolytes. Ni-Fe films with a bulk and surface composition of 40% Fe exhibit OER activities that are roughly 2 orders of magnitude higher than that of a freshly deposited Ni film and about 3 orders of magnitude higher than that of an Fe film. The freshly deposited Ni film increases in activity by as much as 20-fold during exposure to the electrolyte (KOH); however, all films containing Fe are stable as deposited. The oxidation of Ni(OH)2 to NiOOH in Ni films occurs at potentials below the onset of the OER. Incorporation of Fe into the film increases the potential at which Ni(OH)2/NiOOH redox occurs and decreases the average oxidation state of Ni in NiOOH. The Tafel slope (40 mV dec(-1)) and reaction order in OH(-) (1) for the mixed Ni-Fe films (containing up to 95% Fe) are the same as those for aged Ni films. In situ Raman spectra acquired in 0.1 M KOH at OER potentials show two bands characteristic of NiOOH. The relative intensities of these bands vary with Fe content, indicating a change in the local environment of Ni-O. Similar changes in the relative intensities of the bands and an increase in OER activity are observed when pure Ni films are aged. These observations suggest that the OER is catalyzed by Ni in Ni-Fe films and that the presence of Fe alters the redox properties of Ni, causing a positive shift in the potential at which Ni(OH)2/NiOOH redox occurs, a decrease in the average oxidation state of the Ni sites, and a concurrent increase in the activity of Ni cations for the OER.

  18. Electron microscopic and ion scattering studies of heteroepitaxial tin-doped indium oxide films

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Shigesato, Yuzo; Takaki, Satoru; Hayashi, Yasuo; Sasaki, Mikio; Haynes, Tony E.

    1994-08-01

    The microstructure of heteroepitaxial tin-doped indium oxide (ITO) films were studied in detail. The surface morphology of the heteroepitaxial ITO film consisted of square-shaped, in-plane oriented subgrains (˜300 Å) in contrast to that of the polycrystalline film (characteristic grain-subgrain structure). The subgrain boundaries were predominantly formed along the {110} planes in the ITO film and dislocations were observed primarily along the subgrain boundaries. Ion channeling measurements showed the dislocation density of this film to be approximately 3×1010/cm2, and the angular distribution of the ion channeling yield showed that the subgrains are aligned to within better than 0.3° (standard deviation).

  19. Interfacial control of oxygen vacancy doping and electrical conduction in thin film oxide heterostructures

    DOE PAGES

    Veal, Boyd W.; Kim, Seong Keun; Zapol, Peter; ...

    2016-06-10

    Oxygen vacancies in proximity to surfaces and heterointerfaces in oxide thin film heterostructures have major effects on properties, resulting, for example, in emergent conduction behavior, large changes in metal-insulator transition temperatures, or enhanced catalytic activity. Here in this paper, we report the discovery of a means of reversibly controlling the oxygen vacancy concentration and distribution in oxide heterostructures consisting of electronically conducting In 2O 3 films grown on ionically conducting Y 2O 3-stabilized ZrO 2 substrates. Oxygen ion redistribution across the heterointerface is induced using an applied electric field oriented in the plane of the interface, resulting in controlled oxygenmore » vacancy (and hence electron) doping of the film and possible orders-of-magnitude enhancement of the film's electrical conduction. The reversible modified behavior is dependent on interface properties and is attained without cation doping or changes in the gas environment.« less

  20. Epitaxial growth and properties of doped transition metal and complex oxide films.

    PubMed

    Chambers, Scott A

    2010-01-12

    The detailed science and technology of crystalline oxide film growth using vacuum methods is reviewed and discussed with an eye toward gaining fundamental insights into the relationships between growth process and parameters, film and interface structure and composition, and electronic, magnetic and photochemical properties. The topic is approached first from a comparative point of view based on the most widely used growth methods, and then on the basis of specific material systems that have generated very high levels of interest. Emphasis is placed on the wide diversity of structural, electronic, optical and magnetic properties exhibited by oxides, and the fascinating results that this diversity of properties can produce when combined with the degrees of freedom afforded by heteroepitaxy.

  1. Probing specific oxides as potential supports for metal/oxide model catalysts: MgO(111) polar film

    NASA Astrophysics Data System (ADS)

    Grigorkina, G. S.; Ramonova, A. G.; Kibizov, D. D.; Kozyrev, E. N.; Zaalishvili, V. B.; Fukutani, K.; Magkoev, T. T.

    2017-05-01

    The growth of thermally evaporated magnesium oxide thin film on Mo(110) substrate in ultra-high vacuum was studied by means of Auger electron spectroscopy (AES), low-energy electron diffraction (LEED) and work function (WF) measurements. It is shown that at a growth rate of c.a. 0.1 monolayer per minute and the substrate temperature of 600 K the film acquires the MgO(111) structure. This structure begins to form at two monolayers and holds up to six monolayers. At higher thickness the film disorders due to weakening of the ordering effect of the isosymmetric Mo(110) support. Adsorption of CO and H2 on the formed MgO(111) film cooled down to 90 K was studied by means of ultraviolet photoelectron spectroscopy (UPS) and reflection absorption infrared spectroscopy (RAIRS) and compared with in-situ obtained results for CO on Pt(111). Comparison of UPS data of CO on MgO(111) and Pt(111) in combination with RAIRS results reveals quite different bonding mechanisms on the metal and the oxide supports. The main feature of CO on MgO(111) is quite high intensity of CO stretch vibration, considerably exceeding that on amorphous MgO, and comparable to that of CO on Pt(111). This is presumably due to the electrostatic effect of the uncompensated microscopic dipole moment of ultrathin MgO(111) film on the enhancing of CO dynamical dipole moment. Adsorption of H2 dramatically reduces the CO stretch intensity as a possible result of removing of dipole moment of MgO(111) surface by hydrogen and (CO+H2) interaction.

  2. Glancing-angle-deposited magnesium oxide films for high-fluence applications

    DOE PAGES

    Oliver, J. B.; Smith, C.; Spaulding, J.; ...

    2016-06-15

    Here, Birefringent magnesium oxide thin films are formed by glancing angle deposition to perform as quarter-wave plates at a wavelength of 351 nm. These films are being developed to fabricate a large aperture distributed-polarization rotator for use in vacuum, with an ultimate laser-damage–threshold goal of up to 12 J/cm 2 for a 5-ns flat-in-time pulse. The laser-damage threshold, ease of deposition, and optical film properties are evaluated. While the measured large-area laser-damage threshold is limited to ~4 J/cm 2 in vacuum, initial results based on small-spot testing in air (>20 J/cm 2) suggest MgO may be suitable with further processmore » development.« less

  3. Electrical and optical properties of molybdenum doped zinc oxide films prepared by reactive RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reddy, R. Subba; Sreedhar, A.; Uthanna, S., E-mail: uthanna@rediffmail.com

    Molybdenum doped zinc oxide (MZO) films were deposited on to glass substrates held at temperatures in the range from 303 to 673 K by reactive RF magnetron sputtering method. The chemical composition, crystallographic structure and surface morphology, electrical and optical properties of the films were determined. The films contained the molybdenum of 2.7 at. % in ZnO. The films deposited at 303 K were of X-ray amorphous. The films formed at 473 K were of nanocrystalline in nature with wurtzite structure. The crystallite size of the films was increased with the increase of substrate temperature. The optical transmittance of the films was inmore » the visible range was 80–85%. The molybdenum (2.7 at %) doped zinc oxide films deposited at substrate temperature of 573 K were of nanocrystalline with electrical resistivity of 7.2×10{sup −3} Ωcm, optical transmittance of 85 %, optical band gap of 3.35 eV and figure of merit 30.6 Ω{sup −1}cm{sup −1}.« less

  4. Synthesis of nanocrystalline α-Fe2O3 by using thermal oxidation of Fe Films

    NASA Astrophysics Data System (ADS)

    Fortas, G.; Saidoun, I.; Abboud, H.; Gabouze, N.; Haine, N.; Manseri, A.; Zergoug, M.; Menari, H.; Sam, S.; Cheraga, H.; Bozetine, I.

    2018-03-01

    α-Fe2O3 hematite films were prepared by thermal oxidation from Fe films electroplated on silicon. Electrodeposition of Fe thin films was carried out from a sulfate bath containing an ammonium chloride complexing agent. The electrochemical study was performed by cyclic voltammetry. The SEM analysis of the films obtained at a -1.3 V constant polarization shows dendritic grains in the form of islet. The DRX spectra exhibit characteristic iron peaks according to the face centered cubic (Fcc) structure. These samples were annealed. At a temperature of 650 ° C, a single iron oxide phase was well formed, with the hematite structure. The SEM photos show a well-assembled columnar structure with formation of nanowires at the surface of the deposit. The absorbance spectra reveal an absorption features in the ultraviolet range

  5. Investigation of Annealing Temperature on Copper Oxide Thin Films Using Sol-Gel Spin Coating Technique

    NASA Astrophysics Data System (ADS)

    Hashim, H.; Samat, S. F. A.; Shariffudin, S. S.; Saad, P. S. M.

    2018-03-01

    Copper (II) Oxide or cupric oxide (CuO) is one of the well-known materials studied for thin films applications. This paper was studied on the effect of annealing temperature to CuO thin films using sol-gel method and spin coating technique. The solution was prepared by sol-gel method and the thin films were synthesized at various temperatures from 500°C to 700°C that deposited onto the quartz substrates. After the annealing process, the thin films were uniform and brownish black in colour. The measurements were performed by atomic force microscopy (AFM), surface profiler (SP), two-point probe and Ultraviolet-visible (UV-Vis-NIR) spectrometer. From the optical measurement, the band gap was estimated to be 1.44eV for sample annealed at 550°C.

  6. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  7. Effects of Oxide Film on the Corrosion Resistance of Titanium Grade 7 in Fluoride-Containing NaCl Brines

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lian, T; Whalen, M T; Wong, L

    2004-11-30

    The effects of oxide film on the corrosion behavior of Titanium Grade 7 (0.12-0.25% Pd) in fluoride-containing NaCl brines have been investigated. With the presence of a 0.6 {micro}m thick oxide layer, the annealed Ti grade 7 exhibited a significant improvement on the anodic polarization behavior. However, the oxide film did not demonstrate sustainable corrosion resistance in fluoride-containing solutions.

  8. Hydrophobic and optical characteristics of graphene and graphene oxide films transferred onto functionalized silica particles deposited glass surface

    NASA Astrophysics Data System (ADS)

    Yilbas, B. S.; Ibrahim, A.; Ali, H.; Khaled, M.; Laoui, T.

    2018-06-01

    Hydrophobic and optical transmittance characteristics of the functionalized silica particles on the glass surface prior and after transfer of graphene and graphene oxide films on the surface are examined. Nano-size silica particles are synthesized and functionalized via chemical grafting and deposited onto a glass surface. Graphene film, grown on copper substrate, was transferred onto the functionalized silica particles surface through direct fishing method. Graphene oxide layer was deposited onto the functionalized silica particles surface via spin coating technique. Morphological, hydrophobic, and optical characteristics of the functionalized silica particles deposited surface prior and after graphene and graphene oxide films transfer are examined using the analytical tools. It is found that the functionalized silica particles are agglomerated at the surface forming packed structures with few micro/nano size pores. This arrangement gives rise to water droplet contact angle and contact angle hysteresis in the order of 163° and 2°, respectively, and remains almost uniform over the entire surface. Transferring graphene and depositing graphene oxide films over the functionalized silica particles surface lowers the water droplet contact angle slightly (157-160°) and increases the contact angle hysteresis (4°). The addition of the graphene and graphene oxide films onto the surface of the deposited functionalized silica particles improves the optical transmittance.

  9. Electrochromic Properties of Tungsten Oxide Films Prepared by Reactive Sputtering

    NASA Astrophysics Data System (ADS)

    Kim, Min Hong; Kang, Tai Young; Jung, Yu Sup; Kim, Kyung Hwan

    2013-05-01

    WO3-x thin films were deposited on induim tin oxide (ITO) glass substrates with various oxygen flow ratios from 0.55 to 0.7 by the reactive facing-target sputtering method, at a power density of 4 W/cm2 and room temperature. The structural properties of the WO3-x thin films were measured by X-ray diffractometry and Raman spectral analysis. As-deposited WO3-x thin films had an amorphous structure. In the Raman spectra, WO3-x thin films exhibited two strong peaks at 770 and 950 cm-1 attributed to the vibrations of W6+-O and W6+=O bonds, respectively. The electrochemical and optical properties of WO3-x thin films were measured by cyclic voltammetry and UV/vis spectrometry. The results showed the highest charge density at an oxygen flow ratio of 0.7 and the highest transmittance in the visible range. The maximum coloration efficiency was 30.82 cm2/C at an oxygen flow ratio of 0.7.

  10. Amorphous and Crystalline Vanadium Oxides as High-Energy and High-Power Cathodes for Three-Dimensional Thin-Film Lithium Ion Batteries.

    PubMed

    Mattelaer, Felix; Geryl, Kobe; Rampelberg, Geert; Dendooven, Jolien; Detavernier, Christophe

    2017-04-19

    Flexible wearable electronics and on-chip energy storage for wireless sensors drive rechargeable batteries toward thin-film lithium ion batteries. To enable more charge storage on a given surface, higher energy density materials are required, while faster energy storage and release can be obtained by going to thinner films. Vanadium oxides have been examined as cathodes in classical and thin-film lithium ion batteries for decades, but amorphous vanadium oxide thin films have been mostly discarded. Here, we investigate the use of atomic layer deposition, which enables electrode deposition on complex three-dimensional (3D) battery architectures, to obtain both amorphous and crystalline VO 2 and V 2 O 5 , and we evaluate their thin-film cathode performance. Very high volumetric capacities are found, alongside excellent kinetics and good cycling stability. Better kinetics and higher volumetric capacities were observed for the amorphous vanadium oxides compared to their crystalline counterparts. The conformal deposition of these vanadium oxides on silicon micropillar structures is demonstrated. This study shows the promising potential of these atomic layer deposited vanadium oxides as cathodes for 3D all-solid-state thin-film lithium ion batteries.

  11. Comprehensive review on the development of high mobility in oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  12. Understanding the Structure of Amorphous Thin Film Hafnia - Final Paper

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-27

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  13. Growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tokita, Koji; Okada, Fumio

    1996-12-01

    The growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition was investigated by changing wavelength, power, repetition rate, and irradiation angle of the excimer laser. When O2 was used as an oxidizing gas with 193 or 248 nm irradiation, amorphous TiO2 and crystalline PbO films were obtained in the laser-irradiated area of Si substrates from the parent metalorganic compounds, Ti(O-iC3H7)4 and (C2H5)3PbOCH2C(CH3)3, respectively. In contrast, no ZrO2 film could be formed from Zr(O-tC4H9)4. One-photon formation of TiO2 films was confirmed from laser power dependence measurements. The maximum growth rate of 0.05 Å per laser pulse was compared with that estimated by a simple surface reaction model, according to which the slow growth rate is due to the small absorption cross section of Ti(O-iC3H7)4 and mild fluence of laser irradiation. In experiments of ozone gas excitation by KrF laser, a SiO2 film was obtained by gas-phase reactions of the oxygen radical, O(1D), with Si(O-C2H5)4. The direct patterning of TiO2 and PbO films as well as the possibility of producing patterned PbTiO3 film was demonstrated. The growth of the patterned SiO2 film was prevented by gas-phase diffusion of intermediates.

  14. Vectorial method used to monitor an evolving system: Titanium oxide thin films under UV illumination

    NASA Astrophysics Data System (ADS)

    Béchu, Solène; Humbert, Bernard; Fernandez, Vincent; Fairley, Neal; Richard-Plouet, Mireille

    2018-07-01

    Under in situ UV illumination, some materials present evolution of their opto-electronic properties that can be monitored by spectroscopy. We present here a mathematical method which can be applied to spectroscopic measurements when an evolving set of data is recorded: the vectorial method. The investigations and quantifications are performed by Infrared spectroscopy and XPS on organic-inorganic thin films prepared by sol-gel. The inorganic part of these hybrid thin films contains Ti oxide-network based whereas the organic part is composed of N,N-dimethylformamide and its hydrolysis products. Under UV illumination, those films exhibit intermediate bandgap behavior due to the photoreduction of Ti(IV) in Ti(III). The role of the solvent in the thin film is underlined during the process of photoreduction together with an understanding of the condensation of the Ti oxide-based network, as these evolutions are critical for the opto-electronic properties of those thin films.

  15. Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method

    NASA Astrophysics Data System (ADS)

    Sathisha, D.; Naik, K. Gopalakrishna

    2018-05-01

    Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.

  16. The effect of Mg dopants on magnetic and structural properties of iron oxide and zinc ferrite thin films

    NASA Astrophysics Data System (ADS)

    Saritaş, Sevda; Ceviz Sakar, Betul; Kundakci, Mutlu; Yildirim, Muhammet

    2018-06-01

    Iron oxide thin films have been obtained significant interest as a material that put forwards applications in photovoltaics, gas sensors, biosensors, optoelectronic and especially in spintronics. Iron oxide is one of the considerable interest due to its chemical and thermal stability. Metallic ion dopant influenced superexchange interactions and thus changed the structural, electrical and magnetic properties of the thin film. Mg dopped zinc ferrite (Mg:ZnxFe3-xO4) crystal was used to avoid the damage of Fe3O4 (magnetite) crystal instead of Zn2+ in this study. Because the radius of the Mg2+ ion in the A-site (tetrahedral) is almost equal to that of the replaced Fe3+ ion. Inverse-spinel structure in which oxygen ions (O2-) are arranged to form a face-centered cubic (FCC) lattice where there are two kinds of sublattices, namely, A-site and B-site (octahedral) interstitial sites and in which the super exchange interactions occur. In this study, to increase the saturation of magnetization (Ms) value for iron oxide, inverse-spinal ferrite materials have been prepared, in which the iron oxide was doped by multifarious divalent metallic elements including Zn and Mg. Triple and quaternary; iron oxide and zinc ferrite thin films with Mg metal dopants were grown by using Spray Pyrolysis (SP) technique. The structural, electrical and magnetic properties of Mg dopped iron oxide (Fe2O3) and zinc ferrite (ZnxFe3-xO4) thin films have been investigated. Vibrating Sample Magnetometer (VSM) technique was used to study for the magnetic properties. As a result, we can say that Mg dopped iron oxide thin film has huge diamagnetic and of Mg dopped zinc ferrite thin film has paramagnetic property at bigger magnetic field.

  17. Behavior of oxide film at the interface between particles in sintered Al powders by pulse electric-current sintering

    NASA Astrophysics Data System (ADS)

    Xie, Guoqiang; Ohashi, Osamu; Song, Minghui; Furuya, Kazuo; Noda, Tetsuji

    2003-03-01

    The microstructure of the bonding interfaces between particles in aluminum (Al) powder sintered specimens by the pulse electric-current sintering (PECS) process was observed, using conventional transmission electron microscopy (CTEM) and high-resolution transmission electron microscopy (HRTEM). The behavior of oxide film at the interface between Al particles and its effect on properties of the sintered specimens were investigated. The results showed there were two kinds of bonding interfaces in the sintered specimens, namely, the direct metal/metal bonding and the metal/oxide film layer/metal bonding interface. By increasing the fraction of the direct metal/metal bonding interfaces, the tensile strength of the sintered specimens increased, and the electrical resistivity decreased. By increasing the loading pressure at higher sintering temperatures or increasing the sintering temperature under loading pressure, the breakdown of oxide film was promoted. The broken oxide film debris was dispersed in aluminum metal near the bonding interfaces between particles.

  18. Flexible transparent conductive film based on silver nanowires and reduced graphene oxide

    NASA Astrophysics Data System (ADS)

    Wang, Ke; Yang, Xing; Li, Zhi-ling; Xie, Hui; Zhao, Yu-zhen; Wang, Yue-hui

    2018-05-01

    Silver nanowires (AgNWs) with diameter of 90—150 nm and length of 20—50 μm were successfully synthesized by a polyol process. Graphene oxide (GO) was prepared by Hummers method, and was reduced with strong hydrazine hydrate at room temperature. The flexible transparent conductive films (TCFs) were fabricated using the mixed cellulose eater (MCE) as matrix and AgNWs and reduced graphene oxide (rGO) as conductive fillers by the improved vacuum filtration process. Then, the optical, electrical and mechanical properties of the AgNWs-rGO films were investigated. The results show that for the AgNWs-rGO film produced with the deposition densities of AgNWs and rGO as 110 mg·m-2 and 55 mg·m-2, the optical transmission at 550 nm is 88.4% with R s around 891 Ω·sq-1, whereas the optical transmission for the AgNWs-rGO film with deposition densities of AgNWs and rGO of 385 mg·m-2 and 55 mg·m-2 is 79.0% at 550 nm with R s around 9.6 Ω·sq-1. There is little overt increase in R s of the AgNWS-rGO film after tape tests for 200 times. The bending test results indicate that the change in R s of AgNWs-MCE film is less than 2% even after 200 cycles of compressive or tensile bending. The excellent mechanical properties of the AgNWs-rGO film can be attributed to the burying of AgNWs and rGO at the surface of MCE.

  19. Pulsed—Laser Deposition Of Oxide Thin Films And Laser—Induced Breakdown Spectroscopy Of Multi—Element Materials

    NASA Astrophysics Data System (ADS)

    Pedarnig, Johannes D.

    2010-10-01

    New results of the Linz group on pulsed—laser deposition (PLD) of oxide thin films and on laser—induced breakdown spectroscopy (LIBS) of multi-element materials are reported. High-Tc superconducting (HTS) films with enhanced critical current density Jc are produced by laser ablation of novel nano-composite ceramic targets. The targets contain insulating nano-particles that are embedded into the YBa2Cu3O7 matrix. Epitaxial double-layers of lithium-doped and aluminum-doped ZnO are deposited on r-cut sapphire substrates. Acoustic over-modes in the GHz range are excited by piezoelectric actuation of layers. Smooth films of rare-earth doped glass are produced by F2—laser ablation. The transport properties of HTS thin films are modified by light—ion irradiation. Thin film nano—patterning is achieved by masked ion beam irradiation. LIBS is employed to analyze trace elements in industrial iron oxide powder and reference polymer materials. Various trace elements of ppm concentration are measured in the UV/VIS and vacuum-UV spectral range. Quantitative LIBS analysis of major components in oxide materials is performed by calibration-free methods.

  20. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2

    PubMed Central

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.; Arena, Dario A.; Schlom, Darrell G.; Piper, Louis F. J.

    2015-01-01

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions. PMID:28793516

  1. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2.

    PubMed

    Quackenbush, Nicholas F; Paik, Hanjong; Woicik, Joseph C; Arena, Dario A; Schlom, Darrell G; Piper, Louis F J

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.

  2. X-ray Spectroscopy of Ultra-thin Oxide/oxide Heteroepitaxial Films: A Case Study of Single-nanometer VO2/TiO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe amore » low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. Generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.« less

  3. Highly Sensitive and Fast Response Colorimetric Humidity Sensors Based on Graphene Oxides Film.

    PubMed

    Chi, Hong; Liu, Yan Jun; Wang, FuKe; He, Chaobin

    2015-09-16

    Uniform graphene oxide (GO) film for optical humidity sensing was fabricated by dip-coating technique. The resulting GO thin film shows linear optical shifts in the visible range with increase of humidity in the whole relative humidity range (from dry state to 98%). Moreover, GO films exhibit ultrafast sensing to moisture within 250 ms because of the unique atomic thinness and superpermeability of GO sheets. The humidity sensing mechanism was investigated using XRD and computer simulation. The ultrasensitive humidity colorimetric properties of GOs film may enable many potential applications such as disposable humidity sensors for packaging, health, and environmental monitoring.

  4. Identification of O-rich structures on platinum(111)-supported ultrathin iron oxide films

    DOE PAGES

    Merte, Lindsay R.; Bai, Yunhai; Zeuthen, Helene; ...

    2016-01-06

    Using high-resolution scanning tunneling microscopy (STM) we have studied the oxidation of ultrathin FeO films grown on Pt(111). At the initial stage of the FeO film oxidation by atomic oxygen exposure, we identified three distinct types of line defects, all of which form boundaries between FeO domains of opposite orientation. Two types of line defects appearing bright ( type-i) and dark ( type-ii) in the STM images at typical scanning parameters are “metallic”, whereas the third line defect exhibits nonmetallic behavior ( type-iii). Atomic-scale structure models of these line defects are proposed, with type-i defects exhibiting 4-fold coordinated Fe atoms,more » type-ii exhibiting 2-fold coordinated O atoms, and type-iii exhibiting tetrahedrally-coordinated Fe atoms. In addition, FeO 2 trilayer islands are formed upon oxidation, which appear at FCC-type domains of the moiré structure. At high scanning bias, distinct protrusions on the trilayer islands are observed over surface O ions, which are assigned to H adatoms. The experimental data are supported by density functional theory (DFT) calculations, in which bare and hydroxylated FeO 2 trilayer islands are compared. Finally, we compare the formation of O-rich features on continuous FeO films using atomic oxygen with the oxidation of Pt(111)-supported FeO islands accomplished by O 2 exposure.« less

  5. Low-Temperature Postfunctionalization of Highly Conductive Oxide Thin-Films toward Solution-Based Large-Scale Electronics.

    PubMed

    Ban, Seok-Gyu; Kim, Kyung-Tae; Choi, Byung Doo; Jo, Jeong-Wan; Kim, Yong-Hoon; Facchetti, Antonio; Kim, Myung-Gil; Park, Sung Kyu

    2017-08-09

    Although transparent conducting oxides (TCOs) have played a key role in a wide range of solid-state electronics from conventional optoelectronics to emerging electronic systems, the processing temperature and conductivity of solution-processed materials seem to be far exceeding the thermal limitations of soft materials and insufficient for high-perfomance large-area systems, respectively. Here, we report a strategy to form highly conductive and scalable solution-processed oxide materials and their successful translation into large-area electronic applications, which is enabled by photoassisted postfunctionalization at low temperature. The low-temperature fabrication of indium-tin-oxide (ITO) thin films was achieved by using photoignited combustion synthesis combined with photoassisted reduction process under hydrogen atmosphere. It was noteworthy that the photochemically activated hydrogens on ITO surface could be triggered to facilitate highly crystalline oxygen deficient structure allowing significant increase of carrier concentration and mobility through film microstructure modifications. The low-temperature postfunctionalized ITO films demonstrated conductivity of >1607 S/cm and sheet resistance of <104 Ω/□ under the process temperature of less than 300 °C, which are comparable to those of vacuum-deposited and high-temperature annealed ITO films. Based on the photoassisted postfunctionalization route, all-solution-processed transparent metal-oxide thin-film-transistors and large-area integrated circuits with the ITO bus lines were demonstrated, showing field-effect mobilities of >6.5 cm 2 V -1 s -1 with relatively good operational stability and oscillation frequency of more than 1 MHz in 7-stage ring oscillators, respectively.

  6. Charge Transport in Low-Temperature Processed Thin-Film Transistors Based on Indium Oxide/Zinc Oxide Heterostructures.

    PubMed

    Krausmann, Jan; Sanctis, Shawn; Engstler, Jörg; Luysberg, Martina; Bruns, Michael; Schneider, Jörg J

    2018-06-20

    The influence of the composition within multilayered heterostructure oxide semiconductors has a critical impact on the performance of thin-film transistor (TFT) devices. The heterostructures, comprising alternating polycrystalline indium oxide and zinc oxide layers, are fabricated by a facile atomic layer deposition (ALD) process, enabling the tuning of its electrical properties by precisely controlling the thickness of the individual layers. This subsequently results in enhanced TFT performance for the optimized stacked architecture after mild thermal annealing at temperatures as low as 200 °C. Superior transistor characteristics, resulting in an average field-effect mobility (μ sat. ) of 9.3 cm 2 V -1 s -1 ( W/ L = 500), an on/off ratio ( I on / I off ) of 5.3 × 10 9 , and a subthreshold swing of 162 mV dec -1 , combined with excellent long-term and bias stress stability are thus demonstrated. Moreover, the inherent semiconducting mechanism in such multilayered heterostructures can be conveniently tuned by controlling the thickness of the individual layers. Herein, devices comprising a higher In 2 O 3 /ZnO ratio, based on individual layer thicknesses, are predominantly governed by percolation conduction with temperature-independent charge carrier mobility. Careful adjustment of the individual oxide layer thicknesses in devices composed of stacked layers plays a vital role in the reduction of trap states, both interfacial and bulk, which consequently deteriorates the overall device performance. The findings enable an improved understanding of the correlation between TFT performance and the respective thin-film composition in ALD-based heterostructure oxides.

  7. Influence of Preferred Orientation on the Electrical Conductivity of Fluorine-Doped Tin Oxide Films

    PubMed Central

    Wang, Jian Tao; Shi, Xiang Lei; Liu, Wei Wei; Zhong, Xin Hua; Wang, Jian Nong; Pyrah, Leo; Sanderson, Kevin D.; Ramsey, Philip M.; Hirata, Masahiro; Tsuri, Keiko

    2014-01-01

    Current development of high-performance transparent conductive oxide (TCO) films is limited with tradeoff between carrier mobility and concentration since none of them can be improved without sacrificing the other. In this study, we prepare fluorine doped tin oxide (FTO) films by chemical vapor deposition with inclusions of different additives and report that the mobility can be varied from 0.65 to 28.5 cm2 V−1 s−1 without reducing the achieved high carrier concentration of 4 × 1020 cm−3. Such an increase in mobility is shown to be clearly associated with the development of (200) preferred orientation (PO) but concurrent degradation of (110) PO in films. Thus, at a constant high carrier concentration, the electrical conductivity can be improved via carrier mobility simply by PO control. Such a one-step approach avoiding conventional post-deposition treatment is suggested for developing next-generation FTO as well as other TCO films with better than ever conductivities. PMID:24419455

  8. Preparation and characterization of α-Al2O3 film by low temperature thermal oxidation of Al8Cr5 coating

    NASA Astrophysics Data System (ADS)

    Zhang, Min; Xu, Bajin; Ling, Guoping

    2015-03-01

    In this paper, α-Al2O3 film was prepared by low temperature thermal oxidation of Al8Cr5 coating. The Al8Cr5 alloy coating was prepared on SUS430 stainless steel through a two-step approach including electrodepositing Cr/Al composite coating and subsequent heat treatment at 740 °C for 16 h. After mechanical polishing removal of voids on the surface, the Al8Cr5 coating was thermal oxidized at 720 °C in argon for 100 h. The samples were characterized by SEM, EDX, XRD, XPS and TEM. XPS detection on the surface of oxidized Al8Cr5 coating showed that the oxide film mainly consisted of Al2O3. TEM characterization of the oxide film showed that it was α-Al2O3 films ca. 110 nm. The formation of α-Al2O3 films at low temperature can be attributed to the formation of Cr2O3 nuclei at the initial stage of oxidation which lowers the nucleation energy barrier of α-Al2O3.

  9. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  10. Local mechanical and electromechanical properties of the P(VDF-TrFE)-graphene oxide thin films

    NASA Astrophysics Data System (ADS)

    Silibin, M. V.; Bystrov, V. S.; Karpinsky, D. V.; Nasani, N.; Goncalves, G.; Gavrilin, I. M.; Solnyshkin, A. V.; Marques, P. A. A. P.; Singh, Budhendra; Bdikin, I. K.

    2017-11-01

    Recently, many organic materials, including carbon materials such as carbon nanotubes (CNTs) and graphene (single-walled carbon sheet structure) were studied in order to improve their mechanical and electrical properties. In particular, copolymers of poly (vinylidene fluoride) and poly trifluoroethylene [P(VDF-TrFE)] are promising materials, which can be used as probes, sensors, actuators, etc. Composite thin film of the copolymer P(VDF-TrFE) with graphene oxide (GO) were prepared by spin coating. The obtained films were investigated using piezoresponse force microscopy (PFM). The switching behavior, piezoelectric response, dielectric permittivity and mechanical properties of the films were found to depend on the presence of GO. For understanding the mechanism of piezoresponse evolution of the composite we used models of PVDF chain, its behavior in electrical field and computed the data for piezoelectric coefficients using HyperChem software. The summarized models of graphene oxide based on graphene layer from 96 carbon atoms C: with oxygen and OH groups and with COOH groups arranged by hydrogen were used for PVDF/Graphene oxide complex: 1) with H-side (hydrogen atom) connected from PVDF to graphene oxide, 2) with F-side (fluorine atom) connected from PVDF graphene oxide and 3) Graphene Oxide/PVDF with both sides (sandwich type). Experimental results qualitatively correlate with those obtained in the calculations.

  11. Surface smoothing of indium tin oxide film by laser-induced photochemical etching

    NASA Astrophysics Data System (ADS)

    Kang, JoonHyun; Kim, Young-Hwan; Kwon, Seok Joon; Park, Joon-Suh; Park, Kyoung Wan; Park, Jae-Gwan; Han, Il Ki

    2017-12-01

    Surface smoothing of indium tin oxide (ITO) film by laser irradiation was demonstrated. The ITO surface was etched by choline radicals, which were activated by laser irradiation at a wavelength of 532 nm. The RMS surface roughness was improved from 5.6 to 4.6 nm after 10 min of laser irradiation. We also showed the changes in the surface morphology of the ITO film with various irradiation powers and times.

  12. Assessment of morphology and property of graphene oxide-hydroxypropylmethylcellulose nanocomposite films.

    PubMed

    Ghosh, Tapas Kumar; Gope, Shirshendu; Mondal, Dibyendu; Bhowmik, Biplab; Mollick, Md Masud Rahaman; Maity, Dipanwita; Roy, Indranil; Sarkar, Gunjan; Sadhukhan, Sourav; Rana, Dipak; Chakraborty, Mukut; Chattopadhyay, Dipankar

    2014-05-01

    Graphene oxide (GO) was synthesized by Hummer's method and characterized by using Fourier transform infrared spectroscopy and Raman spectroscopy. The as synthesized GO was used to make GO/hydroxypropylmethylcellulose (HPMC) nanocomposite films by the solution mixing method using different concentrations of GO. The nanocomposite films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and thermo-gravimetric analysis. Mechanical properties, water absorption property and water vapor transmission rate were also measured. XRD analysis showed the formation of exfoliated HPMC/GO nanocomposites films. The FESEM results revealed high interfacial adhesion between the GO and HPMC matrix. The tensile strength and Young's modulus of the nanocomposite films containing the highest weight percentage of GO increased sharply. The thermal stability of HPMC/GO nanocomposites was slightly better than pure HPMC. The water absorption and water vapor transmission rate of HPMC film was reduced with the addition of up to 1 wt% GO. Copyright © 2014 Elsevier B.V. All rights reserved.

  13. Electrical Properties and Interfacial Studies of HfxTi1–xO2 High Permittivity Gate Insulators Deposited on Germanium Substrates

    PubMed Central

    Lu, Qifeng; Mu, Yifei; Roberts, Joseph W.; Althobaiti, Mohammed; Dhanak, Vinod R.; Wu, Jingjin; Zhao, Chun; Zhao, Ce Zhou; Zhang, Qian; Yang, Li; Mitrovic, Ivona Z.; Taylor, Stephen; Chalker, Paul R.

    2015-01-01

    In this research, the hafnium titanate oxide thin films, TixHf1–xO2, with titanium contents of x = 0, 0.25, 0.9, and 1 were deposited on germanium substrates by atomic layer deposition (ALD) at 300 °C. The approximate deposition rates of 0.2 Å and 0.17 Å per cycle were obtained for titanium oxide and hafnium oxide, respectively. X-ray Photoelectron Spectroscopy (XPS) indicates the formation of GeOx and germanate at the interface. X-ray diffraction (XRD) indicates that all the thin films remain amorphous for this deposition condition. The surface roughness was analyzed using an atomic force microscope (AFM) for each sample. The electrical characterization shows very low hysteresis between ramp up and ramp down of the Capacitance-Voltage (CV) and the curves are indicative of low trap densities. A relatively large leakage current is observed and the lowest leakage current among the four samples is about 1 mA/cm2 at a bias of 0.5 V for a Ti0.9Hf0.1O2 sample. The large leakage current is partially attributed to the deterioration of the interface between Ge and TixHf1–xO2 caused by the oxidation source from HfO2. Consideration of the energy band diagrams for the different materials systems also provides a possible explanation for the observed leakage current behavior. PMID:28793705

  14. Influence of deposition temperature and amorphous carbon on microstructure and oxidation resistance of magnetron sputtered nanocomposite Crsbnd C films

    NASA Astrophysics Data System (ADS)

    Nygren, Kristian; Andersson, Matilda; Högström, Jonas; Fredriksson, Wendy; Edström, Kristina; Nyholm, Leif; Jansson, Ulf

    2014-06-01

    It is known that mechanical and tribological properties of transition metal carbide films can be tailored by adding an amorphous carbon (a-C) phase, thus making them nanocomposites. This paper addresses deposition, microstructure, and for the first time oxidation resistance of magnetron sputtered nanocomposite Crsbnd C/a-C films with emphasis on studies of both phases. By varying the deposition temperature between 20 and 700 °C and alternating the film composition, it was possible to deposit amorphous, nanocomposite, and crystalline Crsbnd C films containing about 70% C and 30% Cr, or 40% C and 60% Cr. The films deposited at temperatures below 300 °C were X-ray amorphous and 500 °C was required to grow crystalline phases. Chronoamperometric polarization at +0.6 V vs. Ag/AgCl (sat. KCl) in hot 1 mM H2SO4 resulted in oxidation of Crsbnd C, yielding Cr2O3 and C, as well as oxidation of C. The oxidation resistance is shown to depend on the deposition temperature and the presence of the a-C phase. Physical characterization of film surfaces show that very thin C/Cr2O3/Crsbnd C layers develop on the present material, which can be used to improve the oxidation resistance of, e.g. stainless steel electrodes.

  15. Sputtered boron indium oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Stewart, Kevin A.; Gouliouk, Vasily; Keszler, Douglas A.; Wager, John F.

    2017-11-01

    Boron indium oxide (BIO) is studied for thin-film transistor (TFT) channel layer applications. Sputtered BIO thin films exhibit an amorphous phase over a wide range of B2O3/In2O3 ratios and remain amorphous up to 500 °C. The band gap decreases linearly with decreasing boron content, whereas device performance generally improves with decreasing boron content. The best amorphous BIO TFT exhibits a field-effect mobility of 10 cm2 V-1 s-1, turn-on voltage of 2.5 V, and sub-threshold swing of 0.72 V/dec. Decreasing the boron content to 12.5% leads to a polycrystalline phase, but further increases the mobility up to 20-40 cm2 V-1 s-1. TCAD simulation results suggest that the reason for higher performance after increasing the anneal temperature from 200 to 400 °C is due to a lower defect density in the sub-bandgap region of the BIO channel layer.

  16. Microstructure of a base metal thick film system. [Glass frit with base metal oxide addition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mentley, D.E.

    1976-06-01

    A base metal thick film conductor system using glass frits with base metal oxide additions was investigated as metallization for hybrid microcircuits. Application of previous work on wetting and chemical bonding was made to this system. The observation of changes in the properties of the thick film was made by photomicrographs of screened samples and sheet resistivity measurements. In addition to the chemical and wetting properties, the effect of glass frit particle size on conductivity was also analyzed. The base metal oxide addition was found to produce a more consistent thick film conductor at low volume percentages of metal bymore » inhibiting the formation of low melting redox reaction products.« less

  17. Heteroepitaxial growth of tin-doped indium oxide films on single crystalline yttria stabilized zirconia substrates

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Yagami, Teruyuki; Takaki, Satoru; Shigesato, Yuzo

    1994-05-01

    Heteroepitaxial growth of tin-doped indium oxide (ITO) film was achieved for the first time by using single crystalline yttria stabilized zirconia (YSZ) as substrates. The epitaxial relationship between ITO film and YSZ substrate was ITO[100]∥YSZ[100]. By comparing the electrical properties of this epitaxial ITO film with that of a randomly oriented polycrystalline ITO film grown on a glass substrate, neither the large angle grain boundaries nor the crystalline orientation were revealed to be dominant in determining the carrier mobility in ITO films.

  18. Stimulated emission from aluminium anode oxide films doped with rhodamine 6G

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibrayev, N Kh; Zeinidenov, A K; Aimukhanov, A K

    The spectral and luminescent properties of the rhodamine 6G dye in a porous matrix of aluminium anode oxide are studied. The films with a highly-ordered porous structure are produced using the method of two-stage anodic oxidation. By means of raster electron microscopy it is found that the diameter of the pores amounts to nearly 50 nm and the separation between the adjacent channels is almost 105 nm. The thickness of the films is equal to 55 μm, and the specific surface area measured using the method of nitrogen capillary condensation is 15.3 m{sup 2} g{sup -1}. Fluorescence and absorption spectramore » of rhodamine 6G molecules injected into the pores of the aluminium anode oxide are measured. It is found that under the excitation of samples with the surface dye concentration 0.3 × 10{sup 14} molecules m{sup -2} by the second harmonic of the Nd : YAG laser in the longitudinal scheme with the pumping intensity 0.4 MW cm{sup -2}, a narrow band of stimulated emission with the intensity maximum at the wavelength 572 nm appears against the background of the laser-induced fluorescence spectrum. A further increase in the pumping radiation intensity leads to the narrowing of the stimulated emission band and an increase in its intensity. The obtained results demonstrate the potential possibility of using the porous films of aluminium anode oxide, doped with laser dyes, in developing active elements for quantum electronics. (laser applications and other topics in quantum electronics)« less

  19. Tribocorrosion behavior of biofunctional titanium oxide films produced by micro-arc oxidation: Synergism and mechanisms.

    PubMed

    Marques, Isabella da Silva Vieira; Alfaro, Maria Fernanda; Cruz, Nilson Cristino da; Mesquita, Marcelo Ferraz; Takoudis, Christos; Sukotjo, Cortino; Mathew, Mathew T; Barão, Valentim Adelino Ricardo

    2016-07-01

    Dental implants, inserted into the oral cavity, are subjected to a synergistic interaction of wear and corrosion (tribocorrosion), which may lead to implant failures. The objective of this study was to investigate the tribocorrosion behavior of Ti oxide films produced by micro-arc oxidation (MAO) under oral environment simulation. MAO was conducted under different conditions as electrolyte composition: Ca/P (0.3M/0.02M or 0.1M/0.03M) incorporated with/without Ag (0.62g/L) or Si (0.04M); and treatment duration (5 and 10min). Non-coated and sandblasted samples were used as controls. The surfaces morphology, topography and chemical composition were assessed to understand surface properties. ANOVA and Tukey׳s HSD tests were used (α=0.05). Biofunctional porous oxide layers were obtained. Higher Ca/P produced larger porous and harder coatings when compared to non-coated group (p<0.001), due to the presence of rutile crystalline structure. The total mass loss (Kwc), which includes mass loss due to wear (Kw) and that due to corrosion (Kc) were determined. The dominant wear regime was found for higher Ca/P groups (Kc/Kw≈0.05) and a mechanism of wear-corrosion for controls and lower Ca/P groups (Kc/Kw≈0.11). The group treated for 10min and enriched with Ag presented the lowest Kwc (p<0.05). Overall, MAO process was able to produce biofunctional oxide films with improved surface features, working as tribocorrosion resistant surfaces. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Chemically derived graphene oxide: towards large-area thin-film electronics and optoelectronics.

    PubMed

    Eda, Goki; Chhowalla, Manish

    2010-06-11

    Chemically derived graphene oxide (GO) possesses a unique set of properties arising from oxygen functional groups that are introduced during chemical exfoliation of graphite. Large-area thin-film deposition of GO, enabled by its solubility in a variety of solvents, offers a route towards GO-based thin-film electronics and optoelectronics. The electrical and optical properties of GO are strongly dependent on its chemical and atomic structure and are tunable over a wide range via chemical engineering. In this Review, the fundamental structure and properties of GO-based thin films are discussed in relation to their potential applications in electronics and optoelectronics.