Sample records for hafnium oxide thin

  1. Pyroelectricity of silicon-doped hafnium oxide thin films

    NASA Astrophysics Data System (ADS)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  2. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  3. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  4. Low-voltage high-performance organic thin film transistors with a thermally annealed polystyrene/hafnium oxide dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Acton, Orb; Ting, Guy; Weidner, Tobias; Ma, Hong; Castner, David G.; Jen, Alex K.-Y.

    2009-12-01

    Low-voltage pentacene-based organic thin film transistors (OTFTs) are demonstrated with polystyrene (PS)/hafnium oxide (HfOx) hybrid dielectrics. Thermal annealing of PS films on HfOx at 120 °C (PS-120) induces a flatter orientation of the phenyl groups (tilt angle 65°) at the surface compared to PS films without annealing (PS-RT) (tilt angle 31°). The flatter phenyl group orientation leads to better matching of surface energy between pentacene and PS. Pentacene deposited on PS-120 display higher quality thin films with larger grain sizes and higher crystallinity. Pentacene OTFTs with PS-120/HfOx hybrid dielectrics can operate at low-voltage (<3 V) with high field-effect mobilities (1 cm2/V s), high on/off current ratios (106), and low subthreshold slopes (100 mV/dec).

  5. Formulation and method for preparing gels comprising hydrous hafnium oxide

    DOEpatents

    Collins, Jack L; Hunt, Rodney D; Montgomery, Frederick C

    2013-08-06

    Formulations useful for preparing hydrous hafnium oxide gels contain a metal salt including hafnium, an acid, an organic base, and a complexing agent. Methods for preparing gels containing hydrous hafnium oxide include heating a formulation to a temperature sufficient to induce gel formation, where the formulation contains a metal salt including hafnium, an acid, an organic base, and a complexing agent.

  6. Pyroelectric response in crystalline hafnium zirconium oxide (Hf 1- x Zr x O 2 ) thin films

    DOE PAGES

    Smith, S. W.; Kitahara, A. R.; Rodriguez, M. A.; ...

    2017-02-13

    Pyroelectric coefficients were measured for 20 nm thick crystalline hafnium zirconium oxide (Hf 1-xZr xO 2) thin films across a composition range of 0 ≤ x ≤ 1. Pyroelectric currents were collected near room temperature under zero applied bias and a sinusoidal oscillating temperature profile to separate the influence of non-pyroelectric currents. The pyroelectric coefficient was observed to correlate with zirconium content, increased orthorhombic/tetragonal phase content, and maximum polarization response. The largest measured absolute value was 48 μCm -2K -1 for a composition with x = 0.64, while no pyroelectric response was measured for compositions which displayed no remanent polarizationmore » (x = 0, 0.91, 1).« less

  7. Thermal conductivity and thermal boundary resistance of atomic layer deposited high-k dielectric aluminum oxide, hafnium oxide, and titanium oxide thin films on silicon

    NASA Astrophysics Data System (ADS)

    Scott, Ethan A.; Gaskins, John T.; King, Sean W.; Hopkins, Patrick E.

    2018-05-01

    The need for increased control of layer thickness and uniformity as device dimensions shrink has spurred increased use of atomic layer deposition (ALD) for thin film growth. The ability to deposit high dielectric constant (high-k) films via ALD has allowed for their widespread use in a swath of optical, optoelectronic, and electronic devices, including integration into CMOS compatible platforms. As the thickness of these dielectric layers is reduced, the interfacial thermal resistance can dictate the overall thermal resistance of the material stack compared to the resistance due to the finite dielectric layer thickness. Time domain thermoreflectance is used to interrogate both the thermal conductivity and the thermal boundary resistance of aluminum oxide, hafnium oxide, and titanium oxide films on silicon. We calculate a representative design map of effective thermal resistances, including those of the dielectric layers and boundary resistances, as a function of dielectric layer thickness, which will be of great importance in predicting the thermal resistances of current and future devices.

  8. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    PubMed

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (<5 V) pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  9. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  10. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  11. Tailoring the index of refraction of nanocrystalline hafnium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vargas, Mirella; Murphy, N. R.; Ramana, C. V., E-mail: rvchintalapalle@utep.edu

    2014-03-10

    Hafnium oxide (HfO{sub 2}) films were grown by sputter-deposition by varying the growth temperature (T{sub s} = 25–700 °C). HfO{sub 2} films grown at T{sub s} < 200 °C were amorphous, while those grown at T{sub s} ≥ 200 °C were monoclinic, nanocrystalline with (1{sup ¯}11) texturing. X-ray reflectivity (XRR) analyses indicate that the film-density (ρ) increases with increasing T{sub s}. The index of refraction (n) profiles derived from spectroscopic ellipsometry analyses follow the Cauchy dispersion relation. Lorentz-Lorenz analysis (n{sub (λ)} = 550 nm) and optical-model adopted agree well with the XRR data/analyses. A direct T{sub s}-ρ-n relationship suggests that tailoring the optical quality is possible by tuning T{sub s} and themore » microstructure of HfO{sub 2} films.« less

  12. Effect of hafnium doping on density of states in dual-target magnetron co-sputtering HfZnSnO thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Chuan-Xin; Li, Jun, E-mail: SHUniverjunli@163.com; Fu, Yi-Zhou

    2015-11-23

    This study investigates the effect of hafnium doping on the density of states (DOSs) in HfZnSnO thin film transistors fabricated by dual-target magnetron co-sputtering system. The DOSs is extracted by temperature-dependent field-effect measurements, and they decrease from 1.1 × 10{sup 17} to 4.6 × 10{sup 16 }eV/cm{sup 3} with increasing the hafnium concentrations. The behavior of DOSs for the increasing hafnium concentration HfZnSnO thin film transistors can be confirmed by both the reduction of ΔV{sub T} under bias stress and the trapping charges calculated by capacitance voltage measurements. It suggests that the reduction in DOSs due to the hafnium doping is closely related with themore » bias stability and thermal stability.« less

  13. Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Starschich, S.; Böttger, U.; Menzel, S.

    The wake-up effect which is observed in ferroelectric hafnium oxide is investigated in yttrium doped hafnium oxide prepared by chemical solution deposition. It can be shown that not the amount of cycles but the duration of the applied electrical field is essential for the wake-up. Temperature dependent wake-up cycling in a range of −160 °C to 100 °C reveals a strong temperature activation of the wake-up, which can be attributed to ion rearrangement during cycling. By using asymmetrical electrodes, resistive valence change mechanism switching can be observed coincident with ferroelectric switching. From the given results, it can be concluded that redistribution ofmore » oxygen vacancies is the origin of the wake-up effect.« less

  14. Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor.

    PubMed

    Nomura, Kenji; Ohta, Hiromichi; Ueda, Kazushige; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2003-05-23

    We report the fabrication of transparent field-effect transistors using a single-crystalline thin-film transparent oxide semiconductor, InGaO3(ZnO)5, as an electron channel and amorphous hafnium oxide as a gate insulator. The device exhibits an on-to-off current ratio of approximately 106 and a field-effect mobility of approximately 80 square centimeters per volt per second at room temperature, with operation insensitive to visible light irradiation. The result provides a step toward the realization of transparent electronics for next-generation optoelectronics.

  15. Silicon doped hafnium oxide (HSO) and hafnium zirconium oxide (HZO) based FeFET: A material relation to device physics

    NASA Astrophysics Data System (ADS)

    Ali, T.; Polakowski, P.; Riedel, S.; Büttner, T.; Kämpfe, T.; Rudolph, M.; Pätzold, B.; Seidel, K.; Löhr, D.; Hoffmann, R.; Czernohorsky, M.; Kühnel, K.; Thrun, X.; Hanisch, N.; Steinke, P.; Calvo, J.; Müller, J.

    2018-05-01

    The recent discovery of ferroelectricity in thin film HfO2 materials renewed the interest in ferroelectric FET (FeFET) as an emerging nonvolatile memory providing a potential high speed and low power Flash alternative. Here, we report more insight into FeFET performance by integrating two types of ferroelectric (FE) materials and varying their properties. By varying the material type [HfO2 (HSO) versus hafnium zirconium oxide (HZO)], optimum content (Si doping/mixture ratio), and film thickness, a material relation to FeFET device physics is concluded. As for the material type, an improved FeFET performance is observed for HZO integration with memory window (MW) comparable to theoretical values. For different Si contents, the HSO based FeFET exhibited a MW trend with different stabilized phases. Similarly, the HZO FeFET shows MW dependence on the Hf:Zr mixture ratio. A maximized MW is obtained with cycle ratios of 16:1 (HfO2:Si) and 1:1 (Hf:Zr) as measured on HSO and HZO based FeFETs, respectively. The thickness variation shows a trend of increasing MW with the increased FE layer thickness confirming early theoretical predictions. The FeFET material aspects and stack physics are discussed with insight into the interplay factors, while optimum FE material parameters are outlined in relation to performance.

  16. Intrinsic Defect Ferromagnetism: The case of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Das Pemmaraju, Chaitanya

    2005-03-01

    In view of the recent experimental reports of intrinsic ferromagnetism in Hafnium Oxide (HfO2) thin film systems ootnotetextM. Venkatesan, C. B. Fitzgerald, J. M. D. Coey Nature 430, 630 (2004) Brief Communications, we carried out first principles investigations to look for magnetic structure in HfO2 possibly brought about by the presence of small concentrations of intrinsic point defects. Ab initio electronic structure calculations using Density Functional Theory (DFT) show that isolated cation vacancy sites in HfO2 lead to the formation of high spin defect states which couple ferromagnetically to each other. Interestingly, these high spin states are observed in the low symmetry monoclinic and tetragonal phases while the highly symmetric cubic flourite phase exhibits a non-magnetic ground state. Detailed studies of the electronic structure of cation vacancies in the three crystalline phases of Hafnia show that symmetry leading to orbitally degenerate defect levels is not a pre-requsite for ferromagnetism and that the interplay between Kinetic, Coulomb and Exchange energy together with favourable coupling to the Crystalline environment can lead to high spin ferromagnetic ground states even in extreme low symmetry systems like monoclinic HfO2. These findings open up a much wider class of systems to the possibility of intrinsic defect ferromagnetism.

  17. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  18. The influence of interfacial defects on fast charge trapping in nanocrystalline oxide-semiconductor thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Taeho; Hur, Jihyun; Jeon, Sanghun

    2016-05-01

    Defects in oxide semiconductors not only influence the initial device performance but also affect device reliability. The front channel is the major carrier transport region during the transistor turn-on stage, therefore an understanding of defects located in the vicinity of the interface is very important. In this study, we investigated the dynamics of charge transport in a nanocrystalline hafnium-indium-zinc-oxide thin-film transistor (TFT) by short pulse I-V, transient current and 1/f noise measurement methods. We found that the fast charging behavior of the tested device stems from defects located in both the front channel and the interface, following a multi-trapping mechanism. We found that a silicon-nitride stacked hafnium-indium-zinc-oxide TFT is vulnerable to interfacial charge trapping compared with silicon-oxide counterpart, causing significant mobility degradation and threshold voltage instability. The 1/f noise measurement data indicate that the carrier transport in a silicon-nitride stacked TFT device is governed by trapping/de-trapping processes via defects in the interface, while the silicon-oxide device follows the mobility fluctuation model.

  19. Ferroelectric memory based on molybdenum disulfide and ferroelectric hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Xia, Qiangfei; Zhu, Wenjuan

    Recently, ferroelectric hafnium oxide (HfO2) was discovered as a new type of ferroelectric material with the advantages of high coercive field, excellent scalability (down to 2.5 nm), and good compatibility with CMOS processing. In this work, we demonstrate, for the first time, 2D ferroelectric memories with molybdenum disulfide (MoS2) as the channel material and aluminum doped HfO2 as the ferroelectric gate dielectric. A 16 nm thick layer of HfO2, doped with 5.26% aluminum, was deposited via atomic layer deposition (ALD), then subjected to rapid thermal annealing (RTA) at 1000 °C, and the polarization-voltage characteristics of the resulting metal-ferroelectric-metal (MFM) capacitors were measured, showing a remnant polarization of 0.6 μC/cm2. Ferroelectric memories with embedded ferroelectric hafnium oxide stacks and monolayer MoS2 were fabricated. The transfer characteristics after program and erase pulses revealed a clear ferroelectric memory window. In addition, endurance (up to 10,000 cycles) of the devices were tested and effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, were observed. This research can potentially lead to advances of 2D materials in low-power logic and memory applications.

  20. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  1. The interfacial orientation relationship of oxide nanoparticles in a hafnium-containing oxide dispersion-strengthened austenitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miao, Yinbin, E-mail: miao2@illinois.edu; Mo, Kun; Cui, Bai

    2015-03-15

    This work reports comprehensive investigations on the orientation relationship of the oxide nanoparticles in a hafnium-containing austenitic oxide dispersion-strengthened 316 stainless steel. The phases of the oxide nanoparticles were determined by a combination of scanning transmission electron microscopy–electron dispersive X-ray spectroscopy, atom probe tomography and synchrotron X-ray diffraction to be complex Y–Ti–Hf–O compounds with similar crystal structures, including bixbyite Y{sub 2}O{sub 3}, fluorite Y{sub 2}O{sub 3}–HfO{sub 2} solid solution and pyrochlore (or fluorite) Y{sub 2}(Ti,Hf){sub 2−x}O{sub 7−x}. High resolution transmission electron microscopy was used to characterize the particle–matrix interfaces. Two different coherency relationships along with one axis-parallel relation between themore » oxide nanoparticles and the steel matrix were found. The size of the nanoparticles significantly influences the orientation relationship. The results provide insight into the relationship of these nanoparticles with the matrix, which has implications for interpreting material properties as well as responses to radiation. - Highlights: • The oxide nanoparticles in a hafnium-containing austenitic ODS were characterized. • The nanoparticles are Y–Hf–Ti–O enriched phases according to APT and STEM–EDS. • Two coherency and an axis-parallel orientation relationships were found by HR-TEM. • Particle size has a prominent effect on the orientation relationship (OR). • Formation mechanism of the oxide nanoparticles was discussed based on the ORs.« less

  2. Cathodoluminescence Study of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Purcell, Emily; Hengehold, Robert; McClory, John

    2011-10-01

    Hafnium dioxide (HfO2) is increasingly being used in place of silicon oxide as a gate insulator in field effect transistors. This is primarily due to its high dielectric constant, κ, of 25. Samples of HfO2 were grown by either atomic layer deposition (ALD) or pulsed laser deposition (PLD), with the PLD samples having assorted substrate temperatures during deposition (300 C, 500 C, and 750 C). Cathodoluminescence (CL) was chosen as the technique used for studying these HfO2 samples. The CL system used was capable of beam energies ranging from 1 keV to 20 keV and beam currents ranging from 10 μA to 50 μA. A Monte Carlo calculation using CASINO software was performed in order to determine the beam energy for the desired depth of penetration. Measurements were taken at sample temperatures ranging from 7K (closed cycled cryostat) to 300K (room temperature), as well as at various beam energies and beam currents. Comparison will be made between the PLD and ALD spectra.

  3. Slow DNA Transport through Nanopores in Hafnium Oxide Membranes

    PubMed Central

    Bell, David C.; Cohen-Karni, Tzahi; Rosenstein, Jacob K.; Wanunu, Meni

    2016-01-01

    We present a study of double- and single-stranded DNA transport through nanopores fabricated in ultrathin (2–7 nm thick) free-standing hafnium oxide (HfO2) membranes. The high chemical stability of ultrathin HfO2 enables long-lived experiments with <2 nm diameter pores that last several hours, in which we observe >50 000 DNA translocations with no detectable pore expansion. Mean DNA velocities are slower than velocities through comparable silicon nitride pores, providing evidence that HfO2 nanopores have favorable physicochemical interactions with nucleic acids that can be leveraged to slow down DNA in a nanopore. PMID:24083444

  4. Hafnium transistor process design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2009-01-01

    A design methodology is presented that uses 1-D process simulations of Metal Insulator Semiconductor (MIS) structures to design the threshold voltage of hafnium oxide based transistors used for neural recording. The methodology is comprised of 1-D analytical equations for threshold voltage specification, and doping profiles, and 1-D MIS Technical Computer Aided Design (TCAD) to design a process to implement a specific threshold voltage, which minimized simulation time. The process was then verified with a 2-D process/electrical TCAD simulation. Hafnium oxide films (HfO) were grown and characterized for dielectric constant and fixed oxide charge for various annealing temperatures, two important design variables in threshold voltage design.

  5. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  6. Hafnium silicate and hafnium silicon oxynitride gate dielectrics for strained Si_xGe_1-x: Interface stability

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    Strained Si_xGe_1-x layers have gained considerable attention due to hole mobility enhancement, and ease of integration with Si-based CMOS technology. The deposition of stable high-κ dielectrics [1] such as hafnium silicate and hafnium silicon oxynitride in direct contact with SiGe would simultaneously improve the capacitance of the gate stack and lower the leakage current for high performance SiGe devices. However, the oxidation of the Si_xGe_1-x substrate either during dielectric deposition or post-deposition processing would degrade device performance due to the thermodynamic instability of germanium oxide [2,3]. Results from XPS, HR-TEM, and C-V, and I-V analyses after various annealing treatments will be presented for hafnium silicate and hafnium silicon oxynitride films deposited on strained Si_xGe_1-x(100), and correlated with dielectric-Si_xGe_1-x(100) interface stability. Implications to the introduction of these oxides as viable gate dielectric candidates for SiGe-based CMOS technology will be discussed. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001) [2] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [3] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995)

  7. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  8. Multimode resistive switching in nanoscale hafnium oxide stack as studied by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hou, Y., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn; IMEC, Kapeldreef 75, B-3001 Heverlee; Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee

    2016-07-11

    The nanoscale resistive switching in hafnium oxide stack is investigated by the conductive atomic force microscopy (C-AFM). The initial oxide stack is insulating and electrical stress from the C-AFM tip induces nanometric conductive filaments. Multimode resistive switching can be observed in consecutive operation cycles at one spot. The different modes are interpreted in the framework of a low defect quantum point contact theory. The model implies that the optimization of the conductive filament active region is crucial for the future application of nanoscale resistive switching devices.

  9. RF sputtered silicon and hafnium nitrides as applied to 440C steel

    NASA Technical Reports Server (NTRS)

    Grill, A.; Aron, P. R.

    1984-01-01

    Silicon nitride and hafnium nitride coatings were deposited on oxidized and unoxidized 440C stainless steel substrates. Sputtering was done in mixtures of argon and nitrogen gases from pressed powder silicon nitride and from hafnium metal targets. The coatings and the interface between the coating and substrate were investigated by X-ray diffractometry, scanning electron microscopy, energy dispersive X-ray analysis and Auger electron spectroscopy. Oxide was found at all interfaces with an interface width of at least 600 A for the oxidized substrates and at least 300 A for the unoxidized substrates. Scratch test results demonstrate that the adhesion of hafnium nitride to both oxidized and unoxidized 440C is superior to that of silicon nitride. Oxidized 440C is found to have increased adhesion, to both nitrides, over that of unoxidized 440C. Coatings of both nitrides deposited at 8 mtorr were found to have increased adhesion to both oxidized and unoxidized 440C over those deposited at 20 mtorr.

  10. Synthesis of High Symmetry Phase of Hafnium Dioxide Thin Films and Nickel Ferrite's Effect on Microstructure in Composite Heterostructure

    NASA Astrophysics Data System (ADS)

    Straka, Weston J.

    Hafnium dioxide has attracted a great deal of attention recently due to its potential use in two different electronic applications: CMOS and FeRAM. In CMOS, the usefulness of hafnia comes in due to its high dielectric constant and compatibility with current IC processing parameters. For FeRAM, hafnia's recent discovery to exhibit ferroelectricity in an orthorhombic phase makes this material attractive for replacement of the ferroelectric material in FeRAM. This study shows the feasibility of depositing thin films of hafnium oxide via chemical solution deposition for integration into these devices. The processing parameters necessary to produce this phase show how non-equilibrium processing plays a role in its synthesis. The temperature necessary to achieve the high symmetry phase was at 725 °C for 3 minutes on sapphire, silicon, and coated silicon substrates. The thermal conductivity of each was viewed as the property that allowed the hafnia formation. The dielectric constant of the hafnia films were between 30 and 32 with low dissipation factors and up to 47 with a poor dissipation factor all at 1 kHz. The formation of this phase was shown to be thickness independent with the high symmetry phase existing up to 300 nm film thickness. Interfacing the hafnia film with nickel ferrite was also studied to identify the possibility of using this composite for non-destructive reading of FeRAM. The magnetic properties showed an unchanged nickel ferrite film but the interface between the two was poor leading to the conclusion that more work must be done to successfully integrate these two films.

  11. Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles

    NASA Astrophysics Data System (ADS)

    Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh

    2017-07-01

    In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.

  12. Work functions of hafnium nitride thin films as emitter material for field emitter arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gotoh, Yasuhito, E-mail: gotoh.yasuhito.5w@kyoto-u.ac.jp; Fujiwara, Sho; Tsuji, Hiroshi

    The work functions of hafnium nitride thin films prepared by radio-frequency magnetron sputtering were investigated in vacuum, before and after surface cleaning processes, with a view of improving the properties of as-fabricated field emitter arrays comprising hafnium nitride emitters. The measurement of the work function was first performed for the as-deposited films and then for films subjected to surface cleaning process, either thermal treatment or ion bombardment. Thermal treatment at a maximum temperature of 300 °C reduced the work function by 0.7 eV. Once the film was heated, the work function maintained the reduced value, even after cooling to room temperature. Amore » little change in the work function was observed for the second and third thermal treatments. The ion bombardment was conducted by exposing the sample to a thin plasma for different sample bias conditions and processing times. When the sample was biased at −10 V, the work function decreased by 0.6 eV. The work function reduction became saturated in the early stage of the ion bombardment. When the sample was biased at −50 V, the work function exhibited different behaviors, that is, first it decreased rapidly and then increased in response to the increase in processing time. The lowest attainable work function was found to be 4.00 eV. It should be noted that none of the work function values reported in this paper were obtained using surfaces that were demonstrated to be free from oxygen contamination. The present results suggest that the current–voltage characteristics of a field emitter array can be improved by a factor of 25–50 by the examined postprocesses.« less

  13. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  14. Thermochemistry of amorphous and crystalline zirconium and hafnium silicates.

    NASA Astrophysics Data System (ADS)

    Ushakov, S.; Brown, C. E.; Navrotsky, Alexandra; Boatner, L. A.; Demkov, A. A.; Wang, C.; Nguyen, B.-Y.

    2003-03-01

    Calorimetric investigation of amorphous and crystalline zirconium and hafnium silicates was performed as part of a research program on thermochemistry of alternative gate dielectrics. Amorphous hafnium and zirconium silicates with varying SiO2 content were synthesized by a sol-gel process. Crystalline zirconium and hafnium silicates (zircon and hafnon) were synthesized by solid state reaction at 1450 °C from amorphous gels and grown as single crystals from flux. High temperature oxide melt solution calorimetry in lead borate (2PbO.B2O3) solvent at 800 oC was used to measure drop solution enthalpies for amorphous and crystalline zirconium and hafnium silicates and corresponding oxides. Applying appropriate thermochemical cycles, formation enthalpy of crystalline ZrSiO4 (zircon) from binary oxides (baddeleite and quartz) at 298 K was calculated as -23 +/-2 kJ/mol and enthalpy difference between amorphous and crystalline zirconium silicate (vitrification enthalpy) was found to be 61 +/-3 kJ/mol. Crystallization onset temperatures of amorphous zirconium and hafnium silicates, as measured by differential scanning calorimetry (DSC), increased with silica content. The resulting crystalline phases, as characterized by X-ray diffraction (XRD), were tetragonal HfO2 and ZrO2. Critical crystallite size for tetragonal to monoclinic transformation of HfO2 in the gel was estimated as 6 +/-2 nm from XRD data Crystallization enthalpies per mole of hafnia and zirconia in gels decrease slightly together with crystallite size with increasing silica content, for example from -22 to -15 +/-1 kJ per mol of HfO2 crystallized at 740 and 1006 °C from silicates with 10 and 70 mol Applications of thermal analyses and solution calorimetry techniques together with first-principles density functional calculations to estimate interface and surface energies are discussed.

  15. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  16. Zirconium and hafnium

    USGS Publications Warehouse

    Jones, James V.; Piatak, Nadine M.; Bedinger, George M.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Zirconium and hafnium are corrosion-resistant metals that are widely used in the chemical and nuclear industries. Most zirconium is consumed in the form of the main ore mineral zircon (ZrSiO4, or as zirconium oxide or other zirconium chemicals. Zirconium and hafnium are both refractory lithophile elements that have nearly identical charge, ionic radii, and ionic potentials. As a result, their geochemical behavior is generally similar. Both elements are classified as incompatible because they have physical and crystallochemical properties that exclude them from the crystal lattices of most rock-forming minerals. Zircon and another, less common, ore mineral, baddeleyite (ZrO2), form primarily as accessory minerals in igneous rocks. The presence and abundance of these ore minerals in igneous rocks are largely controlled by the element concentrations in the magma source and by the processes of melt generation and evolution. The world’s largest primary deposits of zirconium and hafnium are associated with alkaline igneous rocks, and, in one locality on the Kola Peninsula of Murmanskaya Oblast, Russia, baddeleyite is recovered as a byproduct of apatite and magnetite mining. Otherwise, there are few primary igneous deposits of zirconium- and hafnium-bearing minerals with economic value at present. The main ore deposits worldwide are heavy-mineral sands produced by the weathering and erosion of preexisting rocks and the concentration of zircon and other economically important heavy minerals, such as ilmenite and rutile (for titanium), chromite (for chromium), and monazite (for rare-earth elements) in sedimentary systems, particularly in coastal environments. In coastal deposits, heavy-mineral enrichment occurs where sediment is repeatedly reworked by wind, waves, currents, and tidal processes. The resulting heavy-mineral-sand deposits, called placers or paleoplacers, preferentially form at relatively low latitudes on passive continental margins and supply 100 percent of

  17. Hafnium transistor design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2008-01-01

    A design methodology is presented that uses the EKV model and the g(m)/I(D) biasing technique to design hafnium oxide field effect transistors that are suitable for neural recording circuitry. The DC gain of a common source amplifier is correlated to the structural properties of a Field Effect Transistor (FET) and a Metal Insulator Semiconductor (MIS) capacitor. This approach allows a transistor designer to use a design flow that starts with simple and intuitive 1-D equations for gain that can be verified in 1-D MIS capacitor TCAD simulations, before final TCAD process verification of transistor properties. The DC gain of a common source amplifier is optimized by using fast 1-D simulations and using slower, complex 2-D simulations only for verification. The 1-D equations are used to show that the increased dielectric constant of hafnium oxide allows a higher DC gain for a given oxide thickness. An additional benefit is that the MIS capacitor can be employed to test additional performance parameters important to an open gate transistor such as dielectric stability and ionic penetration.

  18. High quality transparent conducting oxide thin films

    DOEpatents

    Gessert, Timothy A.; Duenow, Joel N.; Barnes, Teresa; Coutts, Timothy J.

    2012-08-28

    A transparent conducting oxide (TCO) film comprising: a TCO layer, and dopants selected from the elements consisting of Vanadium, Molybdenum, Tantalum, Niobium, Antimony, Titanium, Zirconium, and Hafnium, wherein the elements are n-type dopants; and wherein the transparent conducting oxide is characterized by an improved electron mobility of about 42 cm.sup.2/V-sec while simultaneously maintaining a high carrier density of .about.4.4e.times.10.sup.20 cm.sup.-3.

  19. Hafnium radioisotope recovery from irradiated tantalum

    DOEpatents

    Taylor, Wayne A.; Jamriska, David J.

    2001-01-01

    Hafnium is recovered from irradiated tantalum by: (a) contacting the irradiated tantalum with at least one acid to obtain a solution of dissolved tantalum; (b) combining an aqueous solution of a calcium compound with the solution of dissolved tantalum to obtain a third combined solution; (c) precipitating hafnium, lanthanide, and insoluble calcium complexes from the third combined solution to obtain a first precipitate; (d) contacting the first precipitate of hafnium, lanthanide and calcium complexes with at least one fluoride ion complexing agent to form a fourth solution; (e) selectively adsorbing lanthanides and calcium from the fourth solution by cationic exchange; (f) separating fluoride ion complexing agent product from hafnium in the fourth solution by adding an aqueous solution of ferric chloride to obtain a second precipitate containing the hafnium and iron; (g) dissolving the second precipitate containing the hafnium and iron in acid to obtain an acid solution of hafnium and iron; (h) selectively adsorbing the iron from the acid solution of hafnium and iron by anionic exchange; (i) drying the ion exchanged hafnium solution to obtain hafnium isotopes. Additionally, if needed to remove residue remaining after the product is dried, dissolution in acid followed by cation exchange, then anion exchange, is performed.

  20. Mineral resource of the month: zirconium and hafnium

    USGS Publications Warehouse

    Gambogi, Joseph

    2007-01-01

    Zirconium and hafnium are corrosion-resistant metals that are grouped in the same family as titanium on the periodic table. The two elements commonly occur in oxide and silicate minerals and have significant economic importance in everything from ink, ceramics and golf shoes to nuclear fuel rods.

  1. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Treesearch

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  2. As-Received, Ozone Cleaned and Ar+ Sputtered Surfaces of Hafnium Oxide Grown by Atomic Layer Deposition and Studied by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Herman, Jacob A.; Wallace, Robert

    2012-06-27

    In this study, X-ray photoelectron spectroscopy (XPS) characterization was performed on 47 nm thick hafnium oxide (HfO{sub 2}) films grown by atomic layer deposition using TEMA-Hf/H{sub 2}O at 250 C substrate temperature. HfO{sub 2} is currently being studied as a possible replacement for Silicon Oxide (SiO{sub 2}) as a gate dielectric in electronics transistors. XPS spectra were collected on a Physical Electronics Quantum 2000 Scanning ESCA Microprobe using a monochromatic Al K{sub a} X-ray (1486.7 eV) excitation source. The sample was analyzed under the following conditions: as received, after UV irradiation for five minutes, and after sputter cleaning with 2more » kV Ar{sup +} ions for 180 seconds. Survey scans showed carbon, oxygen, and hafnium as the major species in the film, while the only minor species of argon and carbide was detected after sputtering. Adventitious carbon initially composed approximately 18.6 AT% of the surface, but after UV cleaning it was reduced to 2.4 AT%. This demonstrated that that the majority of carbon was due to adventitious carbon. However, after 2 kV Ar{sup +} sputtering there was still only trace amounts of carbon at {approx}1 AT%, Some of this trace carbon is now in the form of a carbide due to the interaction with Ar{sup +} used for sputter cleaning. Furthermore, the stoiciometric ratio of oxygen and hafnium is consistent with a high quality HfO{sub 2} film.« less

  3. Evolution of the mechanical and tribological properties of DLC thin films doped with low-concentration hafnium on 316L steel

    NASA Astrophysics Data System (ADS)

    Qi, Meng; Xiao, Jianrong; Gong, Chenyang; Jiang, Aihua; Chen, Yong

    2018-01-01

    Low concentrations (<1 at%) of hafnium doped into diamond-like thin films (Hf-DLC) were deposited on 316L stainless steel and silicon (1 0 0) substrates by magnetron sputtering to attain superior mechanical and tribological properties. Ar and CH4 were used as source gases. The microstructure, chemical composition, and morphology of the Hf-DLC thin films in various concentrations were analyzed using x-ray diffraction, Raman spectroscopy, x-ray photoelectron spectroscopy, scanning electron microscopy and atomic force microscopy. Results showed that Hf species transferred from the particulate microstructure to Hf carbide phases, and the surface roughness increased monotonically with increasing Hf concentration. Moreover, the hardness and elastic modulus exhibited high values when the doped Hf concentration was 0.42 at%. Similarly, the tribological behaviors and wear life of Hf-DLC thin films had a low friction coefficient and excellent wear resistance at 0.42 at% Hf concentration. Therefore, 0.42 at% Hf is an optimal doping concentration to improve the mechanical and tribological properties of DLC thin films. Generally, the use of low-concentration Hf doping into DLC thin films is novel, and the present results provide guidance for the selection of suitable and effective concentration to optimize Hf-DLC thin films with superior performance.

  4. High rate buffer layer for IBAD MgO coated conductors

    DOEpatents

    Foltyn, Stephen R [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM

    2007-08-21

    Articles are provided including a base substrate having a layer of an oriented material thereon, and, a layer of hafnium oxide upon the layer of an oriented material. The layer of hafnium oxide can further include a secondary oxide such as cerium oxide, yttrium oxide, lanthanum oxide, scandium oxide, calcium oxide and magnesium oxide. Such articles can further include thin films of high temperature superconductive oxides such as YBCO upon the layer of hafnium oxide or layer of hafnium oxide and secondary oxide.

  5. Facing-target mid-frequency magnetron reactive sputtered hafnium oxide film: Morphology and electrical properties

    NASA Astrophysics Data System (ADS)

    Zhang, Yu; Xu, Jun; Wang, You-Nian; Choi, Chi Kyu; Zhou, Da-Yu

    2016-03-01

    Amorphous hafnium dioxide (HfO2) film was prepared on Si (100) by facing-target mid-frequency reactive magnetron sputtering under different oxygen/argon gas ratio at room temperature with high purity Hf target. 3D surface profiler results showed that the deposition rates of HfO2 thin film under different O2/Ar gas ratio remain unchanged, indicating that the facing target midfrequency magnetron sputtering system provides effective approach to eliminate target poisoning phenomenon which is generally occurred in reactive sputtering procedure. X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) demonstrated that the gradual reduction of oxygen vacancy concentration and the densification of deposited film structure with the increase of oxygen/argon (O2/Ar) gas flow ratio. Atomic force microscopy (AFM) analysis suggested that the surface of the as-deposited HfO2 thin film tends to be smoother, the root-meansquare roughness (RMS) reduced from 0.876 nm to 0.333 nm while O2/Ar gas flow ratio increased from 1/4 to 1/1. Current-Voltage measurements of MOS capacitor based on Au/HfO2/Si structure indicated that the leakage current density of HfO2 thin films decreased by increasing of oxygen partial pressure, which resulted in the variations of pore size and oxygen vacancy concentration in deposited thin films. Based on the above characterization results the leakage current mechanism for all samples was discussed systematically.

  6. Ablation Resistant Zirconium and Hafnium Ceramics

    NASA Technical Reports Server (NTRS)

    Bull, Jeffrey (Inventor); White, Michael J. (Inventor); Kaufman, Larry (Inventor)

    1998-01-01

    High temperature ablation resistant ceramic composites have been made. These ceramics are composites of zirconium diboride and zirconium carbide with silicon carbide, hafnium diboride and hafnium carbide with silicon carbide and ceramic composites which contain mixed diborides and/or carbides of zirconium and hafnium. along with silicon carbide.

  7. Atomic layer deposition of hafnium oxide: A detailed reaction mechanism from first principles

    NASA Astrophysics Data System (ADS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-08-01

    Atomic layer deposition (ALD) of hafnium oxide (HfO2) using HfCl4 and H2O as precursors is studied using density functional theory. The mechanism consists of two deposition half-reactions: (1) HfCl4 with Hf-OH sites, and (2) H2O with Hf-Cl sites. Both half-reactions exhibit stable intermediates with energies lower than those of the final products. We show that increasing the temperature reduces the stability of the complex. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors. Both half-reactions are qualitatively similar to the corresponding reactions of ZrO2 ALD using ZrCl4 and H2O.

  8. Hafnium isotope stratigraphy of ferromanganese crusts

    PubMed

    Lee; Halliday; Hein; Burton; Christensen; Gunther

    1999-08-13

    A Cenozoic record of hafnium isotopic compositions of central Pacific deep water has been obtained from two ferromanganese crusts. The crusts are separated by more than 3000 kilometers but display similar secular variations. Significant fluctuations in hafnium isotopic composition occurred in the Eocene and Oligocene, possibly related to direct advection from the Indian and Atlantic oceans. Hafnium isotopic compositions have remained approximately uniform for the past 20 million years, probably reflecting increased isolation of the central Pacific. The mechanisms responsible for the increase in (87)Sr/(86)Sr in seawater through the Cenozoic apparently had no effect on central Pacific deep-water hafnium.

  9. SEPARATION OF HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Overholser, L.B.; Barton, C.J. Sr.; Ramsey, J.W.

    1960-05-31

    The separation of hafnium impurities from zirconium can be accomplished by means of organic solvent extraction. The hafnium-containing zirconium feed material is dissolved in an aqueous chloride solution and the resulting solution is contacted with an organic hexone phase, with at least one of the phases containing thiocyanate. The hafnium is extracted into the organic phase while zirconium remains in the aqueous phase. Further recovery of zirconium is effected by stripping the onganic phase with a hydrochloric acid solution and commingling the resulting strip solution with the aqueous feed solution. Hexone is recovered and recycled by means of scrubbing the onganic phase with a sulfuric acid solution to remove the hafnium, and thiocyanate is recovered and recycled by means of neutralizing the effluent streams to obtain ammonium thiocyanate.

  10. All-alkoxide synthesis of strontium-containing metal oxides

    DOEpatents

    Boyle, Timothy J.

    2001-01-01

    A method for making strontium-containing metal-oxide ceramic thin films from a precursor liquid by mixing a strontium neo-pentoxide dissolved in an amine solvent and at least one metal alkoxide dissolved in a solvent, said at least one metal alkoxide selected from the group consisting of alkoxides of calcium, barium, bismuth, cadmium, lead, titanium, tantalum, hafnium, tungsten, niobium, zirconium, yttrium, lanthanum, antimony, chromium and thallium, depositing a thin film of the precursor liquid on a substrate, and heating the thin film in the presence of oxygen at between 550 and 700.degree. C.

  11. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    PubMed

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  12. Oxide Protective Coats for Ir/Re Rocket Combustion Chambers

    NASA Technical Reports Server (NTRS)

    Fortini, Arthur; Tuffias, Robert H.

    2003-01-01

    An improved material system has been developed for rocket engine combustion chambers for burning oxygen/ hydrogen mixtures or novel monopropellants, which are highly oxidizing at operating temperatures. The baseline for developing the improved material system is a prior iridium/rhenium system for chambers burning nitrogen tetroxide/monomethyl hydrazine mixtures, which are less oxidizing. The baseline combustion chamber comprises an outer layer of rhenium that provides structural support, plus an inner layer of iridium that acts as a barrier to oxidation of the rhenium. In the improved material system, the layer of iridium is thin and is coated with a thermal fatigue-resistant refractory oxide (specifically, hafnium oxide) that serves partly as a thermal barrier to decrease the temperature and thus the rate of oxidation of the rhenium. The oxide layer also acts as a barrier against the transport of oxidizing species to the surface of the iridium. Tests in which various oxygen/hydrogen mixtures were burned in iridium/rhenium combustion chambers lined with hafnium oxide showed that the operational lifetimes of combustion chambers of the improved material system are an order of magnitude greater than those of the baseline combustion chambers.

  13. Conduction Channel Formation and Dissolution Due to Oxygen Thermophoresis/Diffusion in Hafnium Oxide Memristors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Suhas; Wang, Ziwen; Huang, Xiaopeng

    Due to the favorable operating power, endurance, speed, and density., transition-metal-oxide memristors, or resistive random-access memory (RRAM) switches, are under intense development for storage-class memory. Their commercial deployment critically depends on predictive compact models based on understanding nanoscale physiocochemical forces, which remains elusive and controversial owing to the difficulties in directly observing atomic motions during resistive switching, Here, using scanning transmission synchrotron X-ray spectromicroscopy to study in situ switching of hafnium oxide memristors, we directly observed the formation of a localized oxygen-deficiency-derived conductive channel surrounded by a low-conductivity ring of excess oxygen. Subsequent thermal annealing homogenized the segregated oxygen, resettingmore » the cells toward their as-grown resistance state. We show that the formation and dissolution of the conduction channel are successfully modeled by radial thermophoresis and Fick diffusion of oxygen atoms driven by Joule heating. This confirmation and quantification of two opposing nanoscale radial forces that affect bipolar memristor switching are important components for any future physics-based compact model for the electronic switching of these devices.« less

  14. Charge injection from gate electrode by simultaneous stress of optical and electrical biases in HfInZnO amorphous oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Kwon, Dae Woong; Kim, Jang Hyun; Chang, Ji Soo; Kim, Sang Wan; Sun, Min-Chul; Kim, Garam; Kim, Hyun Woo; Park, Jae Chul; Song, Ihun; Kim, Chang Jung; Jung, U. In; Park, Byung-Gook

    2010-11-01

    A comprehensive study is done regarding stabilities under simultaneous stress of light and dc-bias in amorphous hafnium-indium-zinc-oxide thin film transistors. The positive threshold voltage (Vth) shift is observed after negative gate bias and light stress, and it is completely different from widely accepted phenomenon which explains that negative-bias stress results in Vth shift in the left direction by bias-induced hole-trapping. Gate current measurement is performed to explain the unusual positive Vth shift under simultaneous application of light and negative gate bias. As a result, it is clearly found that the positive Vth shift is derived from electron injection from gate electrode to gate insulator.

  15. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  16. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.

    1956-08-21

    A dilute aqueous solution of zirconyl chloride which is 1N to 2N in HCl is passed through a column of a cation exchange resin in acid form thereby absorbing both zirconium and associated hafnium impurity in the mesin. The cation exchange material with the absorbate is then eluted with aqueous sulfuric acid of a O.8N to 1.2N strength. The first portion of the eluate contains the zirconium substantially free of hafnium.

  17. Inter-diffusion of copper and hafnium as studied by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Pearson, Justin; Chourasia, A. R.

    The Cu/Hf interface has been characterized by x-ray photoelectron spectroscopy. Thin films (thicknesses ranging from 100 nm to 150 nm) of hafnium were deposited on a silicon substrate. About 80 nm of copper was then deposited on such samples. The e-beam method was used for the deposition. The samples were annealed for 30 min at temperatures of 100, 200, 300, 400, and 500°C. The inter-diffusion of copper and hafnium was investigated by sequential sputter depth profiling and x-ray photoelectron spectroscopy. The interdiffusion in each case was analyzed by the Matano-Boltzmann's procedure using the Fick's second law. The interdiffusion coefficients and the width of the interface as determined from the data have been correlated with the annealing temperature. Supported by Organized Research, TAMU-Commerce.

  18. Etude de la nitruration carbothermique du dioxyde de hafnium par diffraction X à haute température

    NASA Astrophysics Data System (ADS)

    Pialoux, A.

    1993-03-01

    The carbothermal reduction of hafnium dioxide under atmospheric level nitrogen pressure has been investigated using a graphite resistance high temperature X-ray diffractometer up to around 2300 K. A carbon transfer reaction through the gaseous phase (N 2, CO/CO 2) is shown to precede, then to compete the direct reduction of the hafnium oxide by the graphite in pure nitrogen. A complex mechanism has been found that accounts for the formation of hafnium dioxynitride and possibly of three other hafnium oxynitrides, then of hafnium mononitride and hafnium monocarbonitride, along two different steps between 1613 and 1923 K. An evaluation has been made concerning the composition of these γ 1- HfO 2-xN x/2□ x/2 (CaF 2-type structure), γ 2- Hf 7O 11N 2, γ 3- Hf 7O 8N 4 (rhombohedral), γ 4- Hf 2ON 2 (Mn 2O 3-type structure), HfN and HfN 1-zC z (NaCl-type structure) phases, considering the variations of their lattice parameters and the available data in the literature, especially on the isomorphous compounds of zirconium. It must be emphasized the new γ 1- HfO 2-xN x/2 phase, the dilatation of which is linear ( overlineα = 12×10 -6K -1), shows a constant composition from 2158 down to 1473 K (x ≈ 0,2). But under 1473 K, inevitably, the hafnium dioxynitride disappears, and poorly crystallized monoclinic αHfO 2 and rhombohedral γ 2- Hf 7O 11N 2 are formed.

  19. Thin Films of Reduced Hafnium Oxide with Excess Carbon for High-Temperature Oxidation Protection

    DTIC Science & Technology

    2010-02-01

    Cho, N. V. Nguyen, C. A. Richter, J. R. Ehrstein, B . H. Lee, and J. C. Lee, "Spectroscopic Ellipsometry Characterization of High- k Dielectric Hf02...34 Canadian Journal of Chemistry, 61 850-55 (1983). I6D. B . Chrisey and G. K . Hubler (Eds.), "Pulsed Laser Deposition of Thin Films." John Wiley...smaller than b rim. It was shown that high carbon content in the films inhibits crystaliization of HtO( at temperatures at least up to 333 C. Study ot

  20. Density of states-based design of metal oxide thin-film transistors for high mobility and superior photostability.

    PubMed

    Kim, Hyun-Suk; Park, Joon Seok; Jeong, Hyun-Kwang; Son, Kyoung Seok; Kim, Tae Sang; Seon, Jong-Baek; Lee, Eunha; Chung, Jae Gwan; Kim, Dae Hwan; Ryu, Myungkwan; Lee, Sang Yoon

    2012-10-24

    A novel method to design metal oxide thin-film transistor (TFT) devices with high performance and high photostability for next-generation flat-panel displays is reported. Here, we developed bilayer metal oxide TFTs, where the front channel consists of indium-zinc-oxide (IZO) and the back channel material on top of it is hafnium-indium-zinc-oxide (HIZO). Density-of-states (DOS)-based modeling and device simulation were performed in order to determine the optimum thickness ratio within the IZO/HIZO stack that results in the best balance between device performance and stability. As a result, respective values of 5 and 40 nm for the IZO and HIZO layers were determined. The TFT devices that were fabricated accordingly exhibited mobility values up to 48 cm(2)/(V s), which is much elevated compared to pure HIZO TFTs (∼13 cm(2)/(V s)) but comparable to pure IZO TFTs (∼59 cm(2)/(V s)). Also, the stability of the bilayer device (-1.18 V) was significantly enhanced compared to the pure IZO device (-9.08 V). Our methodology based on the subgap DOS model and simulation provides an effective way to enhance the device stability while retaining a relatively high mobility, which makes the corresponding devices suitable for ultradefinition, large-area, and high-frame-rate display applications.

  1. Atomic-scale visualization of oxide thin-film surfaces.

    PubMed

    Iwaya, Katsuya; Ohsawa, Takeo; Shimizu, Ryota; Okada, Yoshinori; Hitosugi, Taro

    2018-01-01

    The interfaces of complex oxide heterostructures exhibit intriguing phenomena not observed in their constituent materials. The oxide thin-film growth of such heterostructures has been successfully controlled with unit-cell precision; however, atomic-scale understandings of oxide thin-film surfaces and interfaces have remained insufficient. We examined, with atomic precision, the surface and electronic structures of oxide thin films and their growth processes using low-temperature scanning tunneling microscopy. Our results reveal that oxide thin-film surface structures are complicated in contrast to the general perception and that atomically ordered surfaces can be achieved with careful attention to the surface preparation. Such atomically ordered oxide thin-film surfaces offer great opportunities not only for investigating the microscopic origins of interfacial phenomena but also for exploring new surface phenomena and for studying the electronic states of complex oxides that are inaccessible using bulk samples.

  2. Oxidation Characterization of Hafnium-Based Ceramics Fabricated by Hot Pressing and Electric Field-Assisted Sintering

    NASA Technical Reports Server (NTRS)

    Gasch, Matt; Johnson, Sylvia; Marschall, Jochen

    2010-01-01

    Ceramic borides, such as hafnium diboride (HfB2) and zirconium diboride (ZrB2), are members of a family of materials with extremely high melting temperatures referred to as Ultra High Temperature Ceramics (UHTCs). UHTCs constitute a class of promising materials for use in high temperature applications, such as sharp leading edges on future-generation hypersonic flight vehicles, because of their high melting points. The controlled development of microstructure has become important to the processing of UHTCs, with the prospect of improving their mechanical and thermal properties. The improved oxidation resistance of HfB2 has also become important if this material is to be successfully used at temperatures above 2000 C. Furthermore, the use of UHTCs on the leading edges of vehicles traveling at hypersonic speeds will mean exposure to a mixed oxidation environment comprised of both molecular and atomic oxygen. The current study has investigated the high-temperature oxidation behavior of HfB2-based materials in a pure O2 environment, as well as in environments containing different levels of dissociated oxygen (O/O2). Materials were processed by two techniques: conventional hot pressing (HP) and electric field-assisted sintering (FAS). Their oxidation behavior was evaluated in both a tube furnace at 1250 C for 3 hours and in a simulated re-entry environment in the Advanced Heating Facility (AHF) arcjet at NASA Ames Research Center, during a 10-minute exposure to a cold wall heat flux of 250W/sq cm and stagnation pressure of 0.1-0.2 atm. The microstructure of the different materials was characterized before and after oxidation using scanning electron microscopy (SEM).

  3. Silver-hafnium braze alloy

    DOEpatents

    Stephens, Jr., John J.; Hosking, F. Michael; Yost, Frederick G.

    2003-12-16

    A binary allow braze composition has been prepared and used in a bonded article of ceramic-ceramic and ceramic-metal materials. The braze composition comprises greater than approximately 95 wt % silver, greater than approximately 2 wt % hafnium and less than approximately 4.1 wt % hafnium, and less than approximately 0.2 wt % trace elements. The binary braze alloy is used to join a ceramic material to another ceramic material or a ceramic material, such as alumina, quartz, aluminum nitride, silicon nitride, silicon carbide, and mullite, to a metal material, such as iron-based metals, cobalt-based metals, nickel-based metals, molybdenum-based metals, tungsten-based metals, niobium-based metals, and tantalum-based metals. A hermetic bonded article is obtained with a strength greater than 10,000 psi.

  4. Oxide-based thin film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    He, Yongli; Wang, Xiangyu; Gao, Ya; Hou, Yahui; Wan, Qing

    2018-01-01

    The continuous progress in thin film materials and devices has greatly promoted the development in the field of flexible electronics. As one of the most common thin film devices, thin film transistors (TFTs) are significant building blocks for flexible platforms. Flexible oxide-based TFTs are well compatible with flexible electronic systems due to low process temperature, high carrier mobility, and good uniformity. The present article is a review of the recent progress and major trends in the field of flexible oxide-based thin film transistors. First, an introduction of flexible electronics and flexible oxide-based thin film transistors is given. Next, we introduce oxide semiconductor materials and various flexible oxide-based TFTs classified by substrate materials including polymer plastics, paper sheets, metal foils, and flexible thin glass. Afterwards, applications of flexible oxide-based TFTs including bendable sensors, memories, circuits, and displays are presented. Finally, we give conclusions and a prospect for possible development trends. Project supported in part by the National Science Foundation for Distinguished Young Scholars of China (No. 61425020), in part by the National Natural Science Foundation of China (No. 11674162).

  5. Hafnium-Based Contrast Agents for X-ray Computed Tomography.

    PubMed

    Berger, Markus; Bauser, Marcus; Frenzel, Thomas; Hilger, Christoph Stephan; Jost, Gregor; Lauria, Silvia; Morgenstern, Bernd; Neis, Christian; Pietsch, Hubertus; Sülzle, Detlev; Hegetschweiler, Kaspar

    2017-05-15

    Heavy-metal-based contrast agents (CAs) offer enhanced X-ray absorption for X-ray computed tomography (CT) compared to the currently used iodinated CAs. We report the discovery of new lanthanide and hafnium azainositol complexes and their optimization with respect to high water solubility and stability. Our efforts culminated in the synthesis of BAY-576, an uncharged hafnium complex with 3:2 stoichiometry and broken complex symmetry. The superior properties of this asymmetrically substituted hafnium CA were demonstrated by a CT angiography study in rabbits that revealed excellent signal contrast enhancement.

  6. 40 CFR 471.90 - Applicability; description of the zirconium-hafnium forming subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... zirconium-hafnium forming subcategory. 471.90 Section 471.90 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Zirconium-Hafnium Forming Subcategory § 471.90 Applicability; description of the zirconium-hafnium forming subcategory. This subpart applies to discharges of pollutants to waters of the...

  7. Modeling Thin Film Oxide Growth

    NASA Astrophysics Data System (ADS)

    Sherman, Quentin

    Thin film oxidation is investigated using two modeling techniques in the interest of better understanding the roles of space charge and non-equilibrium effects. An electrochemical phase-field model of an oxide-metal interface is formulated in one dimension and studied at equilibrium and during growth. An analogous sharp interface model is developed to validate the phase-field model in the thick film limit. Electrochemical profiles across the oxide are shown to deviate from the sharp interface prediction when the oxide film is thin compared to the Debye length, however no effect on the oxidation kinetics is found. This is attributed to the simple thermodynamic and kinetic models used therein. The phase-field model provides a framework onto to which additional physics can be added to better model thin film oxidation. A model for solute trapping during the oxidation of binary alloys is developed to study non-equilibrium effects during the early stages of oxide growth. The model is applied to NiCr alloys, and steady-state interfacial composition maps are presented for the growth of an oxide with the rock salt structure. No detailed experimental data is available to verify the predictions of the solute trapping model, however it is shown to be consistent with the trends observed during the early stages of NiCr oxidation. Lastly, experimental studies of the wet infiltration technique for decorating solid oxide fuel cell anodes with nickel nanoparticles are presented. The effect of nickel nitrate calcination parameters on the resulting nickel oxide microstructures are studied on both porous and planar substrates. Decreasing the calcination temperature and dwell time, as well as a dehydration step after nickel nitrate infiltration, are all shown to decrease the initial nickel oxide particle size, but other factors such as geometry and nickel loading per unit area also affected the final nickel particle size and morphology upon reduction.

  8. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  9. Structural, electronic and chemical properties of metal/oxide and oxide/oxide interfaces and thin film structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lad, Robert J.

    1999-12-14

    This project focused on three different aspects of oxide thin film systems: (1) Model metal/oxide and oxide/oxide interface studies were carried out by depositing ultra-thin metal (Al, K, Mg) and oxide (MgO, AlO{sub x}) films on TiO{sub 2}, NiO and {alpha}-Al{sub 2}O{sub 3} single crystal oxide substrates. (2) Electron cyclotron resonance (ECR) oxygen plasma deposition was used to fabricate AlO{sub 3} and ZrO{sub 2} films on sapphire substrates, and film growth mechanisms and structural characteristics were investigated. (3) The friction and wear characteristics of ZrO{sub 2} films on sapphire substrates in unlubricated sliding contact were studied and correlated with filmmore » microstructure. In these studies, thin film and interfacial regions were characterized using diffraction (RHEED, LEED, XRD), electron spectroscopies (XPS, UPS, AES), microscopy (AFM) and tribology instruments (pin-on-disk, friction microprobe, and scratch tester). By precise control of thin film microstructure, an increased understanding of the structural and chemical stability of interface regions and tribological performance of ultra-thin oxide films was achieved in these important ceramic systems.« less

  10. Electrical properties of radio-frequency sputtered HfO2 thin films for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Sarkar, Pranab Kumar; Roy, Asim

    2015-08-01

    The Hafnium oxide (HfO2) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO2 film have been studied employing Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO2 film is obtained as 23,7.57×1011eV-1 cm-2 and 2.7×10-5 Acm-2, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  11. 40 CFR 421.330 - Applicability: Description of the primary zirconium and hafnium subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... primary zirconium and hafnium subcategory. 421.330 Section 421.330 Protection of Environment ENVIRONMENTAL... CATEGORY Primary Zirconium and Hafnium Subcategory § 421.330 Applicability: Description of the primary zirconium and hafnium subcategory. The provisions of this subpart are applicable to discharges resulting...

  12. Unidirectional oxide hetero-interface thin-film diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing amore » high feasibility for practical applications.« less

  13. Thin film hydrous metal oxide catalysts

    DOEpatents

    Dosch, Robert G.; Stephens, Howard P.

    1995-01-01

    Thin film (<100 nm) hydrous metal oxide catalysts are prepared by 1) synthesis of a hydrous metal oxide, 2) deposition of the hydrous metal oxide upon an inert support surface, 3) ion exchange with catalytically active metals, and 4) activating the hydrous metal oxide catalysts.

  14. Growth control of the oxidation state in vanadium oxide thin films

    DOE PAGES

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; ...

    2014-12-05

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research, but also technological applications that utilize the subtle change in the physical properties originating from the metalinsulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V₂⁺²O₃, V⁺⁴O₂, and V₂⁺⁵O₅. A well pronounced MIT was only observed in VO₂ films grown in a very narrow range of oxygen partial pressure P(O₂). The films grown either in lower (< 10 mTorr) or higher P(O₂) (> 25 mTorr) result in V₂O₃ and V₂O₅ phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO₂ thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an 3 improved MIT behavior.« less

  15. Growth control of the oxidation state in vanadium oxide thin films

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; Egami, Takeshi; Lee, Ho Nyung

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase pure epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V2 + 3 O 3 , V + 4 O 2 , and V2 + 5 O 5 . A well pronounced MIT was only observed in VO2 films grown in a very narrow range of oxygen partial pressure P(O2). The films grown either in lower (<10 mTorr) or higher P(O2) (>25 mTorr) result in V2O3 and V2O5 phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO2 thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.

  16. Metal–Organic Nanosheets Formed via Defect-Mediated Transformation of a Hafnium Metal–Organic Framework

    PubMed Central

    2017-01-01

    We report a hafnium-containing MOF, hcp UiO-67(Hf), which is a ligand-deficient layered analogue of the face-centered cubic fcu UiO-67(Hf). hcp UiO-67 accommodates its lower ligand:metal ratio compared to fcu UiO-67 through a new structural mechanism: the formation of a condensed “double cluster” (Hf12O8(OH)14), analogous to the condensation of coordination polyhedra in oxide frameworks. In oxide frameworks, variable stoichiometry can lead to more complex defect structures, e.g., crystallographic shear planes or modules with differing compositions, which can be the source of further chemical reactivity; likewise, the layered hcp UiO-67 can react further to reversibly form a two-dimensional metal–organic framework, hxl UiO-67. Both three-dimensional hcp UiO-67 and two-dimensional hxl UiO-67 can be delaminated to form metal–organic nanosheets. Delamination of hcp UiO-67 occurs through the cleavage of strong hafnium-carboxylate bonds and is effected under mild conditions, suggesting that defect-ordered MOFs could be a productive route to porous two-dimensional materials. PMID:28343394

  17. Metal-Organic Nanosheets Formed via Defect-Mediated Transformation of a Hafnium Metal-Organic Framework.

    PubMed

    Cliffe, Matthew J; Castillo-Martínez, Elizabeth; Wu, Yue; Lee, Jeongjae; Forse, Alexander C; Firth, Francesca C N; Moghadam, Peyman Z; Fairen-Jimenez, David; Gaultois, Michael W; Hill, Joshua A; Magdysyuk, Oxana V; Slater, Ben; Goodwin, Andrew L; Grey, Clare P

    2017-04-19

    We report a hafnium-containing MOF, hcp UiO-67(Hf), which is a ligand-deficient layered analogue of the face-centered cubic fcu UiO-67(Hf). hcp UiO-67 accommodates its lower ligand:metal ratio compared to fcu UiO-67 through a new structural mechanism: the formation of a condensed "double cluster" (Hf 12 O 8 (OH) 14 ), analogous to the condensation of coordination polyhedra in oxide frameworks. In oxide frameworks, variable stoichiometry can lead to more complex defect structures, e.g., crystallographic shear planes or modules with differing compositions, which can be the source of further chemical reactivity; likewise, the layered hcp UiO-67 can react further to reversibly form a two-dimensional metal-organic framework, hxl UiO-67. Both three-dimensional hcp UiO-67 and two-dimensional hxl UiO-67 can be delaminated to form metal-organic nanosheets. Delamination of hcp UiO-67 occurs through the cleavage of strong hafnium-carboxylate bonds and is effected under mild conditions, suggesting that defect-ordered MOFs could be a productive route to porous two-dimensional materials.

  18. Memristive Properties of Thin Film Cuprous Oxide

    DTIC Science & Technology

    2011-03-01

    Equation Chapter 1 Section 1 MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Brett C...Force Base, Ohio APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED The views expressed in this thesis are those of the...MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Presented to the Faculty Department of Engineering Physics Graduate School of

  19. Growth control of the oxidation state in vanadium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Shinbuhm; Meyer, Tricia L.; Lee, Ho Nyung, E-mail: hnlee@ornl.gov

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V{sub 2}{sup +3}O{sub 3}, V{sup +4}O{sub 2}, and V{sub 2}{sup +5}O{sub 5}. A well pronounced MIT was only observed in VO{sub 2} films grown in a very narrow range of oxygen partial pressure P(O{sub 2}). The films grown either in lower (<10 mTorr) or higher P(O{sub 2}) (>25 mTorr) result in V{sub 2}O{sub 3} and V{sub 2}O{sub 5} phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO{sub 2} thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.« less

  20. Restorative effect of oxygen annealing on device performance in HfIZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Ha, Tae-Jun

    2015-03-01

    Metal-oxide based thin-film transistors (oxide-TFTs) are very promising for use in next generation electronics such as transparent displays requiring high switching and driving performance. In this study, we demonstrate an optimized process to secure excellent device performance with a favorable shift of the threshold voltage toward 0V in amorphous hafnium-indium-zinc-oxide (a-HfIZO) TFTs by using post-treatment with oxygen annealing. This enhancement results from the improved interfacial characteristics between gate dielectric and semiconductor layers due to the reduction in the density of interfacial states related to oxygen vacancies afforded by oxygen annealing. The device statistics confirm the improvement in the device-to-device and run-to-run uniformity. We also report on the photo-induced stability in such oxide-TFTs against long-term UV irradiation, which is significant for transparent displays.

  1. Pulse I-V characterization of a nano-crystalline oxide device with sub-gap density of states

    NASA Astrophysics Data System (ADS)

    Kim, Taeho; Hur, Ji-Hyun; Jeon, Sanghun

    2016-05-01

    Understanding the charge trapping nature of nano-crystalline oxide semiconductor thin film transistors (TFTs) is one of the most important requirements for their successful application. In our investigation, we employed a fast-pulsed I-V technique for understanding the charge trapping phenomenon and for characterizing the intrinsic device performance of an amorphous/nano-crystalline indium-hafnium-zinc-oxide semiconductor TFT with varying density of states in the bulk. Because of the negligible transient charging effect with a very short pulse, the source-to-drain current obtained with the fast-pulsed I-V measurement was higher than that measured by the direct-current characterization method. This is because the fast-pulsed I-V technique provides a charge-trap free environment, suggesting that it is a representative device characterization methodology of TFTs. In addition, a pulsed source-to-drain current versus time plot was used to quantify the dynamic trapping behavior. We found that the charge trapping phenomenon in amorphous/nano-crystalline indium-hafnium-zinc-oxide TFTs is attributable to the charging/discharging of sub-gap density of states in the bulk and is dictated by multiple trap-to-trap processes.

  2. Pulse I-V characterization of a nano-crystalline oxide device with sub-gap density of states.

    PubMed

    Kim, Taeho; Hur, Ji-Hyun; Jeon, Sanghun

    2016-05-27

    Understanding the charge trapping nature of nano-crystalline oxide semiconductor thin film transistors (TFTs) is one of the most important requirements for their successful application. In our investigation, we employed a fast-pulsed I-V technique for understanding the charge trapping phenomenon and for characterizing the intrinsic device performance of an amorphous/nano-crystalline indium-hafnium-zinc-oxide semiconductor TFT with varying density of states in the bulk. Because of the negligible transient charging effect with a very short pulse, the source-to-drain current obtained with the fast-pulsed I-V measurement was higher than that measured by the direct-current characterization method. This is because the fast-pulsed I-V technique provides a charge-trap free environment, suggesting that it is a representative device characterization methodology of TFTs. In addition, a pulsed source-to-drain current versus time plot was used to quantify the dynamic trapping behavior. We found that the charge trapping phenomenon in amorphous/nano-crystalline indium-hafnium-zinc-oxide TFTs is attributable to the charging/discharging of sub-gap density of states in the bulk and is dictated by multiple trap-to-trap processes.

  3. Synthesis, characterization and oxidation of metallic cobalt (Co) thin film into semiconducting cobalt oxide (Co3O4)thin film using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Rahman Ansari, Akhalakur; Hussain, Shahir; Imran, Mohd; Abdel-wahab, M. Sh; Alshahrie, Ahmed

    2018-06-01

    The pure cobalt thin film was deposited on the glass substrate by using DC magnetron sputtering and then exposed to microwave assist oxygen plasma generated in microwave plasma CVD. The oxidation process of Co thin film into Co3O4 thin films with different microwave power and temperature were studied. The influences of microwave power, temperature and irradiation time were investigated on the morphology and particle size of oxide thin films. The crystal structure, chemical conformation, morphologies and optical properties of oxidized Co thin films (Co3O4) were studied by using x-ray diffraction (XRD), Field emission scanning electron microscopy (FESEM), Raman Spectroscopy and UV–vis Spectroscopy. The data of these films showed complete oxidation pure metallic cobalt (Co) into cobalt oxide (Co3O4). The optical properties were studied for calculating the direct band gaps which ranges from 1.35 to 1.8 eV.

  4. The origin of 2.7 eV luminescence and 5.2 eV excitation band in hafnium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perevalov, T. V., E-mail: timson@isp.nsc.ru; Novosibirsk State University, 2 Pirogova St., 630090 Novosibirsk; Aliev, V. Sh.

    2014-02-17

    The origin of a blue luminescence band at 2.7 eV and a luminescence excitation band at 5.2 eV of hafnia has been studied in stoichiometric and non-stoichiometric hafnium oxide films. Experimental and calculated results from the first principles valence band spectra showed that the stoichiometry violation leads to the formation of the peak density of states in the band gap caused by oxygen vacancies. Cathodoluminescence in the non-stoichiometric film exhibits a band at 2.65 eV that is excited at the energy of 5.2 eV. The optical absorption spectrum calculated for the cubic phase of HfO{sub 2} with oxygen vacancies showsmore » a peak at 5.3 eV. Thus, it could be concluded that the blue luminescence band at 2.7 eV and HfO{sub x} excitation peak at 5.2 eV are due to oxygen vacancies. The thermal trap energy in hafnia was estimated.« less

  5. Pulsed photonic fabrication of nanostructured metal oxide thin films

    NASA Astrophysics Data System (ADS)

    Bourgeois, Briley B.; Luo, Sijun; Riggs, Brian C.; Adireddy, Shiva; Chrisey, Douglas B.

    2017-09-01

    Nanostructured metal oxide thin films with a large specific surface area are preferable for practical device applications in energy conversion and storage. Herein, we report instantaneous (milliseconds) photonic synthesis of three-dimensional (3-D) nanostructured metal oxide thin films through the pulsed photoinitiated pyrolysis of organometallic precursor films made by chemical solution deposition. High wall-plug efficiency-pulsed photonic irradiation (xenon flash lamp, pulse width of 1.93 ms, fluence of 7.7 J/cm2 and frequency of 1.2 Hz) is used for scalable photonic processing. The photothermal effect of subsequent pulses rapidly improves the crystalline quality of nanocrystalline metal oxide thin films in minutes. The following paper highlights pulsed photonic fabrication of 3-D nanostructured TiO2, Co3O4, and Fe2O3 thin films, exemplifying a promising new method for the low-cost and high-throughput manufacturing of nanostructured metal oxide thin films for energy applications.

  6. A simple spectrophotometric method for determination of zirconium or hafnium in selected molybdenum-base alloys

    NASA Technical Reports Server (NTRS)

    Dupraw, W. A.

    1972-01-01

    A simple analytical procedure is described for accurately and precisely determining the zirconium or hafnium content of molybdenum-base alloys. The procedure is based on the reaction of the reagent Arsenazo III with zirconium or hafnium in strong hydrochloric acid solution. The colored complexes of zirconium or hafnium are formed in the presence of molybdenum. Titanium or rhenium in the alloy have no adverse effect on the zirconium or hafnium complex at the following levels in the selected aliquot: Mo, 10 mg; Re, 10 mg; Ti, 1 mg. The spectrophotometric measurement of the zirconium or hafnium complex is accomplished without prior separation with a relative standard deviation of 1.3 to 2.7 percent.

  7. Hafnium(IV) chloride complexes with chelating β-ketiminate ligands: Synthesis, spectroscopic characterization and volatility study

    NASA Astrophysics Data System (ADS)

    Patil, Siddappa A.; Medina, Phillip A.; Antic, Aleks; Ziller, Joseph W.; Vohs, Jason K.; Fahlman, Bradley D.

    2015-09-01

    The synthesis and characterization of four new β-ketiminate hafnium(IV) chloride complexes dichloro-bis[4-(phenylamido)pent-3-en-2-one]-hafnium (4a), dichloro-bis[4-(4-methylphenylamido)pent-3-en-2-one]-hafnium (4b), dichloro-bis[4-(4-methoxyphenylamido)pent-3-en-2-one]-hafnium (4c), and dichloro-bis[4-(4-chlorophenylamido)pent-3-en-2-one]-hafnium (4d) are reported. All the complexes (4a-d) were characterized by spectroscopic methods (1H NMR, 13C NMR, IR), and elemental analysis while the compound 4c was further examined by single-crystal X-ray diffraction, revealing that the complex is monomer with the hafnium center in octahedral coordination environment and oxygens of the chelating N-O ligands are trans to each other and the chloride ligands are in a cis arrangement. Volatile trends are established for four new β-ketiminate hafnium(IV) chloride complexes (4a-d). Sublimation enthalpies (ΔHsub) were calculated from thermogravimetric analysis (TGA) data, which show that, the dependence of ΔHsub on the molecular weight (4a-c) and inductive effects from chlorine (4d).

  8. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-06-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ~ 4.1 Å), and low electrical resistivity (4.2 × 10-4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained "on/off" current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 × 107, 0.43 V/decade, 0.7 V, and 2.1 cm2/V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs.

  9. Processing and characterization of boron carbide-hafnium diboride ceramics

    NASA Astrophysics Data System (ADS)

    Brown-Shaklee, Harlan James

    Hafnium diboride based ceramics are promising candidate materials for advanced aerospace and nuclear reactor components. The effectiveness of boron carbide and carbon as HfB2 sintering additives was systematically evaluated. In the first stage of the research, boron carbide and carbon additives were found to improve the densification behavior of milled HfB2 powder in part by removing oxides at the HfB2 surface during processing. Boron carbide additives reduced the hot pressing temperature of HfB2 by 150°C compared to carbon, which reduced the hot pressing temperature by ˜50°C. Reduction of oxide impurities alone could not explain the difference in sintering enhancement, however, and other mechanisms of enhancement were evaluated. Boron carbides throughout the homogeneity range were characterized to understand other mechanisms of sintering enhancement in HfB2. Heavily faulted carbon rich and boron rich boron carbides were synthesized for addition to HfB2. The greatest enhancement to densification was observed in samples containing boron- and carbon-rich compositions whereas B6.5 C provided the least enhancement to densification. It is proposed that carbon rich and boron rich boron carbides create boron and hafnium point defects in HfB2, respectively, which facilitate densification. Evaluation of the thermal conductivity (kth) between room temperature and 2000°C suggested that the stoichiometry of the boron carbide additives did not significantly affect kth of HfB2-BxC composites. The improved sinterability and the high kth (˜110 W/m-K at 300K and ˜90 W/m-K at 1000°C ) of HfB2-BxC ceramics make them excellent candidates for isotopically enriched reactor control materials.

  10. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  11. Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.

    PubMed

    Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn

    2018-07-01

    Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.

  12. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  13. Method of producing solution-derived metal oxide thin films

    DOEpatents

    Boyle, Timothy J.; Ingersoll, David

    2000-01-01

    A method of preparing metal oxide thin films by a solution method. A .beta.-metal .beta.-diketonate or carboxylate compound, where the metal is selected from groups 8, 9, 10, 11, and 12 of the Periodic Table, is solubilized in a strong Lewis base to form a homogeneous solution. This precursor solution forms within minutes and can be deposited on a substrate in a single layer or a multiple layers to form a metal oxide thin film. The substrate with the deposited thin film is heated to change the film from an amorphous phase to a ceramic metal oxide and cooled.

  14. Simultaneous determination of tantalum and hafnium in silicates by neutron activation analysis

    USGS Publications Warehouse

    Greenland, L.P.

    1968-01-01

    A neutron activation procedure suitable for the routine determination of tantalum and hafnium in silicates is described. The irradiated sample is fused with sodium peroxide and leached, and the insoluble hydroxides are dissolved in dilute hydrofluoric acid-hydrochloric acid. After LaF3 and AgCl scavenges, tantalum and hafnium are separated by anion exchange. Tantalum is obtained radiochemically pure; 233Pa and 95Zr contaminants in the hafnium fraction are resolved by ??-ray spectrometry. The chemical yield of the procedure is detemined after counting by re-irradiation. Values for the 8 U.S. Geological Survey standard rocks are reported. ?? 1968.

  15. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  16. The Hot-Pressing of Hafnium Carbide (Melting Point, 7030 F)

    NASA Technical Reports Server (NTRS)

    Sanders, William A.; Grisaffe, Salvatore J.

    1960-01-01

    An investigation was undertaken to determine the effects of the hot-pressing variables (temperature, pressure, and time) on the density and grain size of hafnium carbide disks. The purpose was to provide information necessary for the production of high-density test shapes for the determination of physical and mechanical properties. Hot-pressing of -325 mesh hafnium carbide powder was accomplished with a hydraulic press and an inductively heated graphite die assembly. The ranges investigated for each variable were as follows: temperature, 3500 to 4870 F; pressure, 1000 to 6030 pounds per square inch; and time, 5 to 60 minutes. Hafnium carbide bodies of approximately 98 percent theoretical density can be produced under the following minimal conditions: 4230 F, 3500 pounds per square inch, and 15 minutes. Further increases in temperature and time resulted only in greater grain size.

  17. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodenbücher, C.; Hildebrandt, E.; Sharath, S. U.

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films providesmore » a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.« less

  18. Zirconium and hafnium in the southeastern Atlantic States

    USGS Publications Warehouse

    Mertie, J.B.

    1958-01-01

    The principal source of zirconium and hafnium is zircon, though a minor source is baddeleyite, mined only in Brazil. Zircon is an accessory mineral in igneous, metamorphic, and sedimentary rocks, but rarely occurs in hardrock in minable quantities. The principal sources of zircon are therefore alluvial deposits, which are mined in many countries of five continents. The principal commercial deposits in the United States are in Florida, though others exist elsewhere in the southeastern Coastal Plain. The evidence indicates that conditions for the accumulation of workable deposits of heavy minerals were more favorable during the interglacial stages of the Pleistocene epoch than during Recent time. Therefore detrital ores of large volume and high tenor are more likely to be found in the terrace deposits than along the present beaches. Other concentrations of heavy minerals, however, are possible at favored sites close to the Fall Line where the Tuscaloosa formation rests upon the crystalline rocks of the Piedmont province. A score of heavy and semiheavy minerals occur in the detrital deposits of Florida, but the principal salable minerals are ilmenite, leucoxene, rutile, and zircon, though monazite and staurolite are saved at some mining plants. Commercial deposits of heavy minerals are generally required to have a tenor of 4 percent, though ores with a lower tenor can be mined at a profit if the content of monazite is notably high. The percentages of zircon in the concentrates ranges from 10 to 16 percent, and in eastern Florida from 13 to 15 percent. Thus the tenor in zircon of the ore-bearing sands ranges from 0.4 to 0.6 percent. The content of hafnium in zircon is immaterial for many uses, but for some purposes very high or very low tenors in hafnium are required. Alluvial zircon cannot be separated into such varieties, which, if needed, must be obtained from sources in bedrock. It thus becomes necessary to determine the Hf : Zr ratios in zircon from many kinds of

  19. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  20. Electric field cycling behavior of ferroelectric hafnium oxide.

    PubMed

    Schenk, Tony; Schroeder, Uwe; Pešić, Milan; Popovici, Mihaela; Pershin, Yuriy V; Mikolajick, Thomas

    2014-11-26

    HfO2 based ferroelectrics are lead-free, simple binary oxides with nonperovskite structure and low permittivity. They just recently started attracting attention of theoretical groups in the fields of ferroelectric memories and electrostatic supercapacitors. A modified approach of harmonic analysis is introduced for temperature-dependent studies of the field cycling behavior and the underlying defect mechanisms. Activation energies for wake-up and fatigue are extracted. Notably, all values are about 100 meV, which is 1 order of magnitude lower than for conventional ferroelectrics like lead zirconate titanate (PZT). This difference is mainly atttributed to the one to two orders of magnitude higher electric fields used for cycling and to the different surface to volume ratios between the 10 nm thin films in this study and the bulk samples of former measurements or simulations. Moreover, a new, analog-like split-up effect of switching peaks by field cycling is discovered and is explained by a network model based on memcapacitive behavior as a result of defect redistribution.

  1. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  2. Separation of Zirconium and Hafnium: A Review

    NASA Astrophysics Data System (ADS)

    Xu, L.; Xiao, Y.; van Sandwijk, A.; Xu, Q.; Yang, Y.

    Zirconium is an ideal material for nuclear reactors due to its low absorption cross-section for thermal neutrons, whereas the typically contained hafnium with strong neutron-absorption is very harmful for zirconium. This paper provides an overview of the processes for separating hafnium from zirconium. The separation processes are roughly classified into hydro- and pyrometallurgical routes. The current dominant zirconium production route involves pyrometallurgical ore cracking, multi-step hydrometallurgical liquid-liquid extraction for hafnium removal and the reduction of zirconium tetrachloride to the pure metal by the Kroll process. The lengthy hydrometallurgical Zr-Hf separation operations leads to high production cost, intensive labour and heavy environmental burden. Using a compact pyrometallurgical separation method can simplify the whole production flowsheet with a higher process efficiency. The known separation methods are discussed based on the following reaction features: redox characteristics, volatility, electrochemical properties and molten salt extraction. The commercially operating extractive distillation process is a significant advance in Zr-Hf separation technology but it suffers from high process maintenance cost. The recently developed new process based on molten salt-metal equilibrium for Zr-Hf separation shows a great potential for industrial application, which is compact for nuclear grade zirconium production starting from crude ore. In the present paper, the available separation technologies are compared. The advantages and disadvantages as well as future directions of research and development for nuclear grade zirconium production are discussed.

  3. High P-T phase transitions and P-V-T equation of state of hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hrubiak, Rostislav; Drozd, Vadym; Karbasi, Ali

    2016-07-29

    We measured the volume of hafnium at several pressures up to 67 GPa and at temperatures between 300 to 780 K using a resistively heated diamond anvil cell with synchrotron x-ray diffraction at the Advanced Photon Source. The measured data allows us to determine the P-V-T equation of state of hafnium. The previously described [Xia et al., Phys. Rev. B 42, 6736-6738 (1990)] phase transition from hcp ({alpha}) to simple hexagonal ({omega}) phase at 38 GPa at room temperature was not observed even up to 51 GPa. The {omega} phase was only observed at elevated temperatures. Our measurements have alsomore » improved the experimental constraint on the high P-T phase boundary between the {omega} phase and high pressure bcc ({beta}) phase of hafnium. Isothermal room temperature bulk modulus and its pressure derivative for the {alpha}-phase of hafnium were measured to be B{sub 0} = 112.9{+-}0.5 GPa and B{sub 0}'=3.29{+-}0.05, respectively. P-V-T data for the {alpha}-phase of hafnium was used to obtain a fit to a thermodynamic P-V-T equation of state based on model by Brosh et al. [CALPHAD 31, 173-185 (2007)].« less

  4. Electrical characterization of doped strontium titanate thin films for semiconductor memories

    NASA Astrophysics Data System (ADS)

    Han, Jeong Hee

    2002-08-01

    Doped strontium titanate (ST) thin films were investigated for high-density memory applications. ST has become a promising candidate to replace conventional silicon oxide due to its high inherent dielectric constant, superior leakage characteristics, and good chemical stability. However, oxygen vacancies and the problems that arise as a result are one of the main drawbacks against this material. Resistance degradation is a serious reliability issue in perovskite titanate films and may be a result of oxygen vacancies. In this dissertation, an attempt to reduce the resistance degradation was made by doping the ST films with both niobium and lanthanum. Niobium is a B-site donor in the perovskite, whereas lanthanum is an A-site donor. Both have an extra valence charge than the atom which it replaces in the crystal structure. With a higher valence charge, the number of oxygen vacancies is hoped to be reduced and result in better electrical performance. Experimental results showed that the degradation rate is reduced by doping with either niobium or lanthanum. A bi-layer study was also performed to optimize the dielectric with the strengths of both doped and undoped strontium titanate and to distinguish the source of the oxygen vacancies. A study on the conduction mechanisms and dielectric dispersion was also performed. An additional study was made on the effect of iridium as a possible gate electrode for a MOS capacitor. Hafnium oxide was used as the high-permittivity oxide. The results observed showed that the capacitance was higher for iridium electrodes than those for platinum electrodes. However, both electrodes showed unacceptable frequency dispersion which may be caused by crude patterning techniques. A hysteresis review was also done for hafnium and zirconium oxides. It was observed that the hysteresis measured in the high-permittivity oxides are dependent on the accumulation sweep voltage due to the trapping and de-trapping of charge at the dielectric

  5. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    DOEpatents

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  6. Thin-Film Solid Oxide Fuel Cells

    NASA Technical Reports Server (NTRS)

    Chen, Xin; Wu, Nai-Juan; Ignatiev, Alex

    2009-01-01

    The development of thin-film solid oxide fuel cells (TFSOFCs) and a method of fabricating them have progressed to the prototype stage. This can result in the reduction of mass, volume, and the cost of materials for a given power level.

  7. Consequence of oxidant concentration on XPS properties of chemically synthesized polythiophene thin films

    NASA Astrophysics Data System (ADS)

    Kamat, Sandip V.; Chhabra, Jasvinder; Patil, V. S.; Yadav, J. B.; Puri, R. K.; Puri, Vijaya

    2018-05-01

    The polythiophene thin films were prepared by a wellknown chemical bath deposition technique. The deposited thin films were characterized for structural morphological properties and the adhesion of these thin films were measured by direct pull off (DPO) method, the effect of oxidant concentration on these thin films also studied. The FTIR spectra of chemically deposited polythiophene thin films shows the absorption peak at 836 cm-1 which represents c-s stretching vibrations, shifts to 869 cm-1 as the oxidant concentration increases. The band at 666 cm-1 representing c-s-c ring deformation becomes sharper and appears with a shoulder peak due to increase in oxidant concentration.

  8. Effect of thin oxide layers incorporated in spin valve structures

    NASA Astrophysics Data System (ADS)

    Gillies, M. F.; Kuiper, A. E. T.; Leibbrandt, G. W. R.

    2001-06-01

    The enhancement of the magnetoresistance effect, induced by incorporating nano-oxide layers (NOLs) in a bottom-type spin valve, was studied for various preparation conditions. The effect of a NOL in the Co90Fe10 pinned layer was found to depend critically on the oxygen pressure applied to form the thin oxide film. Pressures over 10-3 Torr O2 yield oxides thicker than about 0.7 nm, which apparently deteriorate the biasing field which exists over the oxide. The magnetoresistance values can further be raised by forming a specular reflecting oxide on top of the sense layer. Promising results were obtained with an Al2O3 capping layer formed in a solid-state oxidation reaction that occurs spontaneously when a thin Al layer is deposited on the oxidized surface of the Co90Fe10 sense layer.

  9. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties.

    PubMed

    Dey, Arjun; Nayak, Manish Kumar; Esther, A Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A K; Bera, Parthasarathi; Barshilia, Harish C; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D Raghavendra; Sridhara, N; Sharma, Anand Kumar

    2016-11-17

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21-475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V 2 O 5 , V 2 O 3 and VO 2 along with MoO 3 . Reversible or smart transition was found to occur just above the room temperature i.e., at ~45-50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10 -5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films.

  10. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties

    NASA Astrophysics Data System (ADS)

    Dey, Arjun; Nayak, Manish Kumar; Esther, A. Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A. K.; Bera, Parthasarathi; Barshilia, Harish C.; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D. Raghavendra; Sridhara, N.; Sharma, Anand Kumar

    2016-11-01

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21-475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V2O5, V2O3 and VO2 along with MoO3. Reversible or smart transition was found to occur just above the room temperature i.e., at ~45-50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10-5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films.

  11. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties

    PubMed Central

    Dey, Arjun; Nayak, Manish Kumar; Esther, A. Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A. K.; Bera, Parthasarathi; Barshilia, Harish C.; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D. Raghavendra; Sridhara, N.; Sharma, Anand Kumar

    2016-01-01

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21–475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V2O5, V2O3 and VO2 along with MoO3. Reversible or smart transition was found to occur just above the room temperature i.e., at ~45–50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10−5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films. PMID:27853234

  12. Studies of Ultra High Temperature Ceramic Composite Components: Synthesis and Characterization of HfOxCy and Si Oxidation in Atomic Oxygen Containing Environments

    DTIC Science & Technology

    2008-08-01

    Andrea Hafeman and Dr Scott Guelcher for the use of the SDT analysis software. I would like to thank my committee members: Dr Clare McCabe, Dr Sharon...76 4.2: Thermogravimetric curves obtained during oxidation of hafnium...77 4.3: The thermogravimetric curves for hafnia and hafnium oxycarbide (28 mTorr) oxidized at 600°C

  13. Tensile and stress-rupture behavior of hafnium carbide dispersed molybdenum and tungsten base alloy wires

    NASA Technical Reports Server (NTRS)

    Yun, Hee Mann; Titran, Robert H.

    1993-01-01

    The tensile strain rate sensitivity and the stress-rupture strength of Mo-base and W-base alloy wires, 380 microns in diameter, were determined over the temperature range from 1200 K to 1600 K. Three molybdenum alloy wires; Mo + 1.1w/o hafnium carbide (MoHfC), Mo + 25w/o W + 1.1w/o hafnium carbide (MoHfC+25W) and Mo + 45w/o W + 1.1w/o hafnium carbide (MoHfC+45W), and a W + 0.4w/o hafnium carbide (WHfC) tungsten alloy wire were evaluated. The tensile strength of all wires studied was found to have a positive strain rate sensitivity. The strain rate dependency increased with increasing temperature and is associated with grain broadening of the initial fibrous structures. The hafnium carbide dispersed W-base and Mo-base alloys have superior tensile and stress-rupture properties than those without HfC. On a density compensated basis the MoHfC wires exhibit superior tensile and stress-rupture strengths to the WHfC wires up to approximately 1400 K. Addition of tungsten in the Mo-alloy wires was found to increase the long-term stress rupture strength at temperatures above 1400 K. Theoretical calculations indicate that the strength and ductility advantage of the HfC dispersed alloy wires is due to the resistance to recrystallization imparted by the dispersoid.

  14. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  15. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  16. Nickel aluminides and nickel-iron aluminides for use in oxidizing environments

    DOEpatents

    Liu, Chain T.

    1988-03-15

    Nickel aluminides and nickel-iron aluminides treated with hafnium or zirconium, boron and cerium to which have been added chromium to significantly improve high temperature ductility, creep resistance and oxidation properties in oxidizing environments.

  17. Instability analysis of charges trapped in the oxide of metal-ultra thin oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Aziz, A.; Kassmi, K.; Maimouni, R.; Olivié, F.; Sarrabayrouse, G.; Martinez, A.

    2005-09-01

    In this paper, we present the theoretical and experimental results of the influence of a charge trapped in ultra-thin oxide of metal/ultra-thin oxide/semiconductor structures (MOS) on the I(Vg) current-voltage characteristics when the conduction is of the Fowler-Nordheim (FN) tunneling type. The charge, which is negative, is trapped near the cathode (metal/oxide interface) after constant current injection by the metal (Vg<0). Of particular interest is the influence on the Δ Vg(Vg) shift over the whole I(Vg) characteristic at high field (greater than the injection field (>12.5 MV/cm)). It is shown that the charge centroid varies linearly with respect to the voltage Vg. The behavior at low field (<12.5 MV/cm) is analyzed in référence A. Aziz, K. Kassmi, Ka. Kassmi, F. Olivié, Semicond. Sci. Technol. 19, 877 (2004) and considers that the trapped charge centroid is fixed. The results obtained make it possible to analyze the influence of the injected charge and the applied field on the centroid position of the trapped charge, and to highlight the charge instability in the ultra-thin oxide of MOS structures.

  18. Bulk and Thin Film Synthesis of Compositionally Variant Entropy-stabilized Oxides.

    PubMed

    Sivakumar, Sai; Zwier, Elizabeth; Meisenheimer, Peter Benjamin; Heron, John T

    2018-05-29

    Here, we present a procedure for the synthesis of bulk and thin film multicomponent (Mg0.25(1-x)CoxNi0.25(1-x)Cu0.25(1-x)Zn0.25(1-x))O (Co variant) and (Mg0.25(1-x)Co0.25(1-x)Ni0.25(1-x)CuxZn0.25(1-x))O (Cu variant) entropy-stabilized oxides. Phase pure and chemically homogeneous (Mg0.25(1-x)CoxNi0.25(1-x)Cu0.25(1-x)Zn0.25(1-x))O (x = 0.20, 0.27, 0.33) and (Mg0.25(1-x)Co0.25(1-x)Ni0.25(1-x)CuxZn0.25(1-x))O (x = 0.11, 0.27) ceramic pellets are synthesized and used in the deposition of ultra-high quality, phase pure, single crystalline thin films of the target stoichiometry. A detailed methodology for the deposition of smooth, chemically homogeneous, entropy-stabilized oxide thin films by pulsed laser deposition on (001)-oriented MgO substrates is described. The phase and crystallinity of bulk and thin film materials are confirmed using X-ray diffraction. Composition and chemical homogeneity are confirmed by X-ray photoelectron spectroscopy and energy dispersive X-ray spectroscopy. The surface topography of thin films is measured with scanning probe microscopy. The synthesis of high quality, single crystalline, entropy-stabilized oxide thin films enables the study of interface, size, strain, and disorder effects on the properties in this new class of highly disordered oxide materials.

  19. Stabilized tin-oxide-based oxidation/reduction catalysts

    NASA Technical Reports Server (NTRS)

    Watkins, Anthony Neal (Inventor); Oglesby, Donald M. (Inventor); Gulati, Suresh T. (Inventor); Summers, Jerry C. (Inventor); Schryer, David R. (Inventor); Davis, Patricia P. (Inventor); Leighty, Bradley D. (Inventor); Jordan, Jeffrey D. (Inventor); Schryer, Jacqueline L. (Inventor)

    2008-01-01

    The invention described herein involves a novel approach to the production of oxidation/reduction catalytic systems. The present invention serves to stabilize the tin oxide reducible metal-oxide coating by co-incorporating at least another metal-oxide species, such as zirconium. In one embodiment, a third metal-oxide species is incorporated, selected from the group consisting of cerium, lanthanum, hafnium, and ruthenium. The incorporation of the additional metal oxide components serves to stabilize the active tin-oxide layer in the catalytic process during high-temperature operation in a reducing environment (e.g., automobile exhaust). Moreover, the additional metal oxides are active components due to their oxygen-retention capabilities. Together, these features provide a mechanism to extend the range of operation of the tin-oxide-based catalyst system for automotive applications, while maintaining the existing advantages.

  20. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  1. SEPARATING HAFNIUM FROM ZIRCONIUM

    DOEpatents

    Lister, B.A.J.; Duncan, J.F.; Hutcheon, J.M.

    1956-08-21

    Substantially complete separation of zirconium from hafnium may be obtained by elution of ion exchange material, on which compounds of the elements are adsorbed, with an approximately normal solution of sulfuric acid. Preferably the acid concentration is between 0.8 N amd 1.2 N, amd should not exceed 1.5 N;. Increasing the concentration of sulfate ion in the eluting solution by addition of a soluble sulfate, such as sodium sulfate, has been found to be advantageous. The preferred ion exchange materials are sulfonated polystyrene resins such as Dowex 50,'' and are preferably arranged in a column through which the solutions are passed.

  2. Amorphous nickel incorporated tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jianwen; Ren, Jinhua; Lin, Dong; Han, Yanbing; Qu, Mingyue; Pi, Shubin; Fu, Ruofan; Zhang, Qun

    2017-09-01

    Nickel as a dopant has been proposed to suppress excess carrier concentration in n-type tin oxide based thin film transistors (TFTs). The influences of Ni content on nickel doped tin oxide (TNO) thin films and their corresponding TFTs were investigated with experimental results showing that the TNO thin films are amorphous. Through the comparison of the transfer characteristic curves of the TNO TFTs with different Ni contents, it was observed that Ni doping is useful to improve the performance of SnO2-based TFTs by suppressing the off-state current and shifting the threshold voltage to 0 V. The amorphous TNO TFT with 3.3 at.% Ni content shows optimum performance, with field effect mobility of 8.4 cm2 V-1 s-1, saturation mobility of 6.8 cm2 V-1 s-1, subthreshold swing value of 0.8 V/decade, and an on-off current ratio of 2.1  ×  107. Nevertheless, the bias stress stability of SnO2-based TFTs deteriorate.

  3. Effect of cathode cooling efficiency and oxygen plasma gas pressure on the hafnium cathode wall temperature

    NASA Astrophysics Data System (ADS)

    Ashtekar, Koustubh; Diehl, Gregory; Hamer, John

    2012-10-01

    The hafnium cathode is widely used in DC plasma arc cutting (PAC) under an oxygen gas environment to cut iron and iron alloys. The hafnium erosion is always a concern which is controlled by the surface temperature. In this study, the effect of cathode cooling efficiency and oxygen gas pressure on the hafnium surface temperature are quantified. The two layer cathode sheath model is applied on the refractive hafnium surface while oxygen species (O2, O, O+, O++, e-) are considered within the thermal dis-equilibrium regime. The system of non-linear equations comprising of current density balance, heat flux balance at both the cathode surface and the sheath-ionization layer is coupled with the plasma gas composition solver. Using cooling heat flux, gas pressure and current density as inputs; the cathode wall temperature, electron temperature, and sheath voltage drop are calculated. Additionally, contribution of emitted electron current (Je) and ions current (Ji) to the total current flux are estimated. Higher gas pressure usually reduces Ji and increases Je that reduces the surface temperature by thermionic cooling.

  4. Effect of growth rate on crystallization of HfO{sub 2} thin films deposited by RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhanunjaya, M.; Manikanthababu, N.; Pathak, A. P.

    2016-05-23

    Hafnium oxide (HfO{sub 2}) is the potentially useful dielectric material in both; electronics to replace the conventional SiO{sub 2} as gate dielectric and in Optics as anti-reflection coating material. In this present work we have synthesized polycrystalline HfO{sub 2} thin films by RF magnetron sputtering deposition technique with varying target to substrate distance. The deposited films were characterized by X-ray Diffraction, Rutherford Backscattering Spectrometry (RBS) and transmission and Reflection (T&R) measurements to study the growth behavior, microstructure and optical properties. XRD measurement shows that the samples having mixed phase of monoclinic, cubic and tetragonal crystal structure. RBS measurements suggest themore » formation of Inter Layer (IL) in between Substrate and film.« less

  5. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  6. Analysis of Zinc Oxide Thin Films Synthesized by Sol-Gel via Spin Coating

    NASA Astrophysics Data System (ADS)

    Wolgamott, Jon Carl

    Transparent conductive oxides are gaining an increasingly important role in optoelectronic devices such as solar cells. Doped zinc oxide is a candidate as a low cost and nontoxic alternative to tin doped indium oxide. Lab results have shown that both n-type and p-type zinc oxide can be created on a small scale. This can allow zinc oxide to be used as either an electrode as well as a buffer layer to increase efficiency and protect the active layer in solar cells. Sol-gel synthesis is emerging as a low temperature, low cost, and resource efficient alternative to producing transparent conducting oxides such as zinc oxide. For sol-gel derived zinc oxide thin films to reach their potential, research in this topic must continue to optimize the known processing parameters and expand to new parameters to tighten control and create novel processing techniques that improve performance. The processing parameters of drying and annealing temperatures as well as cooling rate were analyzed to see their effect on the structure of the prepared zinc oxide thin films. There were also preliminary tests done to modify the sol-gel process to include silver as a dopant to produce a p-type thin film. The results from this work show that the pre- and post- heating temperatures as well as the cooling rate all play their own unique role in the crystallization of the film. Results from silver doping show that more work needs to be done to create a sol-gel derived p-type zinc oxide thin film.

  7. Effects of channel thickness on oxide thin film transistor with double-stacked channel layer

    NASA Astrophysics Data System (ADS)

    Lee, Kimoon; Kim, Yong-Hoon; Yoon, Sung-Min; Kim, Jiwan; Oh, Min Suk

    2017-11-01

    To improve the field effect mobility and control the threshold voltage ( V th ) of oxide thin film transistors (TFTs), we fabricated the oxide TFTs with double-stacked channel layers which consist of thick Zn-Sn-O (ZTO) and very thin In-Zn-O (IZO) layers. We investigated the effects of the thickness of thin conductive layer and the conductivity of thick layer on oxide TFTs with doublestacked channel layer. When we changed the thickness of thin conductive IZO channel layer, the resistivity values were changed. This resistivity of thin channel layer affected on the saturation field effect mobility and the off current of TFTs. In case of the thick ZTO channel layer which was deposited by sputtering in Ar: O2 = 10: 1, the device showed better performances than that which was deposited in Ar: O2 = 1: 1. Our TFTs showed high mobility ( μ FE ) of 40.7 cm2/Vs and V th of 4.3 V. We assumed that high mobility and the controlled V th were caused by thin conductive IZO layer and thick stable ZTO layer. Therefore, this double-stacked channel structure can be very promising way to improve the electrical characteristics of various oxide thin film transistors.

  8. Unusual Ferroelectricity in Two-Dimensional Perovskite Oxide Thin Films.

    PubMed

    Lu, Jinlian; Luo, Wei; Feng, Junsheng; Xiang, Hongjun

    2018-01-10

    Two-dimensional (2D) ferroelectricity have attracted much attention due to their applications in novel miniaturized devices such as nonvolatile memories, field effect transistors, and sensors. Since most of the commercial ferroelectric (FE) devices are based on ABO 3 perovskite oxides, it is important to investigate the properties of 2D ferroelectricity in perovskite oxide thin films. Here, based on density functional theory (DFT) calculations, we find that there exist three kinds of in-plane FE states that originate from different microscopic mechanisms: (i) a proper FE state with the polarization along [110] due to the second-order Jahn-Teller effect related to the B ion with empty d-orbitals; (ii) a robust FE state with the polarization along [100] induced by the surface effect; (iii) a hybrid improper FE state with the polarization along [110] that is induced by the trilinear coupling between two rotational modes and the A-site displacement. Interestingly, the ferroelectricity in the latter two cases becomes stronger along with decreasing the thin film thickness, in contrast to the usual behavior. Moreover, the latter two FE states are compatible with magnetism since their stability does not depend on the occupation of the d-orbitals of the B-ion. These two novel 2D FE mechanisms provide new avenues to design 2D multiferroics, as we demonstrated in SrVO and CaFeO thin film cases. Our work not only reveals new physical mechanisms of 2D ferroelectricity in perovskite oxide thin films but also provides a new route to design the high-performance 2D FE and multiferroics.

  9. Review of anhydrous zirconium-hafnium separation techniques. Information circular/1984

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skaggs, R.L.; Rogers, D.T.; Hunter, D.B.

    1983-12-01

    Sixteen nonaqueous techniques conceived to replace the current aqueous scheme for separating hafnium and zirconium tetrachlorides were reviewed and evaluated by the Bureau of Mines. The methods are divided into two classes: separation by fractional volatilization of the tetrachlorides, which takes advantage of the higher volatility of hafnium tetrachloride; and separation by chemical techniques, based on differences in chemical behavior of the two tetrachlorides. The criteria used to evaluate separation methods were temperature, pressure, separation factor per equilibrium stage, complexity, compatibility with existing technology, and potential for continuous operation. Three processes were selected as being most promising: (1) high-pressure distillation,more » (2) extractive distillation from a molten salt, and (3) preferential reduction of gaseous ZrCl4. Any of the proposed nonaqueous Hf-Zr separation schemes must be supplemented with additional purification to remove trace impurities.« less

  10. Properties of anodic oxides grown on a hafnium–tantalum–titanium thin film library

    PubMed Central

    Mardare, Andrei Ionut; Ludwig, Alfred; Savan, Alan; Hassel, Achim Walter

    2014-01-01

    A ternary thin film combinatorial materials library of the valve metal system Hf–Ta–Ti obtained by co-sputtering was studied. The microstructural and crystallographic analysis of the obtained compositions revealed a crystalline and textured surface, with the exception of compositions with Ta concentration above 48 at.% which are amorphous and show a flat surface. Electrochemical anodization of the composition spread thin films was used for analysing the growth of the mixed surface oxides. Oxide formation factors, obtained from the potentiodynamic anodization curves, as well as the dielectric constants and electrical resistances, obtained from electrochemical impedance spectroscopy, were mapped along two dimensions of the library using a scanning droplet cell microscope. The semiconducting properties of the anodic oxides were mapped using Mott–Schottky analysis. The degree of oxide mixing was analysed qualitatively using x-ray photoelectron spectroscopy depth profiling. A quantitative analysis of the surface oxides was performed and correlated to the as-deposited metal thin film compositions. In the concurrent transport of the three metal cations during oxide growth a clear speed order of Ti > Hf > Ta was proven. PMID:27877648

  11. Spectroscopic ellipsometry investigation of the optical properties of graphene oxide dip-coated on magnetron sputtered gold thin films

    NASA Astrophysics Data System (ADS)

    Politano, Grazia Giuseppina; Vena, Carlo; Desiderio, Giovanni; Versace, Carlo

    2018-02-01

    Despite intensive investigations on graphene oxide-gold nanocomposites, the interaction of graphene oxide sheets with magnetron sputtered gold thin films has not been studied yet. The optical constants of graphene oxide thin films dip-coated on magnetron sputtered gold thin films were determined by spectroscopic ellipsometry in the [300-1000] wavelength range. Moreover, the morphologic properties of the samples were investigated by SEM analysis. Graphene oxide absorbs mainly in the ultraviolet region, but when it is dip-coated on magnetron sputtered gold thin films, its optical constants show dramatic changes, becoming absorbing in the visible region, with a peak of the extinction coefficient at 3.1 eV. Using magnetron sputtered gold thin films as a substrate for graphene oxide thin films could therefore be the key to enhance graphene oxide optical sheets' properties for several technological applications, preserving their oxygen content and avoiding the reduction process.

  12. Thermomechanical and Thermochemical Behavior of a Hafnium-20 Percent Tantalum Alloy. Ph.D. Thesis - North Carolina State Univ., Raleigh

    NASA Technical Reports Server (NTRS)

    Howell, J. P.

    1971-01-01

    An investigation was conducted to determine the thermomechanical and thermochemical behavior of a high temperature, oxidation resistant, hafnium-20 percent tantalum alloy. The elastic and shear moduli of this alloy were determined in air up to 1000 C and in vacuum up to 2000 C using a mechanical resonance technique. The internal friction of the alloy was measured up to temperatures greater than 1400 C. Room temperature stress-strain behavior of the oxidized and unoxidized alloy was established. The effect of annealing on the elastic and shear moduli of the extruded rod material was investigated. The martensitic-type phase transformation occurring in the alloy was studied using hot stage metallography and electron microscopy. Static oxidation tests were conducted on the alloy at temperatures from 1000 C to 1700 C with weight gain measurements made as a function of time and temperatures. Surface morphology studies were conducted on the oxide coatings formed at the different temperatures using scanning electron microscopy and X-ray diffraction techniques.

  13. Fully transparent thin film transistors based on zinc oxide channel layer and molybdenum doped indium oxide electrodes

    NASA Astrophysics Data System (ADS)

    MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime

    2016-03-01

    In this work we report the fabrication of thin film transistors (TFT) with zinc oxide channel and molybdenum doped indium oxide (IMO) electrodes, achieved by room temperature sputtering. A set of devices was fabricated, with varying channel width and length from 5μm to 300μm. Output and transfer characteristics were then extracted to study the performance of thin film transistors, namely threshold voltage and saturation current, enabling to determine optimal fabrication process parameters. Optical transmission in the UV-VIS-IR are also reported.

  14. Subtractive Plasma-Assisted-Etch Process for Developing High Performance Nanocrystalline Zinc-Oxide Thin-Film-Transistors

    DTIC Science & Technology

    2015-03-26

    THIN - FILM - TRANSISTORS THESIS Thomas M. Donigan, First Lieutenant, USAF AFIT-ENG-MS-15-M-027 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR...DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS THESIS Presented to the Faculty Department of Electrical and...15-M-027 SUBTRACTIVE PLASMA-ASSISTED-ETCH PROCESS FOR DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS

  15. Nanoporous cerium oxide thin film for glucose biosensor.

    PubMed

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  16. Anisotropic thermal conductivity of thin polycrystalline oxide samples

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tiwari, A., E-mail: abhishektiwariiitr@gmail.com; Department of Mechanical and Aerospace Engineering, Monash University, Melbourne, VIC 3800; Boussois, K.

    2013-11-15

    This paper reports about the development of a modified laser-flash technique and relation to measure the in-plane thermal diffusivity of thin polycrystalline oxide samples. Thermal conductivity is then calculated with the product of diffusivity, specific heat and density. Design and operating features for evaluating in-plane thermal conductivities are described. The technique is advantageous as thin samples are not glued together to measure in-plane thermal conductivities like earlier methods reported in literature. The approach was employed to study anisotropic thermal conductivity in alumina sheet, textured kaolin ceramics and montmorillonite. Since it is rare to find in-plane thermal conductivity values for suchmore » anisotropic thin samples in literature, this technique offers a useful variant to existing techniques.« less

  17. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  18. Photoactive lead oxide thin films by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Bhagat, Dharini; Waldiya, Manmohansingh; Mukhopadhyay, Indrajit

    2018-05-01

    We report the synthesis of photoactive lead monoxide thin films on fluorine doped tin oxide substrate by cost effective spray pyrolysis technique using aqueous solution of lead acetate trihydrate. Influence of substrate temperature on the structural and optical properties of thin films was studied. Polymorph of lead monoxide, litharge (α-PbO), was obtained when the substrate temperature was kept constant at 360 °C. XRD analysis revealed that the deposits were tetragonal structured with preferred orientation along 002 plane. Band gap value was found to be 1.93ev from diffuse reflectance spectra.

  19. Mixed oxide solid solutions

    DOEpatents

    Magno, Scott; Wang, Ruiping; Derouane, Eric

    2003-01-01

    The present invention is a mixed oxide solid solution containing a tetravalent and a pentavalent cation that can be used as a support for a metal combustion catalyst. The invention is furthermore a combustion catalyst containing the mixed oxide solid solution and a method of making the mixed oxide solid solution. The tetravalent cation is zirconium(+4), hafnium(+4) or thorium(+4). In one embodiment, the pentavalent cation is tantalum(+5), niobium(+5) or bismuth(+5). Mixed oxide solid solutions of the present invention exhibit enhanced thermal stability, maintaining relatively high surface areas at high temperatures in the presence of water vapor.

  20. Characterization of sputtered iridium oxide thin films on planar and laser micro-structured platinum thin film surfaces for neural stimulation applications

    NASA Astrophysics Data System (ADS)

    Thanawala, Sachin

    Electrical stimulation of neurons provides promising results for treatment of a number of diseases and for restoration of lost function. Clinical examples include retinal stimulation for treatment of blindness and cochlear implants for deafness and deep brain stimulation for treatment of Parkinsons disease. A wide variety of materials have been tested for fabrication of electrodes for neural stimulation applications, some of which are platinum and its alloys, titanium nitride, and iridium oxide. In this study iridium oxide thin films were sputtered onto laser micro-structured platinum thin films by pulsed-DC reactive sputtering of iridium metal in oxygen-containing atmosphere, to obtain high charge capacity coatings for neural stimulation applications. The micro-structuring of platinum films was achieved by a pulsed-laser-based technique (KrF excimer laser emitting at lambda=248nm). The surface morphology of the micro-structured films was studied using different surface characterization techniques. In-vitro biocompatibility of these laser micro-structured films coated with iridium oxide thin films was evaluated using cortical neurons isolated from rat embryo brain. Characterization of these laser micro-structured films coated with iridium oxide, by cyclic voltammetry and impedance spectroscopy has revealed a considerable decrease in impedance and increase in charge capacity. A comparison between amorphous and crystalline iridium oxide thin films as electrode materials indicated that amorphous iridium oxide has significantly higher charge capacity and lower impedance making it preferable material for neural stimulation application. Our biocompatibility studies show that neural cells can grow and differentiate successfully on our laser micro-structured films coated with iridium oxide. This indicates that reactively sputtered iridium oxide (SIROF) is biocompatible.

  1. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    NASA Astrophysics Data System (ADS)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  2. Metal Doped Manganese Oxide Thin Films for Supercapacitor Application.

    PubMed

    Tung, Mai Thanh; Thuy, Hoang Thi Bich; Hang, Le Thi Thu

    2015-09-01

    Co and Fe doped manganese oxide thin films were prepared by anodic deposition at current density of 50 mA cm(-2) using the electrolyte containing manganese sulfate and either cobalt sulfate or ferrous sulfate. Surface morphology and crystal structure of oxides were studied by scanning electron microscope (SEM) and X-ray diffraction (XRD). Chemical composition of materials was analyzed by X-ray energy dispersive spectroscope (EDS), iodometric titration method and complexometric titration method, respectively. Supercapacitive behavior of Co and Fe doped manganese oxide films were characterized by cyclic voltammetry (CV) and impedance spectroscopy (EIS). The results show that the doped manganese oxides are composed of nano fiber-like structure with radius of 5-20 nm and remain amorphous structure after heat treatment at 100 degrees C for 2 hours. The average valence of manganese increases from +3.808 to +3.867 after doping Co and from +3.808 to +3.846 after doping Fe. The doped manganese oxide film electrodes exhibited preferably ideal pseudo-capacitive behavior. The specific capacitance value of deposited manganese oxide reaches a maximum of 175.3 F/g for doping Co and 244.6 F/g for doping Fe. The thin films retained about 84% of the initial capacity even after 500 cycles of charge-discharge test. Doping Co and Fe decreases diffusion and charge transfer resistance of the films. The electric double layer capacitance and capacitor response frequency are increased after doping.

  3. Strain-induced phenomenon in complex oxide thin films

    NASA Astrophysics Data System (ADS)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  4. SPM oxidation and parallel writing on zirconium nitride thin films

    NASA Astrophysics Data System (ADS)

    Farkas, N.; Comer, J. R.; Zhang, G.; Evans, E. A.; Ramsier, R. D.; Dagata, J. A.

    2005-07-01

    Systematic investigation of the SPM oxidation process of sputter-deposited ZrN thin films is reported. During the intrinsic part of the oxidation, the density of the oxide increases until the total oxide thickness is approximately twice the feature height. Further oxide growth is sustainable as the system undergoes plastic flow followed by delamination from the ZrN-silicon interface keeping the oxide density constant. ZrN exhibits superdiffusive oxidation kinetics in these single tip SPM studies. We extend this work to the fabrication of parallel oxide patterns 70 nm in height covering areas in the square centimeter range. This simple, quick, and well-controlled parallel nanolithographic technique has great potential for biomedical template fabrication.

  5. Complex oxide thin films for microelectronics

    NASA Astrophysics Data System (ADS)

    Suvorova, Natalya

    The rapid scaling of the device dimensions, namely in metal oxide semiconductor field effect transistor (MOSFET), is reaching its fundamental limit which includes the increase in allowable leakage current due to direct tunneling with decrease of physical thickness of SiO2 gate dielectric. The significantly higher relative dielectric constant (in the range 9--25) of the gate dielectric beyond the 3.9 value of silicon dioxide will allow increasing the physical thickness. Among the choices for the high dielectric constant (K) materials for future generation MOSFET application, barium strontium titanate (BST) and strontium titanate (STO) possess one of the highest attainable K values making them the promising candidates for alternative gate oxide. However, the gate stack engineering does not imply the simple replacement of the SiO2 with the new dielectric. Several requirements should be met for successful integration of a new material. The major one is a production of high level of interface states (Dit) compared to that of SiO 2 on Si. An insertion of a thin SiO2 layer prior the growth of high-K thin film is a simple solution that helps to limit reaction with Si substrate and attains a high quality interface. However, the combination of two thin films reduces the overall K of the dielectric stack. An optimization of the SiO2 underlayer in order to maintain the interface quality yet minimize the effect on K is the focus of this work. The results from our study are presented with emphasis on the key process parameters that improve the dielectric film stack. For in-situ growth characterization of BST and STO films sputter deposited on thermally oxidized Si substrates spectroscopic ellipsometry in combination with time of flight ion scattering and recoil spectrometry have been employed. Studies of material properties have been complemented with analytical electron microscopy. To evaluate the interface quality the electrical characterization has been employed using

  6. Chemical precursors to non-oxide ceramics: Macro to nanoscale materials

    NASA Astrophysics Data System (ADS)

    Forsthoefel, Kersten M.

    Non-oxide ceramics exhibit a number of important properties that make them ideal for technologically important applications (thermal and chemical stability, high strength and hardness, wear-resistance, light weight, and a range of electronic and optical properties). Unfortunately, traditional methodologies to these types of materials are limited to fairly simple shapes and complex processed forms cannot be attained through these methods. The establishment of the polymeric precursor approach has allowed for the generation of advanced materials, such as refractory non-oxide ceramics, with controlled compositions, under moderate conditions, and in processed forms. The goal of the work described in this dissertation was both to develop new processible precursors to technologically important ceramics and to achieve the formation of advanced materials in processed forms. One aspect of this research exploited previously developed preceramic precursors to boron carbide, boron nitride and silicon carbide for the generation of a wide variety of advanced materials: (1) ultra-high temperature ceramic (UHTC) structural materials composed of hafnium boride and related composite materials, (2) the quaternary borocarbide superconductors, and (3) on the nanoscale, non-oxide ceramic nanotubules. The generation of the UHTC and the quaternary borocarbide materials was achieved through a method that employs a processible polymer/metal(s) dispersion followed by subsequent pyrolyses. In the case of the UHTC, hafnium oxide, hafnium, or hafnium boride powders were dispersed in a suitable precursor to afford hafnium borides or related composite materials (HfB2/HfC, HfB2/HfN, HfB2/SiC) in high yields and purities. The quaternary borocarbide superconducting materials were produced from pyrolyses of dispersions containing appropriate stoichiometric amounts of transition metal, lanthanide metal, and the polyhexenyldecaborane polymer. Both chemical vapor deposition (CVD) based routes employing a

  7. Nanostructured manganese oxide thin films as electrode material for supercapacitors

    NASA Astrophysics Data System (ADS)

    Xia, Hui; Lai, Man On; Lu, Li

    2011-01-01

    Electrochemical capacitors, also called supercapacitors, are alternative energy storage devices, particularly for applications requiring high power densities. Recently, manganese oxides have been extensively evaluated as electrode materials for supercapacitors due to their low cost, environmental benignity, and promising supercapacitive performance. In order to maximize the utilization of manganese oxides as the electrode material for the supercapacitors and improve their supercapacitive performance, the nanostructured manganese oxides have therefore been developed. This paper reviews the synthesis of the nanostructured manganese oxide thin films by different methods and the supercapacitive performance of different nanostructures.

  8. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  9. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  10. Methodology for the effective stabilization of tin-oxide-based oxidation/reduction catalysts

    NASA Technical Reports Server (NTRS)

    Jordan, Jeffrey D. (Inventor); Schryer, David R. (Inventor); Leighty, Bradley D. (Inventor); Watkins, Anthony N. (Inventor); Summers, Jerry C. (Inventor); Davis, Patricia P. (Inventor); Oglesby, Donald M. (Inventor); Schryer, Jacqueline L. (Inventor); Gulati, Suresh T. (Inventor)

    2011-01-01

    The invention described herein involves a novel approach to the production of oxidation/reduction catalytic systems. The present invention serves to stabilize the tin oxide reducible metal-oxide coating by co-incorporating at least another metal-oxide species, such as zirconium. In one embodiment, a third metal-oxide species is incorporated, selected from the group consisting of cerium, lanthanum, hafnium, and ruthenium. The incorporation of the additional metal oxide components serves to stabilize the active tin-oxide layer in the catalytic process during high-temperature operation in a reducing environment (e.g., automobile exhaust). Moreover, the additional metal oxides are active components due to their oxygen-retention capabilities. Together, these features provide a mechanism to extend the range of operation of the tin-oxide-based catalyst system for automotive applications, while maintaining the existing advantages.

  11. Investigation of physicochemical and tribological properties of transparent oxide semiconducting thin films based on Ti-V oxides

    NASA Astrophysics Data System (ADS)

    Mazur, M.; Sieradzka, K.; Kaczmarek, D.; Domaradzki, J.; Wojcieszak, D.; Domanowski, P.

    2013-08-01

    In this paper investigations of structural and optical properties of nanocrystalline Ti-V oxide thin films are described. The films were deposited onto Corning 7059 glass using a modified reactive magnetron sputtering method. Structural investigations of prepared Ti-V oxides with vanadium addition of 19 at. % revealed amorphous structure, while incorporation of 21 and 23 at. % of vanadium resulted in V2O5 formation with crystallites sizes of 12.7 and 32.4 nm, respectively. All prepared thin films belong to transparent oxide semiconductors due to their high transmission level of ca. 60-75 % in the visible light range, and resistivity in the range of 3.3·102-1.4·105 Ωcm. Additionally, wettability and hardness tests were performed in order to evaluate the usefulness of the films for functional coatings.

  12. Morphology selection for cupric oxide thin films by electrodeposition.

    PubMed

    Dhanasekaran, V; Mahalingam, T; Chandramohan, R

    2011-10-01

    Polycrystalline cupric oxide thin films were deposited using alkaline solution bath employing cathodic electrodeposition method. The thin films were electrodeposited at various solution pH. The surface morphology and elemental analyzes of the films were studied using scanning electron microscopy (SEM) and energy dispersive X-ray analysis, respectively. SEM studies revealed that the surface morphology could be tailored suitably by adjusting the pH value during deposition. Mesh average on multiple lattice mode atomic force microscopy image was obtained and reported. Copyright © 2011 Wiley-Liss, Inc.

  13. Properties of mixed molybdenum oxide iridium oxide thin films synthesized by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Patil, P. S.; Kawar, R. K.; Sadale, S. B.; Inamdar, A. I.; Deshmukh, H. P.

    2006-09-01

    Molybdenum-doped iridium oxide thin films have been deposited onto corning glass- and fluorine-doped tin oxide coated corning glass substrates at 350 °C by using a pneumatic spray pyrolysis technique. An aqueous solution of 0.01 M ammonium molybdate was mixed with 0.01 M iridium trichloride solution in different volume proportions and the resultant solution was used as a precursor solution for spraying. The as-deposited samples were annealed at 600 °C in air medium for 1 h. The structural, electrical and optical properties of as-deposited and annealed Mo-doped iridium oxide were studied and values of room temperature electrical resistivity, and thermoelectric power were estimated. The as-deposited samples with 2% Mo doping exhibit more pronounced electrochromism than other samples, including pristine Ir oxide.

  14. Altering properties of cerium oxide thin films by Rh doping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ševčíková, Klára, E-mail: klarak.sevcikova@seznam.cz; NIMS Beamline Station at SPring-8, National Institute for Materials Science, Sayo, Hyogo 679-5148; Nehasil, Václav, E-mail: nehasil@mbox.troja.mff.cuni.cz

    2015-07-15

    Highlights: • Thin films of ceria doped by rhodium deposited by RF magnetron sputtering. • Concentration of rhodium has great impact on properties of Rh–CeO{sub x} thin films. • Intensive oxygen migration in films with low concentration of rhodium. • Oxygen migration suppressed in films with high amount of Rh dopants. - Abstract: Ceria containing highly dispersed ions of rhodium is a promising material for catalytic applications. The Rh–CeO{sub x} thin films with different concentrations of rhodium were deposited by RF magnetron sputtering and were studied by soft and hard X-ray photoelectron spectroscopies, Temperature programmed reaction and X-ray powder diffractionmore » techniques. The sputtered films consist of rhodium–cerium mixed oxide where cerium exhibits a mixed valency of Ce{sup 4+} and Ce{sup 3+} and rhodium occurs in two oxidation states, Rh{sup 3+} and Rh{sup n+}. We show that the concentration of rhodium has a great influence on the chemical composition, structure and reducibility of the Rh–CeO{sub x} thin films. The films with low concentrations of rhodium are polycrystalline, while the films with higher amount of Rh dopants are amorphous. The morphology of the films strongly influences the mobility of oxygen in the material. Therefore, varying the concentration of rhodium in Rh–CeO{sub x} thin films leads to preparing materials with different properties.« less

  15. Article having an improved platinum-aluminum-hafnium protective coating

    NASA Technical Reports Server (NTRS)

    Nagaraj, Bangalore Aswatha (Inventor); Williams, Jeffrey Lawrence (Inventor)

    2005-01-01

    An article protected by a protective coating has a substrate and a protective coating having an outer layer deposited upon the substrate surface and a diffusion zone formed by interdiffusion of the outer layer and the substrate. The protective coating includes platinum, aluminum, no more than about 2 weight percent hafnium, and substantially no silicon. The outer layer is substantially a single phase.

  16. Nonlinear optical characterization of graphite oxide thin film by open aperture Z-scan technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sreeja, V. G.; Reshmi, R.; Devasia, Sebin

    In this paper we explore the structural characterization of graphite oxide powder prepared from graphite powder by oxidation via modified Hummers method. The nonlinear optical properties of the spin coated graphite oxide thin film is also explored by open aperture Z-Scan technique. Structural and physiochemical properties of the samples were investigated with the help of Fourier Transform Infrared Spectroscopy (FTIR) and Raman Spectroscopy (Raman).The results of FT-IR and Raman spectroscopy showed that the graphite is oxidized by strong oxidants and the oxygen atoms are introduced into the graphite layers forming C=C, O-H and –C-H groups. The synthesized sample has goodmore » crystalline nature with lesser defects. The nonlinear optical property of GO thin film was studied by open aperture Z-Scan technique using Q-switched Nd-Yag Laser at 532 nm. The Z-scan plot showed that the investigated GO thin film has saturable absorption behavior. The nonlinear absorption coefficient and saturation intensity were also estimated to explore its applications in Q switched mode locking laser systems.« less

  17. Atomic Layer-Deposited Titanium-Doped Vanadium Oxide Thin Films and Their Thermistor Applications

    DOE PAGES

    Wang, Shuyu; Yu, Shifeng; Lu, Ming; ...

    2016-11-30

    In this paper, we report the enhancement in the temperature coefficient of resistance (TCR) of atomic layer-deposited vanadium oxide thin films through the doping of titanium oxide. The Hall effect measurement provides a potential explanation for the phenomenon. The composition and morphology of the thin films are investigated by x-ray diffraction and scanning electron microscopy techniques. The high TCR, good uniformity, and low processing temperature of the material make it a good candidate for thermistor application.

  18. Passivation of InP heterojunction bipolar transistors by strain controlled plasma assisted electron beam evaporated hafnium oxide

    NASA Astrophysics Data System (ADS)

    Driad, R.; Sah, R. E.; Schmidt, R.; Kirste, L.

    2012-01-01

    We present structural, stress, and electrical properties of plasma assisted e-beam evaporated hafnium dioxide (HfO2) layers on n-type InP substrates. These layers have subsequently been used for surface passivation of InGaAs/InP heterostructure bipolar transistors either alone or in combination with plasma enhanced chemical vapor deposited SiO2 layers. The use of stacked HfO2/SiO2 results in better interface quality with InGaAs/InP heterostructures, as illustrated by smaller leakage current and improved breakdown voltage. These improvements can be attributed to the reduced defect density and charge trapping at the dielectric-semiconductor interface. The deposition at room temperature makes these films suitable for sensitive devices.

  19. Scavenging of oxygen from SrTiO3 by metals and its implications for oxide thin film deposition

    NASA Astrophysics Data System (ADS)

    Posadas, Agham; Kormondy, Kristy; Guo, Wei; Ponath, Patrick; Kremer, Jacqueline; Hadamek, Tobias; Demkov, Alexander

    SrTiO3 is a widely used substrate for the growth of other functional oxide thin films. However, SrTiO3 loses oxygen very easily during oxide thin film deposition even under relatively high oxygen pressures. In some cases, there will be an interfacial layer of oxygen-deficient SrTiO3 formed at the interface with the deposited oxide film, depending on the metals present in the film. By depositing a variety of metals layer by layer and measuring the evolution of the core level spectra of both the deposited metal and SrTiO3 using x-ray photoelectron spectroscopy, we show that there are three distinct types of behavior that occur for thin metal films on SrTiO3. We discuss the implications of these types of behavior for the growth of complex oxide thin films on SrTiO3, and which oxide thin films are expected to produce an interfacial oxygen-deficient layer depending on their elemental constituents.

  20. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition.

    PubMed

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-12-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al 2 O 3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a , which can explain the experimental observation. A high-field effect mobility of 9.4 cm 2 /Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 10 7 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  1. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al2O3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a, which can explain the experimental observation. A high-field effect mobility of 9.4 cm2/Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 107 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  2. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu; Quesnel, David J.; Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical propertiesmore » of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of

  3. Hafnium-Based Bulk Metallic Glasses for Kinetic Energy Penetrators

    DTIC Science & Technology

    2004-12-01

    uranium -based (DU) and tungsten- nickel -iron (W-Ni-Fe) composite kinetic energy (KE) munitions is primarily ascribed to their high densities (U: ρ...based on an invariant point identified in the hafnium- copper- nickel ternary system. They are denser than zirconium-based glass-forming compositions...depleted- uranium penetrators. 1. INTRODUCTION 1.1 Criterion for Effective Kinetic Energy Penetrator Performance The lethality of depleted

  4. A photoelectrochemical (PEC) study on graphene oxide based hematite thin films heterojunction (R-GO/Fe2O3)

    NASA Astrophysics Data System (ADS)

    Sharma, Poonam; Zachariah, Michael; Ehrman, Sheryl; Shrivastava, Rohit; Dass, Sahab; Satsangi, Vibha; Michael Zachariah, Sheryl Ehrman Collaboration; Rohit Shrivastava, Sahab Dass Collaboration; Vibha R Satsangi, Poonam Sharma Team

    2013-03-01

    Graphene has an excellent electronic conductivity, a high theoretical surface area of 2630 m2/g and excellent mechanical properties and, thus, is a promising component for high-performance electrode materials. Following this, GO has been used to modify the PEC response of photoactive material hematite thin films in PEC cell. A reduced graphene oxide/iron oxide (R-GO/Fe2O3) thin film structure has been successfully prepared on ITO by directly growing iron oxide particles on the thermally reduced graphene oxide sheets prepared from suspension of exfoliated graphene oxide. R-GO/Fe2O3 thin films were tested in PEC cell and offered ten times higher photocurrent density than pristine Fe2O3 thin film sample. XRD, SEM, EDS, UV-Vis, Mott-Schottky and Raman studies were carried out to study spectro-electrochemical properties. Enhanced PEC performance of these photoelectrodes was attributed to its porous morphology, improved conductivity upon favorable carrier transfer across the oxides interface.

  5. Self-activated ultrahigh chemosensitivity of oxide thin film nanostructures for transparent sensors

    PubMed Central

    Moon, Hi Gyu; Shim, Young-Soek; Kim, Do Hong; Jeong, Hu Young; Jeong, Myoungho; Jung, Joo Young; Han, Seung Min; Kim, Jong Kyu; Kim, Jin-Sang; Park, Hyung-Ho; Lee, Jong-Heun; Tuller, Harry L.; Yoon, Seok-Jin; Jang, Ho Won

    2012-01-01

    One of the top design priorities for semiconductor chemical sensors is developing simple, low-cost, sensitive and reliable sensors to be built in handheld devices. However, the need to implement heating elements in sensor devices, and the resulting high power consumption, remains a major obstacle for the realization of miniaturized and integrated chemoresistive thin film sensors based on metal oxides. Here we demonstrate structurally simple but extremely efficient all oxide chemoresistive sensors with ~90% transmittance at visible wavelengths. Highly effective self-activation in anisotropically self-assembled nanocolumnar tungsten oxide thin films on glass substrate with indium-tin oxide electrodes enables ultrahigh response to nitrogen dioxide and volatile organic compounds with detection limits down to parts per trillion levels and power consumption less than 0.2 microwatts. Beyond the sensing performance, high transparency at visible wavelengths creates opportunities for their use in transparent electronic circuitry and optoelectronic devices with avenues for further functional convergence. PMID:22905319

  6. Electro-thermal control of aluminum-doped zinc oxide/vanadium dioxide multilayered thin films for smart-device applications

    PubMed Central

    Skuza, J. R.; Scott, D. W.; Mundle, R. M.; Pradhan, A. K.

    2016-01-01

    We demonstrate the electro-thermal control of aluminum-doped zinc oxide (Al:ZnO) /vanadium dioxide (VO2) multilayered thin films, where the application of a small electric field enables precise control of the applied heat to the VO2 thin film to induce its semiconductor-metal transition (SMT). The transparent conducting oxide nature of the top Al:ZnO film can be tuned to facilitate the fine control of the SMT of the VO2 thin film and its associated properties. In addition, the Al:ZnO film provides a capping layer to the VO2 thin film, which inhibits oxidation to a more energetically favorable and stable V2O5 phase. It also decreases the SMT of the VO2 thin film by approximately 5–10 °C because of an additional stress induced on the VO2 thin film and/or an alteration of the oxygen vacancy concentration in the VO2 thin film. These results have significant impacts on technological applications for both passive and active devices by exploiting this near-room-temperature SMT. PMID:26884225

  7. Addition of oxygen to and distribution of oxides in tantalum alloy T-111 at low concentrations

    NASA Technical Reports Server (NTRS)

    Stecura, S.

    1975-01-01

    Oxygen was added at 820 and 990 C at an oxygen pressure of about .0003 torr. The technique permitted predetermined and reproducible oxygen doping of the tantalum alloy (T-111). Based on the temperature dependency of the doping reaction, it was concluded that the initial rates of oxygen pickup are probably controlled by solution of oxygen into the T-111 lattice. Although hafnium oxides are more stable than those of tantalum or tungsten, analyses of extracted residues indicate that the tantalum and tungsten oxides predominate in the as-doped specimens, presumably because of the higher concentrations of tantalum and tungsten in the alloy. However, high-temperature annealing promotes gettering of dissolved oxygen and oxygen from other oxides to form hafnium oxides. Small amounts of tantalum and tungsten oxides were still present after high temperature annealing. Tungsten oxide (WO3) volatilizes slightly from the surface of T-111 at 990 C but not at 820 C. The vaporization of WO3 has no apparent effect on the doping reaction.

  8. Composite catalyst for carbon monoxide and hydrocarbon oxidation

    DOEpatents

    Liu, W.; Flytzani-Stephanopoulos, M.

    1996-03-19

    A method and composition are disclosed for the complete oxidation of carbon monoxide and/or hydrocarbon compounds. The method involves reacting the carbon monoxide and/or hydrocarbons with an oxidizing agent in the presence of a metal oxide composite catalyst. The catalyst is prepared by combining fluorite-type oxygen ion conductors with active transition metals. The fluorite oxide, selected from the group consisting of cerium oxide, zirconium oxide, thorium oxide, hafnium oxide, and uranium oxide, and may be doped by alkaline earth and rare earth oxides. The transition metals, selected from the group consisting of molybdenum, copper, cobalt, manganese, nickel, and silver, are used as additives. The atomic ratio of transition metal to fluorite oxide is less than one.

  9. Composite catalyst for carbon monoxide and hydrocarbon oxidation

    DOEpatents

    Liu, Wei; Flytzani-Stephanopoulos, Maria

    1996-01-01

    A method and composition for the complete oxidation of carbon monoxide and/or hydrocarbon compounds. The method involves reacting the carbon monoxide and/or hydrocarbons with an oxidizing agent in the presence of a metal oxide composite catalyst. The catalyst is prepared by combining fluorite-type oxygen ion conductors with active transition metals. The fluorite oxide, selected from the group consisting of cerium oxide, zirconium oxide, thorium oxide, hafnium oxide, and uranium oxide, and may be doped by alkaline earth and rare earth oxides. The transition metals, selected from the group consisting of molybdnum, copper, cobalt, maganese, nickel, and silver, are used as additives. The atomic ratio of transition metal to fluorite oxide is less than one.

  10. Preparation of Zinc Oxide (ZnO) Thin Film as Transparent Conductive Oxide (TCO) from Zinc Complex Compound on Thin Film Solar Cells: A Study of O2 Effect on Annealing Process

    NASA Astrophysics Data System (ADS)

    Muslih, E. Y.; Kim, K. H.

    2017-07-01

    Zinc oxide (ZnO) thin film as a transparent conductive oxide (TCO) for thin film solar cell application was successfully prepared through two step preparations which consisted of deposition by spin coating at 2000 rpm for 10 second and followed by annealing at 500 °C for 2 hours under O2 and ambient atmosphere. Zinc acetate dehydrate was used as a precursor which dissolved in ethanol and acetone (1:1 mol) mixture in order to make a zinc complex compound. In this work, we reported the O2 effect, reaction mechanism, structure, morphology, optical and electrical properties. ZnO thin film in this work shows a single phase of wurtzite, with n-type semiconductor and has band gap, carrier concentration, mobility, and resistivity as 3.18 eV, 1.21 × 10-19cm3, 11 cm2/Vs, 2.35 × 10-3 Ωcm respectively which is suitable for TCO at thin film solar cell.

  11. Electronic Devices Based on Oxide Thin Films Fabricated by Fiber-to-Film Process.

    PubMed

    Meng, You; Liu, Ao; Guo, Zidong; Liu, Guoxia; Shin, Byoungchul; Noh, Yong-Young; Fortunato, Elvira; Martins, Rodrigo; Shan, Fukai

    2018-05-30

    Technical development for thin-film fabrication is essential for emerging metal-oxide (MO) electronics. Although impressive progress has been achieved in fabricating MO thin films, the challenges still remain. Here, we report a versatile and general thermal-induced nanomelting technique for fabricating MO thin films from the fiber networks, briefly called fiber-to-film (FTF) process. The high quality of the FTF-processed MO thin films was confirmed by various investigations. The FTF process is generally applicable to numerous technologically relevant MO thin films, including semiconducting thin films (e.g., In 2 O 3 , InZnO, and InZrZnO), conducting thin films (e.g., InSnO), and insulating thin films (e.g., AlO x ). By optimizing the fabrication process, In 2 O 3 /AlO x thin-film transistors (TFTs) were successfully integrated by fully FTF processes. High-performance TFT was achieved with an average mobility of ∼25 cm 2 /(Vs), an on/off current ratio of ∼10 7 , a threshold voltage of ∼1 V, and a device yield of 100%. As a proof of concept, one-transistor-driven pixel circuit was constructed, which exhibited high controllability over the light-emitting diodes. Logic gates based on fully FTF-processed In 2 O 3 /AlO x TFTs were further realized, which exhibited good dynamic logic responses and voltage amplification by a factor of ∼4. The FTF technique presented here offers great potential in large-area and low-cost manufacturing for flexible oxide electronics.

  12. Fabrication and characterization of lithographically patterned and optically transparent anodic aluminum Oxide (AAO) nanostructure thin film.

    PubMed

    He, Yuan; Li, Xiang; Que, Long

    2012-10-01

    Optically transparent anodic aluminum oxide (AAO) nanostructure thin film has been successfully fabricated from lithographically patterned aluminum on indium tin oxide (ITO) glass substrates for the first time, indicating the feasibility to integrate the AAO nanostructures with microdevices or microfluidics for a variety of applications. Both one-step and two-step anodization processes using sulfuric acid and oxalic acid have been utilized for fabricating the AAO nanostructure thin film. The optical properties of the fabricated AAO nanostructure thin film have been evaluated and analyzed.

  13. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    PubMed

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  14. The performance of hafnium and gadolinium self powered neutron detectors in the TREAT reactor

    NASA Astrophysics Data System (ADS)

    Imel, G. R.; Hart, P. R.

    1996-05-01

    The use of gadolinium and hafnium self powered neutron detectors in a transient reactor is described in this paper. The detectors were calibrated to the fission rate of U-235 using calibrated fission chambers; the calibration factors were tested in two reactors in steady state and found to be consistent. Calibration of the detectors in transient reactor conditions was done by using uranium wires that were analyzed by radiochemistry techniques to determine total fissions during the transient. This was correlated to the time-integrated current of the detectors during the transient. A temperature correction factor was derived to account for self-shielding effects in the hafnium and gadolinium detectors. The dynamic response of the detectors under transient conditions was studied, and found to be excellent.

  15. Oxidation resistant iron and nickel alloys for high temperature use

    NASA Technical Reports Server (NTRS)

    Hill, V. L.; Misra, S. K.; Wheaton, H. L.

    1970-01-01

    Iron-base and nickel-base alloys exhibit good oxidation resistance and improved ductility with addition of small amounts of yttrium, tantalum /or hafnium/, and thorium. They can be used in applications above the operating temperatures of the superalloys, if high strength materials are not required.

  16. Local Anodic Oxidation of Thin GeO Films and Formation of Nanostructures Based on Them

    NASA Astrophysics Data System (ADS)

    Astankova, K. N.; Kozhukhov, A. S.; Azarov, I. A.; Gorokhov, E. B.; Sheglov, D. V.; Latyshev, A. V.

    2018-04-01

    The process of local anodic oxidation of thin GeO films has been studied using an atomic force microscope. The electron-probe microanalysis showed that oxidized areas of a GeO film were germanium dioxide. The effect of the voltage pulse duration applied to the probe-substrate system and the atmospheric humidity on the height of the oxide structures has been studied. The kinetics of the local anodic oxidation (LAO) in a semi-contact mode obeys the Cabrera-Mott model for large times. The initial growth rate of the oxide ( R 0) significantly increases and the time of starting the oxidation ( t 0) decreases as the atmospheric humidity increases by 20%, which is related to an increase in the concentration of oxygen-containing ions at the surface of the oxidized GeO film. It was shown that nanostructures in thin GeO layers can be formed by the LAO method.

  17. Electrical and Infrared Optical Properties of Vanadium Oxide Semiconducting Thin-Film Thermometers

    NASA Astrophysics Data System (ADS)

    Zia, Muhammad Fakhar; Abdel-Rahman, Mohamed; Alduraibi, Mohammad; Ilahi, Bouraoui; Awad, Ehab; Majzoub, Sohaib

    2017-10-01

    A synthesis method has been developed for preparation of vanadium oxide thermometer thin film for microbolometer application. The structure presented is a 95-nm thin film prepared by sputter-depositing nine alternating multilayer thin films of vanadium pentoxide (V2O5) with thickness of 15 nm and vanadium with thickness of 5 nm followed by postdeposition annealing at 300°C in nitrogen (N2) and oxygen (O2) atmospheres. The resulting vanadium oxide (V x O y ) thermometer thin films exhibited temperature coefficient of resistance (TCR) of -3.55%/°C with room-temperature resistivity of 2.68 Ω cm for structures annealed in N2 atmosphere, and TCR of -3.06%/°C with room-temperature resistivity of 0.84 Ω cm for structures annealed in O2 atmosphere. Furthermore, optical measurements of N2- and O2-annealed samples were performed by Fourier-transform infrared ellipsometry to determine their dispersion curves, refractive index ( n), and extinction coefficient ( k) at wavelength from 7000 nm to 14,000 nm. The results indicate the possibility of applying the developed materials in thermometers for microbolometers.

  18. Photon synthesis of iron oxide thin films for thermo-photo-chemical sensors

    NASA Astrophysics Data System (ADS)

    Mulenko, S. A.; Petrov, Yu. N.; Gorbachuk, N. T.

    2012-09-01

    Ultraviolet photons of KrF-laser (248 nm) and of photodiode (360 nm) were used for the synthesis of iron oxide thin films with variable thickness, stoichiometry and electrical properties. The reactive pulsed laser deposition (RPLD) method was based on KrF-laser and photon-induced chemical vapor deposition (PCVD) was based on a photodiode. Deposited films demonstrated semiconductor properties with variable band gap (Eg). The film thickness (50-140 nm) and Eg depended on the laser pulse number, oxygen and iron carbonyl vapor pressure in the deposition chamber, and exposure time to the substrate surface with ultraviolet (UV) radiation. Sensing characteristics strongly depended on electrical and structural properties of such thin films. Iron oxide films were deposited on <1 0 0> Si substrate and had large thermo electromotive force (e.m.f.) coefficient (S) and high photosensitivity (F). The largest value of the S coefficient obtained by RPLD was about 1.65 mV/K in the range 270-290 K and by PCVD was about 1.5 mV/K in the range 280-322 K. The largest value F obtained by RPLD and PCVD was about 44 Vc/W and 40 Vc/W, accordingly, for white light at power density (I ≅ 0.006 W/cm2). It was shown that the S coefficient and F strongly depended on Eg. Moreover, these films were tested as chemical sensors: the largest sensitivity of NO molecules was at the level of 3 × 1012 cm-3. Our results showed that RPLD and PCVD were used to synthesize semiconductor iron oxide thin films with different sensing properties. So iron oxide thin films synthesized by UV photons are up-to-date materials for multi-parameter sensors: thermo-photo-chemical sensors operating at moderate temperature.

  19. Thin-film transistors with a graphene oxide nanocomposite channel.

    PubMed

    Jilani, S Mahaboob; Gamot, Tanesh D; Banerji, P

    2012-12-04

    Graphene oxide (GO) and graphene oxide-zinc oxide nanocomposites (GO-ZnO) were used as channel materials on SiO(2)/Si to fabricate thin-film transistors (TFT) with an aluminum source and drain. Pure GO-based TFT showed poor field-effect characteristics. However, GO-ZnO-nanocomposite-based TFT showed better field-effect performance because of the anchoring of ZnO nanostructures in the GO matrix, which causes a partial reduction in GO as is found from X-ray photoelectron spectroscopic data. The field-effect mobility of charge carriers at a drain voltage of 1 V was found to be 1.94 cm(2)/(V s). The transport of charge carriers in GO-ZnO was explained by a fluctuation-induced tunneling mechanism.

  20. A facile fabrication of chemically converted graphene oxide thin films and their uses as absorber materials for solar cells

    NASA Astrophysics Data System (ADS)

    Adelifard, Mehdi; Darudi, Hosein

    2016-07-01

    There is a great interest in the use of graphene sheets in thin film solar cells with low-cost and good-optoelectronic properties. Here, the production of absorbent conductive reduced graphene oxide (RGO) thin films was investigated. RGO thin films were prepared from spray-coated graphene oxide (GO) layers at various substrate temperature followed by a simple hydrazine-reducing method. The structural, morphological, optical, and electrical characterizations of graphene oxide (GO) and RGO thin films were investigated. X-ray diffraction analysis showed a phase shift from GO to RGO due to hydrazine treatment, in agreement with the FTIR spectra of the layers. FESEM images clearly exhibited continuous films resulting from the overlap of graphene nanosheets. The produced low-cost thin films had high absorption coefficient up to 1.0 × 105 cm-1, electrical resistance as low as 0.9 kΩ/sq, and effective optical band gap of about 1.50 eV, close to the optimum value for solar conversion. The conductive absorbent properties of the reduced graphene oxide thin films would be useful to develop photovoltaic cells.

  1. Investigation of Annealing Temperature on Copper Oxide Thin Films Using Sol-Gel Spin Coating Technique

    NASA Astrophysics Data System (ADS)

    Hashim, H.; Samat, S. F. A.; Shariffudin, S. S.; Saad, P. S. M.

    2018-03-01

    Copper (II) Oxide or cupric oxide (CuO) is one of the well-known materials studied for thin films applications. This paper was studied on the effect of annealing temperature to CuO thin films using sol-gel method and spin coating technique. The solution was prepared by sol-gel method and the thin films were synthesized at various temperatures from 500°C to 700°C that deposited onto the quartz substrates. After the annealing process, the thin films were uniform and brownish black in colour. The measurements were performed by atomic force microscopy (AFM), surface profiler (SP), two-point probe and Ultraviolet-visible (UV-Vis-NIR) spectrometer. From the optical measurement, the band gap was estimated to be 1.44eV for sample annealed at 550°C.

  2. Crystal Structure Characterization of Thin Layer Zinc Oxide

    NASA Astrophysics Data System (ADS)

    Doyan, Aris; Susilawati; Azizatul Fitri, Siti; Ahzan, Sukainil

    2017-05-01

    In this research the characterization of the crystal structure of a thin layer of ZnO (zinc oxide) were synthesized by sol - gel method and spin coating deposited on a glass substrate. The samples were divided into three sol concentrations of 0.1, 0.3, 0.5 Molar and two deposition temperature is 350 °C, and 550 °C. UV-Vis. spectrophotometer results showed that in the spectrum of visible light (wavelength range 300-800 nm) has a transmittance value of which increases with increasing concentration and temperature deposition of zinc oxide, otherwise the value of the absorption and the band gap energy decreases with the addition of concentration and deposition temperature. The transmittances value of the highest and lowest absorption was 93.5% and 0.03 is at a concentration of 0.1 M and zinc oxide deposition temperature of 550 °C, with a value of band gap energy of 2.98 eV. The XRD results showed that the zinc oxide crystal orientation in the field of 013 with a crystal grain size 14.4472 nm. SEM results showed the surface morphology of zinc oxide such as rod-like.

  3. Electro-optical properties of the metal oxide-carbon thin film system of CdO-LCC

    NASA Astrophysics Data System (ADS)

    Kokshina, A. V.; Smirnov, A. V.; Razina, A. G.

    2016-08-01

    This article presents the results of a study electrical and optical properties of the thin film system of CdO-LCC. Cadmium oxide films were obtained by method of thermal oxidation. CdO-LCC thin film system was produced by applying on a CdO film a linear chain carbon film in thickness of 100 nm using the ion-plasma method, after which the obtained system was annealed. The studies showed that the obtained CdO-LCC films are quite transparent in the visible region; it has polycrystalline structure, thickness around 300 nm, the band gap to 2.3 eV. The obtained thin film system has photosensitive properties.

  4. "Decarbonization" of an imino N-heterocyclic carbene via triple benzyl migration from hafnium

    USDA-ARS?s Scientific Manuscript database

    An imino N-heterocyclic carbene underwent three sequential benzyl migrations upon reaction with tetrabenzylhafnium, resulting in complete removal of the carbene carbon from the ligand. The resulting eneamido-amidinato hafnium complex showed alkene polymerization activity comparable to that of a prec...

  5. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    NASA Astrophysics Data System (ADS)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  6. Development of porous metal oxide thin films by co-evaporation

    NASA Astrophysics Data System (ADS)

    Tesfamichael, T.; Motta, Nunzio; Bostrom, Thor; Bell, J. M.

    2007-03-01

    This paper focuses on the development of mixed metal oxide thin films and physical characterization of the films. The films were produced by co-evaporation of titanium oxide and tungsten oxide powders. This allowed the development of titanium oxide-tungsten oxide films as analyzed using XPS. Examination in the SEM and AFM showed that the films were nanoporous with the pore size and pore orientation varying as a function of the deposition angle. UV-vis spectra of the films show an increase of transmittance with increasing deposition angle which is attributed to the structure and porosity of the films. Raman analysis indicated that the as-deposited films have broad and weak Raman characteristics, attributed to the nanocrystal nature of the films and the presence of defects, and the peak broadening deceases after annealing the film, as expected.

  7. Sputtered boron indium oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Stewart, Kevin A.; Gouliouk, Vasily; Keszler, Douglas A.; Wager, John F.

    2017-11-01

    Boron indium oxide (BIO) is studied for thin-film transistor (TFT) channel layer applications. Sputtered BIO thin films exhibit an amorphous phase over a wide range of B2O3/In2O3 ratios and remain amorphous up to 500 °C. The band gap decreases linearly with decreasing boron content, whereas device performance generally improves with decreasing boron content. The best amorphous BIO TFT exhibits a field-effect mobility of 10 cm2 V-1 s-1, turn-on voltage of 2.5 V, and sub-threshold swing of 0.72 V/dec. Decreasing the boron content to 12.5% leads to a polycrystalline phase, but further increases the mobility up to 20-40 cm2 V-1 s-1. TCAD simulation results suggest that the reason for higher performance after increasing the anneal temperature from 200 to 400 °C is due to a lower defect density in the sub-bandgap region of the BIO channel layer.

  8. Comprehensive review on the development of high mobility in oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  9. PROCESS OF RECOVERING ZIRCONIUM VALUES FROM HAFNIUM VALUES BY SOLVENT EXTRACTION WITH AN ALKYL PHOSPHATE

    DOEpatents

    Peppard, D.F.

    1960-02-01

    A process of separating hafnium nitrate from zirconium nitrate contained in a nitric acid solution by selectively. extracting the zirconium nitrate with a water-immiscible alkyl phosphate is reported.

  10. Technology and characterization of Thin-Film Transistors (TFTs) with a-IGZO semiconductor and high-k dielectric layer

    NASA Astrophysics Data System (ADS)

    Mroczyński, R.; Wachnicki, Ł.; Gierałtowska, S.

    2016-12-01

    In this work, we present the design of the technology and fabrication of TFTs with amorphous IGZO semiconductor and high-k gate dielectric layer in the form of hafnium oxide (HfOx). In the course of this work, the IGZO fabrication was optimized by means of Taguchi orthogonal tables approach in order to obtain an active semiconductor with reasonable high concentration of charge carriers, low roughness and relatively high mobility. The obtained Thin-Film Transistors can be characterized by very good electrical parameters, i.e., the effective mobility (μeff ≍ 12.8 cm2V-1s-1) significantly higher than that for a-Si TFTs (μeff ≍ 1 cm2V-1s-1). However, the value of sub-threshold swing (i.e., 640 mV/dec) points that the interfacial properties of IGZO/HfOx stack is characterized by high value of interface states density (Dit) which, in turn, demands further optimization for future applications of the demonstrated TFT structures.

  11. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    NASA Astrophysics Data System (ADS)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  12. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    PubMed

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  13. The effect of Mg dopants on magnetic and structural properties of iron oxide and zinc ferrite thin films

    NASA Astrophysics Data System (ADS)

    Saritaş, Sevda; Ceviz Sakar, Betul; Kundakci, Mutlu; Yildirim, Muhammet

    2018-06-01

    Iron oxide thin films have been obtained significant interest as a material that put forwards applications in photovoltaics, gas sensors, biosensors, optoelectronic and especially in spintronics. Iron oxide is one of the considerable interest due to its chemical and thermal stability. Metallic ion dopant influenced superexchange interactions and thus changed the structural, electrical and magnetic properties of the thin film. Mg dopped zinc ferrite (Mg:ZnxFe3-xO4) crystal was used to avoid the damage of Fe3O4 (magnetite) crystal instead of Zn2+ in this study. Because the radius of the Mg2+ ion in the A-site (tetrahedral) is almost equal to that of the replaced Fe3+ ion. Inverse-spinel structure in which oxygen ions (O2-) are arranged to form a face-centered cubic (FCC) lattice where there are two kinds of sublattices, namely, A-site and B-site (octahedral) interstitial sites and in which the super exchange interactions occur. In this study, to increase the saturation of magnetization (Ms) value for iron oxide, inverse-spinal ferrite materials have been prepared, in which the iron oxide was doped by multifarious divalent metallic elements including Zn and Mg. Triple and quaternary; iron oxide and zinc ferrite thin films with Mg metal dopants were grown by using Spray Pyrolysis (SP) technique. The structural, electrical and magnetic properties of Mg dopped iron oxide (Fe2O3) and zinc ferrite (ZnxFe3-xO4) thin films have been investigated. Vibrating Sample Magnetometer (VSM) technique was used to study for the magnetic properties. As a result, we can say that Mg dopped iron oxide thin film has huge diamagnetic and of Mg dopped zinc ferrite thin film has paramagnetic property at bigger magnetic field.

  14. Chemically derived graphene oxide: towards large-area thin-film electronics and optoelectronics.

    PubMed

    Eda, Goki; Chhowalla, Manish

    2010-06-11

    Chemically derived graphene oxide (GO) possesses a unique set of properties arising from oxygen functional groups that are introduced during chemical exfoliation of graphite. Large-area thin-film deposition of GO, enabled by its solubility in a variety of solvents, offers a route towards GO-based thin-film electronics and optoelectronics. The electrical and optical properties of GO are strongly dependent on its chemical and atomic structure and are tunable over a wide range via chemical engineering. In this Review, the fundamental structure and properties of GO-based thin films are discussed in relation to their potential applications in electronics and optoelectronics.

  15. Dissolution kinetics of small amounts of oxygen in tantalum alloy T-111 and internal oxide displacement reactions during annealing

    NASA Technical Reports Server (NTRS)

    Stecura, S.

    1976-01-01

    Oxygen was added to T-111 (Ta-8W-2Hf, wt. %) at 820 and 990 C at an oxygen pressure of about 0.0003 torr. The technique employed permitted predetermined and reproducible doping of T-111 up to 3.0 at. % oxygen. Based on the temperature dependence of the doping reaction, it is concluded that the initial rates of oxygen pickup are probably controlled by solution of oxygen into the T-111 lattice. Although hafnium oxides are more stable than those of tantalum or tungsten, analyses of extracted residues indicate that the latter oxides predominate in the as-doped specimens, presumably because of the higher concentrations of tantalum and tungsten in the alloy. However, high-temperature annealing promotes gettering of dissolved oxygen and of other oxides to form hafnium oxides. Small amounts of tantalum and tungsten oxides were still present after high-temperature annealing. Tungsten oxide (WO3) volatilizes slightly from the surface of T-111 at 990 C. The vaporization of WO3 has no apparent affect on the doping reaction.

  16. Synthesis and characterization of lithium intercalation electrodes based on iron oxide thin films

    NASA Astrophysics Data System (ADS)

    Sarradin, J.; Guessous, A.; Ribes, M.

    Sputter-deposited iron oxide thin films are investigated as a possible negative electrode for rocking-chair microbatteries. Experimental conditions related to the manufacturing of amorphous thin films suitable to a large number of available intercalation sites are described. Structural and physical properties of the thin layer films are presented. The conductivities of the amorphous thin films were found to be very high compared with those of the respective crystalline forms. Regarding the electrochemical behaviour, Fe 2O 3-based thin films electrodes are able to store and reversibly exchange lithium ions. At a C/2 charge/discharge rate with 100% depth-of-discharge (DOD), the specific capacity of these amorphous thin film electrodes remains almost constant and close to 330 Ah/kg after more than 120 charge/discharge cycles.

  17. Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method

    NASA Astrophysics Data System (ADS)

    Sathisha, D.; Naik, K. Gopalakrishna

    2018-05-01

    Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.

  18. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  19. Charge Transport in Low-Temperature Processed Thin-Film Transistors Based on Indium Oxide/Zinc Oxide Heterostructures.

    PubMed

    Krausmann, Jan; Sanctis, Shawn; Engstler, Jörg; Luysberg, Martina; Bruns, Michael; Schneider, Jörg J

    2018-06-20

    The influence of the composition within multilayered heterostructure oxide semiconductors has a critical impact on the performance of thin-film transistor (TFT) devices. The heterostructures, comprising alternating polycrystalline indium oxide and zinc oxide layers, are fabricated by a facile atomic layer deposition (ALD) process, enabling the tuning of its electrical properties by precisely controlling the thickness of the individual layers. This subsequently results in enhanced TFT performance for the optimized stacked architecture after mild thermal annealing at temperatures as low as 200 °C. Superior transistor characteristics, resulting in an average field-effect mobility (μ sat. ) of 9.3 cm 2 V -1 s -1 ( W/ L = 500), an on/off ratio ( I on / I off ) of 5.3 × 10 9 , and a subthreshold swing of 162 mV dec -1 , combined with excellent long-term and bias stress stability are thus demonstrated. Moreover, the inherent semiconducting mechanism in such multilayered heterostructures can be conveniently tuned by controlling the thickness of the individual layers. Herein, devices comprising a higher In 2 O 3 /ZnO ratio, based on individual layer thicknesses, are predominantly governed by percolation conduction with temperature-independent charge carrier mobility. Careful adjustment of the individual oxide layer thicknesses in devices composed of stacked layers plays a vital role in the reduction of trap states, both interfacial and bulk, which consequently deteriorates the overall device performance. The findings enable an improved understanding of the correlation between TFT performance and the respective thin-film composition in ALD-based heterostructure oxides.

  20. Metastable tantalum oxide formation during the devitrification of amorphous tantalum thin films

    DOE PAGES

    Donaldson, Olivia K.; Hattar, Khalid; Trelewicz, Jason R.

    2016-07-04

    Microstructural evolution during the devitrification of amorphous tantalum thin films synthesized via pulsed laser deposition was investigated using in situ transmission electron microscopy (TEM) combined with ex situ isothermal annealing, bright-field imaging, and electron-diffraction analysis. The phases formed during crystallization and their stability were characterized as a function of the chamber pressure during deposition, devitrification temperature, and annealing time. A range of metastable nanocrystalline tantalum oxides were identified following devitrification including multiple orthorhombic oxide phases, which often were present with, or evolved to, the tetragonal TaO 2 phase. While the appearance of these phases indicated the films were evolving tomore » the stable form of tantalum oxide—monoclinic tantalum pentoxide—it was likely not achieved for the conditions considered due to an insufficient amount of oxygen present in the films following deposition. Nevertheless, the collective in situ and ex situ TEM analysis applied to thin film samples enabled the isolation of a number of metastable tantalum oxides. As a result, new insights were gained into the transformation sequence and stability of these nanocrystalline phases, which presents opportunities for the development of advanced tantalum oxide-based dielectric materials for novel memristor designs.« less

  1. The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition: examples and a case study on the application of V2O4 coated nanotubes in gas sensing.

    PubMed

    Willinger, Marc-Georg; Neri, Giovanni; Bonavita, Anna; Micali, Giuseppe; Rauwel, Erwan; Herntrich, Tobias; Pinna, Nicola

    2009-05-21

    A new atomic layer deposition (ALD) process was applied for the uniform coating of carbon nanotubes with a number of transition-metal oxide thin films (vanadium, titanium, and hafnium oxide). The presented approach is adapted from non-aqueous sol-gel chemistry and utilizes metal alkoxides and carboxylic acids as precursors. It allows the coating of the inner and outer surface of the tubes with a highly conformal film of controllable thickness and hence, the production of high surface area hybrid materials. The morphology and the chemical composition as well as the high purity of the films are evidenced through a combination of electron microscopic and electron-energy-loss spectrometric techniques. Furthermore, in order to highlight a possible application of the obtained hybrids, the electrical and sensing properties of resistive gas sensors based on hybrid vanadium oxide-coated carbon nanotubes (V2O4-CNTs) are reported and the effect of thermal treatment on the gas sensing properties is studied.

  2. Thin coatings for protecting titanium aluminides in high-temperature oxidizing environments

    NASA Technical Reports Server (NTRS)

    Wiedemann, K. E.; Taylor, P. J.; Clark, R. K.; Wallace, T. A.

    1991-01-01

    Titanium aluminides have high specific strengths at high temperatures but are susceptible to environmental attack. Their use in many aerospace applications would require that they be protected with coatings that, for structural efficiency, must be thin. It is conceivable that acceptable coatings might be found in several oxide systems, and consequently, oxide coatings of many compositions were prepared from sol-gels for study. Response-surface methodology was used to refine coating compositions and factorial experiments were used to develop coating strategies. Oxygen permeability diagrams of two-layer coatings for several oxide systems, an analysis of multiple-layer coatings on rough and polished surfaces, and modeling of the oxidation weight gain are presented.

  3. Effect of oxygen deficiency on electronic properties and local structure of amorphous tantalum oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Denny, Yus Rama; Firmansyah, Teguh; Oh, Suhk Kun

    2016-10-15

    Highlights: • The effect of oxygen flow rate on electronic properties and local structure of tantalum oxide thin films was studied. • The oxygen deficiency induced the nonstoichiometric state a-TaOx. • A small peak at 1.97 eV above the valence band side appeared on nonstoichiometric Ta{sub 2}O{sub 5} thin films. • The oxygen flow rate can change the local electronic structure of tantalum oxide thin films. - Abstract: The dependence of electronic properties and local structure of tantalum oxide thin film on oxygen deficiency have been investigated by means of X-ray photoelectron spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS),more » and X-ray absorption spectroscopy (XAS). The XPS results showed that the oxygen flow rate change results in the appearance of features in the Ta 4f at the binding energies of 23.2 eV, 24.4 eV, 25.8, and 27.3 eV whose peaks are attributed to Ta{sup 1+}, Ta{sup 2+}, Ta{sup 3+}/Ta{sup 4+}, and Ta{sup 5+}, respectively. The presence of nonstoichiometric state from tantalum oxide (TaOx) thin films could be generated by the oxygen vacancies. In addition, XAS spectra manifested both the increase of coordination number of the first Ta-O shell and a considerable reduction of the Ta-O bond distance with the decrease of oxygen deficiency.« less

  4. Challenges and opportunities for multi-functional oxide thin films for voltage tunable radio frequency/microwave components

    NASA Astrophysics Data System (ADS)

    Subramanyam, Guru; Cole, M. W.; Sun, Nian X.; Kalkur, Thottam S.; Sbrockey, Nick M.; Tompa, Gary S.; Guo, Xiaomei; Chen, Chonglin; Alpay, S. P.; Rossetti, G. A.; Dayal, Kaushik; Chen, Long-Qing; Schlom, Darrell G.

    2013-11-01

    There has been significant progress on the fundamental science and technological applications of complex oxides and multiferroics. Among complex oxide thin films, barium strontium titanate (BST) has become the material of choice for room-temperature-based voltage-tunable dielectric thin films, due to its large dielectric tunability and low microwave loss at room temperature. BST thin film varactor technology based reconfigurable radio frequency (RF)/microwave components have been demonstrated with the potential to lower the size, weight, and power needs of a future generation of communication and radar systems. Low-power multiferroic devices have also been recently demonstrated. Strong magneto-electric coupling has also been demonstrated in different multiferroic heterostructures, which show giant voltage control of the ferromagnetic resonance frequency of more than two octaves. This manuscript reviews recent advances in the processing, and application development for the complex oxides and multiferroics, with the focus on voltage tunable RF/microwave components. The over-arching goal of this review is to provide a synopsis of the current state-of the-art of complex oxide and multiferroic thin film materials and devices, identify technical issues and technical challenges that need to be overcome for successful insertion of the technology for both military and commercial applications, and provide mitigation strategies to address these technical challenges.

  5. Synthesis of zinc oxide thin films prepared by sol-gel for specific bioactivity

    NASA Astrophysics Data System (ADS)

    Adam, Tijjani; Basri, B.; Dhahi, Th. S.; Mohammed, Mohammed; Hashim, U.; Noriman, N. Z.; Dahham, Omar S.

    2017-09-01

    Zinc oxide (ZnO) thin films this device to used for many application like chemical sensor, biosensor, solar energy, etc but my project to use for bioactivity(biosensor). Zinc oxide (ZnO) thin films have been grown using sol-gel technique. Characterization was done using Scanning Electron Microscope (SEM), Energy Dispersive X-ray(EDX) and Electrical Measurement(I-V). ZnO thin film was successfully synthesized using low cost sol-gel spin coating method. The coupling of DNA probe to ZnO thin film supports modified with carboxylic acid (COOH) is certainly the best practical method to make DNA immobilization and it does not require any coupling agent which could be a source of variability during the spotting with an automatic device. So, selected this coupling procedure for further experiments. The sensor was tested with initial trial with low concentrated DNA and able to detect detection of the disease effectively. Silicon-on-insulator (SOI) wafer device with ZnO can detect at different concentration in order to valid the device capabilities for detecting development. The lowest concentration 1 µM HPV DNA probe can detect is 0.1 nM HPV target DNA.

  6. Oxide nucleation on thin films of copper during in situ oxidation in an electron microscope

    NASA Technical Reports Server (NTRS)

    Heinemann, K.; Rao, D. B.; Douglass, D. L.

    1975-01-01

    Single-crystal copper thin films were oxidized at an isothermal temperature of 425 C and at an oxygen partial pressure of 0.005 torr. Specimens were prepared by epitaxial vapor deposition onto polished faces of rocksalt and were mounted in a hot stage inside the ultrahigh-vacuum chamber of a high-resolution electron microscope. An induction period of roughly 30 min was established which was independent of the film thickness but depended strongly on the oxygen partial pressure and to exposure to oxygen prior to oxidation. Neither stacking faults nor dislocations were found to be associated with the Cu2O nucleation sites. The experimental data, including results from oxygen dissolution experiments and from repetitive oxidation-reduction-oxidation sequences, fit well into the framework of an oxidation process involving the formation of a surface charge layer, oxygen saturation of the metal with formation of a supersaturated zone near the surface, and nucleation followed by surface diffusion of oxygen and bulk diffusion of copper for lateral and vertical oxide growth, respectively.

  7. Electrical and structural characterization of IZO (indium oxide-zinc oxide) thin films for device applications

    NASA Astrophysics Data System (ADS)

    Yaglioglu, Burag

    Materials for oxide-based transparent electronics have been recently reported in the literature. These materials include various amorphous and crystalline compounds based on multi-component oxides and many of them offer useful combinations of transparency, controllable carrier concentrations, and reasonable n-carrier mobility. In this thesis, the properties of amorphous and crystalline In2O3-10wt%ZnO, IZO, thin films were investigated for their potential use in oxide electronics. The room temperature deposition of this material using DC magnetron sputtering results in the formation of amorphous films. Annealing amorphous IZO films at 500°C in air produces a previously unknown crystalline compound. Using electron diffraction experiments, it is reported that the crystal structure of this compound is based on the high-pressure rhombohedral phase of In2O3. Electrical properties of different phases of IZO were explored and it was concluded that amorphous films offer most promising characteristics for device applications. Therefore, thin film transistors (TFT) were fabricated based on amorphous IZO films where both the channel and metallization layers were deposited from the same target. The carrier densities in the channel and source-drain layers were adjusted by changing the oxygen content in the sputter chamber during deposition. The resulting transistors operate as depletion mode n-channel field effect devices with high saturation mobilities.

  8. Electrical properties of zinc-oxide-based thin-film transistors using strontium-oxide-doped semiconductors

    NASA Astrophysics Data System (ADS)

    Wu, Shao-Hang; Zhang, Nan; Hu, Yong-Sheng; Chen, Hong; Jiang, Da-Peng; Liu, Xing-Yuan

    2015-10-01

    Strontium-zinc-oxide (SrZnO) films forming the semiconductor layers of thin-film transistors (TFTs) are deposited by using ion-assisted electron beam evaporation. Using strontium-oxide-doped semiconductors, the off-state current can be dramatically reduced by three orders of magnitude. This dramatic improvement is attributed to the incorporation of strontium, which suppresses carrier generation, thereby improving the TFT. Additionally, the presence of strontium inhibits the formation of zinc oxide (ZnO) with the hexagonal wurtzite phase and permits the formation of an unusual phase of ZnO, thus significantly changing the surface morphology of ZnO and effectively reducing the trap density of the channel. Project supported by the National Natural Science Foundation of China (Grant No. 6140031454) and the Innovation Program of Chinese Academy of Sciences and State Key Laboratory of Luminescence and Applications.

  9. Raman Spectra of High-κ Dielectric Layers Investigated with Micro-Raman Spectroscopy Comparison with Silicon Dioxide

    PubMed Central

    Borowicz, P.; Taube, A.; Rzodkiewicz, W.; Latek, M.; Gierałtowska, S.

    2013-01-01

    Three samples with dielectric layers from high-κ dielectrics, hafnium oxide, gadolinium-silicon oxide, and lanthanum-lutetium oxide on silicon substrate were studied by Raman spectroscopy. The results obtained for high-κ dielectrics were compared with spectra recorded for silicon dioxide. Raman spectra suggest the similarity of gadolinium-silicon oxide and lanthanum-lutetium oxide to the bulk nondensified silicon dioxide. The temperature treatment of hafnium oxide shows the evolution of the structure of this material. Raman spectra recorded for as-deposited hafnium oxide are similar to the results obtained for silicon dioxide layer. After thermal treatment especially at higher temperatures (600°C and above), the structure of hafnium oxide becomes similar to the bulk non-densified silicon dioxide. PMID:24072982

  10. Ultra-thin solid oxide fuel cells: Materials and devices

    NASA Astrophysics Data System (ADS)

    Kerman, Kian

    Solid oxide fuel cells are electrochemical energy conversion devices utilizing solid electrolytes transporting O2- that typically operate in the 800 -- 1000 °C temperature range due to the large activation barrier for ionic transport. Reducing electrolyte thickness or increasing ionic conductivity can enable lower temperature operation for both stationary and portable applications. This thesis is focused on the fabrication of free standing ultrathin (<100 nm) oxide membranes of prototypical O 2- conducting electrolytes, namely Y2O3-doped ZrO2 and Gd2O3-doped CeO2. Fabrication of such membranes requires an understanding of thin plate mechanics coupled with controllable thin film deposition processes. Integration of free standing membranes into proof-of-concept fuel cell devices necessitates ideal electrode assemblies as well as creative processing schemes to experimentally test devices in a high temperature dual environment chamber. We present a simple elastic model to determine stable buckling configurations for free standing oxide membranes. This guides the experimental methodology for Y 2O3-doped ZrO2 film processing, which enables tunable internal stress in the films. Using these criteria, we fabricate robust Y2O3-doped ZrO2 membranes on Si and composite polymeric substrates by semiconductor and micro-machining processes, respectively. Fuel cell devices integrating these membranes with metallic electrodes are demonstrated to operate in the 300 -- 500 °C range, exhibiting record performance at such temperatures. A model combining physical transport of electronic carriers in an insulating film and electrochemical aspects of transport is developed to determine the limits of performance enhancement expected via electrolyte thickness reduction. Free standing oxide heterostructures, i.e. electrolyte membrane and oxide electrodes, are demonstrated. Lastly, using Y2O3-doped ZrO2 and Gd2O 3-doped CeO2, novel electrolyte fabrication schemes are explored to develop oxide

  11. Fabrication of band gap engineered nanostructured tri-metallic (Mn-Co-Ti) oxide thin films

    NASA Astrophysics Data System (ADS)

    Mansoor, Muhammad Adil; Yusof, Farazila Binti; Nay-Ming, Huang

    2018-04-01

    In continuation of our previous studies on photoelectrochemical (PEC) properties of titanium based composite oxide thin films, an effort is made to develop thin films of 1:1:2 manganese-cobalt-titanium oxide composite, Mn2O3-Co2O3-4TiO2 (MCT), using Co(OAc)2 and a bimetallic manganese-titanium complex, [Mn2Ti4(TFA)8(THF)6(OH)4(O)2].0.4THF (1), where OAc = acetato, TFA = trifluoroacetato and THF = tetrahydrofuran, via aerosol-assisted chemical vapour deposition (AACVD) technique. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and energy dispersive X-ray (EDX) spectroscopic analyses confirmed formation of thin film of Mn2O3-Co2O3-4TiO2 composite material with uniformly distributed agglomerated particles. The average size of 39.5 nm, of the particles embedded inside agglomerates, was estimated by Scherer's equation. Further, UV-Vis spectroscopy was used to estimate the band gap of 2.62 eV for MCT composite thin film.

  12. Amorphous and Crystalline Vanadium Oxides as High-Energy and High-Power Cathodes for Three-Dimensional Thin-Film Lithium Ion Batteries.

    PubMed

    Mattelaer, Felix; Geryl, Kobe; Rampelberg, Geert; Dendooven, Jolien; Detavernier, Christophe

    2017-04-19

    Flexible wearable electronics and on-chip energy storage for wireless sensors drive rechargeable batteries toward thin-film lithium ion batteries. To enable more charge storage on a given surface, higher energy density materials are required, while faster energy storage and release can be obtained by going to thinner films. Vanadium oxides have been examined as cathodes in classical and thin-film lithium ion batteries for decades, but amorphous vanadium oxide thin films have been mostly discarded. Here, we investigate the use of atomic layer deposition, which enables electrode deposition on complex three-dimensional (3D) battery architectures, to obtain both amorphous and crystalline VO 2 and V 2 O 5 , and we evaluate their thin-film cathode performance. Very high volumetric capacities are found, alongside excellent kinetics and good cycling stability. Better kinetics and higher volumetric capacities were observed for the amorphous vanadium oxides compared to their crystalline counterparts. The conformal deposition of these vanadium oxides on silicon micropillar structures is demonstrated. This study shows the promising potential of these atomic layer deposited vanadium oxides as cathodes for 3D all-solid-state thin-film lithium ion batteries.

  13. Characterization of zinc oxide thin film for pH detector

    NASA Astrophysics Data System (ADS)

    Hashim, Uda; Fathil, M. F. M.; Arshad, M. K. Md; Gopinath, Subash C. B.; Uda, M. N. A.

    2017-03-01

    This paper presents the fabrication process of the zinc oxide thin films for using to act as pH detection by using different PH solution. Sol-gel solution technique is used for preparing zinc oxide seed solution, followed by metal oxide deposition process by using spin coater on the silicon dioxide. Silicon dioxide layer is grown on the silicon wafer, then, ZnO seed solution is deposited on the silicon layer, baked, and annealing process carried on to undergo the characterization of its surface morphology, structural and crystalline phase. Electrical characterization is showed by using PH 4, 7, and 10 is dropped on the surface of the die, in addition, APTES solution is used as linker and also as a references of the electrical characterization.

  14. Technical Note: A simulation study on the feasibility of radiotherapy dose enhancement with calcium tungstate and hafnium oxide nano- and microparticles.

    PubMed

    Sherck, Nicholas J; Won, You-Yeon

    2017-12-01

    To assess the radiotherapy dose enhancement (RDE) potential of calcium tungstate (CaWO 4 ) and hafnium oxide (HfO 2 ) nano- and microparticles (NPs). A Monte Carlo simulation study was conducted to gauge their respective RDE potentials relative to that of the broadly studied gold (Au) NP. The study was warranted due to the promising clinical and preclinical studies involving both CaWO 4 and HfO 2 NPs as RDE agents in the treatment of various types of cancers. The study provides a baseline RDE to which future experimental RDE trends can be compared to. All three materials were investigated in silico with the software Penetration and Energy Loss of Positrons and Electrons (PENELOPE 2014) developed by Francesc Salvat and distributed in the United States by the Radiation Safety Information Computational Center (RSICC) at Oak Ridge National Laboratory. The work utilizes the extensively studied Au NP as the "gold standard" for a baseline. The key metric used in the evaluation of the materials was the local dose enhancement factor (DEF loc ). An additional metric used, termed the relative enhancement ratio (RER), evaluates material performance at the same mass concentrations. The results of the study indicate that Au has the strongest RDE potential using the DEF loc metric. HfO 2 and CaWO 4 both underperformed relative to Au with lower DEF loc of 2-3 × and 4-100 ×, respectively. The computational investigation predicts the RDE performance ranking to be: Au > HfO 2 > CaWO 4 . © 2017 American Association of Physicists in Medicine.

  15. Nanoscale reduction of graphene oxide thin films and its characterization

    NASA Astrophysics Data System (ADS)

    Lorenzoni, M.; Giugni, A.; Di Fabrizio, E.; Pérez-Murano, Francesc; Mescola, A.; Torre, B.

    2015-07-01

    In this paper, we report on a method to reduce thin films of graphene oxide (GO) to a spatial resolution better than 100 nm over several tens of micrometers by means of an electrochemical scanning probe based lithography. In situ tip-current measurements show that an edged drop in electrical resistance characterizes the reduced areas, and that the reduction process is, to a good approximation, proportional to the applied bias between the onset voltage and the saturation thresholds. An atomic force microscope (AFM) quantifies the drop of the surface height for the reduced profile due to the loss of oxygen. Complementarily, lateral force microscopy reveals a homogeneous friction coefficient of the reduced regions that is remarkably lower than that of native graphene oxide, confirming a chemical change in the patterned region. Micro Raman spectroscopy, which provides access to insights into the chemical process, allows one to quantify the restoration and de-oxidation of the graphitic network driven by the electrochemical reduction and to determine characteristic length scales. It also confirms the homogeneity of the process over wide areas. The results shown were obtained from accurate analysis of the shift, intensity and width of Raman peaks for the main vibrational bands of GO and reduced graphene oxide (rGO) mapped over large areas. Concerning multilayered GO thin films obtained by drop-casting we have demonstrated an unprecedented lateral resolution in ambient conditions as well as an improved control, characterization and understanding of the reduction process occurring in GO randomly folded multilayers, useful for large-scale processing of graphene-based material.

  16. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    PubMed

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  17. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    PubMed Central

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications. PMID:28435867

  18. Ultra-thin Oxide Membranes: Synthesis and Carrier Transport

    NASA Astrophysics Data System (ADS)

    Sim, Jai Sung

    Self-supported freestanding membranes are films that are devoid of any underlying supporting layers. The key advantage of such structures is that, due to the lack of substrate effects - both mechanical and chemical, the true native properties of the material can be probed. This is crucial since many of the studies done on materials that are used as freestanding membranes are done as films clamped to substrates or in the bulk form. This thesis focuses on the synthesis and fabrication as well as electrical studies of free standing ultrathin < 40nm oxide membranes. It also is one of the first demonstrations for electrically probing nanoscale freestanding oxide membranes. Fabrication of such membranes is non-trivial as oxide materials are often brittle and difficult to handle. Therefore, it requires an understanding of thin plate mechanics coupled with controllable thin film deposition process. Taking things a step further, to electrically probe these membranes required design of complex device architecture and extensive optimization of nano-fabrication processes. The challenges and optimized fabrication method of such membranes are demonstrated. Three materials are probed in this study, VO2, TiO2, and CeO2. VO2 for understanding structural considerations for electronic phase change and nature of ionic liquid gating, TiO2 and CeO2 for understanding surface conduction properties and surface chemistry. The VO2 study shows shift in metal-insulator transition (MIT) temperature arising from stress relaxation and opening of the hysteresis. The ionic liquid gating studies showed reversible modulation of channel resistance and allowed distinguishing bulk process from the surface effects. Comparing the ionic liquid gating experiments to hydrogen doping experiments illustrated that ionic liquid gating can be a surface limited electrostatic effect, if the critical voltage threshold is not exceeded. TiO2 study shows creation of non-stoichiometric forms under ion milling. Utilizing

  19. Vectorial method used to monitor an evolving system: Titanium oxide thin films under UV illumination

    NASA Astrophysics Data System (ADS)

    Béchu, Solène; Humbert, Bernard; Fernandez, Vincent; Fairley, Neal; Richard-Plouet, Mireille

    2018-07-01

    Under in situ UV illumination, some materials present evolution of their opto-electronic properties that can be monitored by spectroscopy. We present here a mathematical method which can be applied to spectroscopic measurements when an evolving set of data is recorded: the vectorial method. The investigations and quantifications are performed by Infrared spectroscopy and XPS on organic-inorganic thin films prepared by sol-gel. The inorganic part of these hybrid thin films contains Ti oxide-network based whereas the organic part is composed of N,N-dimethylformamide and its hydrolysis products. Under UV illumination, those films exhibit intermediate bandgap behavior due to the photoreduction of Ti(IV) in Ti(III). The role of the solvent in the thin film is underlined during the process of photoreduction together with an understanding of the condensation of the Ti oxide-based network, as these evolutions are critical for the opto-electronic properties of those thin films.

  20. Transparent Oxide Thin-Film Transistors: Production, Characterization and Integration

    NASA Astrophysics Data System (ADS)

    Barquinha, Pedro Miguel Candido

    This dissertation is devoted to the study of the emerging area of transparent electronics, summarizing research work regarding the development of n-type thin-film transistors (TFTs) based on sputtered oxide semiconductors. All the materials are produced without intentional substrate heating, with annealing temperatures of only 150-200 °C being used to optimize transistor performance. The work is based on the study and optimization of active semiconductors from the gallium-indium-zinc oxide system, including both the binary compounds Ga2O3, In2O3 and ZnO, as well as ternary and quaternary oxides based on mixtures of those, such as IZO and GIZO with different atomic ratios. Several topics are explored, including the study and optimization of the oxide semiconductor thin films, their application as channel layers on TFTs and finally the implementation of the optimized processes to fabricate active matrix backplanes to be integrated in liquid crystal display (LCD) prototypes. Sputtered amorphous dielectrics with high dielectric constant (high-kappa) based on mixtures of tantalum-silicon or tantalum-aluminum oxides are also studied and used as the dielectric layers on fully transparent TFTs. These devices also include transparent and highly conducting IZO thin films as source, drain and gate electrodes. Given the flexibility of the sputtering technique, oxide semiconductors are analyzed regarding several deposition parameters, such as oxygen partial pressure and deposition pressure, as well as target composition. One of the most interesting features of multicomponent oxides such as IZO and GIZO is that, due to their unique electronic configuration and carrier transport mechanism, they allow to obtain amorphous structures with remarkable electrical properties, such as high hall-effect mobility that exceeds 60 cm2 V -1 s-1 for IZO. These properties can be easily tuned by changing the processing conditions and the atomic ratios of the multicomponent oxides, allowing to

  1. Challenges and opportunities for multi-functional oxide thin films for voltage tunable radio frequency/microwave components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Subramanyam, Guru, E-mail: gsubramanyam1@udayton.edu; Cole, M. W., E-mail: melanie.w.cole.civ@mail.mil; Sun, Nian X.

    2013-11-21

    There has been significant progress on the fundamental science and technological applications of complex oxides and multiferroics. Among complex oxide thin films, barium strontium titanate (BST) has become the material of choice for room-temperature-based voltage-tunable dielectric thin films, due to its large dielectric tunability and low microwave loss at room temperature. BST thin film varactor technology based reconfigurable radio frequency (RF)/microwave components have been demonstrated with the potential to lower the size, weight, and power needs of a future generation of communication and radar systems. Low-power multiferroic devices have also been recently demonstrated. Strong magneto-electric coupling has also been demonstratedmore » in different multiferroic heterostructures, which show giant voltage control of the ferromagnetic resonance frequency of more than two octaves. This manuscript reviews recent advances in the processing, and application development for the complex oxides and multiferroics, with the focus on voltage tunable RF/microwave components. The over-arching goal of this review is to provide a synopsis of the current state-of the-art of complex oxide and multiferroic thin film materials and devices, identify technical issues and technical challenges that need to be overcome for successful insertion of the technology for both military and commercial applications, and provide mitigation strategies to address these technical challenges.« less

  2. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type <1 0 0> Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  3. Inkjet-printed p-type nickel oxide thin-film transistor

    NASA Astrophysics Data System (ADS)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  4. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    PubMed Central

    Noviyana, Imas; Lestari, Annisa Dwi; Putri, Maryane; Won, Mi-Sook; Bae, Jong-Seong; Heo, Young-Woo; Lee, Hee Young

    2017-01-01

    Top-contact bottom-gate thin film transistors (TFTs) with zinc-rich indium zinc tin oxide (IZTO) active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C. PMID:28773058

  5. Trap States of the Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Yu, Kyeong Min; Yuh, Jin Tae; Park, Sang Hee Ko; Ryu, Min Ki; Yun, Eui Jung; Bae, Byung Seong

    2013-10-01

    We investigated the temperature dependent recovery of the threshold voltage shift observed in both ZnO and indium gallium zinc oxide (IGZO) thin film transistors (TFTs) after application of gate bias and light illumination. Two types of recovery were observed for both the ZnO and IGZO TFTs; low temperature recovery (below 110 °C) which is attributed to the trapped charge and high temperature recovery (over 110 °C) which is related to the annihilation of trap states generated during stresses. From a comparison study of the recovery rate with the analysis of hydrogen diffusion isochronal annealing, a similar behavior was observed for both TFT recovery and hydrogen diffusion. This result suggests that hydrogen plays an important role in the generation and annihilation of trap states in oxide TFTs under gate bias or light illumination stresses.

  6. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  7. Temperature Dependence of the Seebeck Coefficient in Zinc Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Noori, Amirreza; Masoumi, Saeed; Hashemi, Najmeh

    2017-12-01

    Thermoelectric devices are reliable tools for converting waste heat into electricity as they last long, produce no noise or vibration, have no moving elements, and their light weight makes them suitable for the outer space usage. Materials with high thermoelectric figure of merit (zT) have the most important role in the fabrication of efficient thermoelectric devices. Metal oxide semiconductors, specially zinc oxide has recently received attention as a material suitable for sensor, optoelectronic and thermoelectric device applications because of their wide direct bandgap, chemical stability, high-energy radiation endurance, transparency and acceptable zT. Understanding the thermoelectric properties of the undoped ZnO thin films can help design better ZnO-based devices. Here, we report the results of our experimental work on the thermoelectric properties of the undoped polycrystalline ZnO thin films. These films are deposited on alumina substrates by thermal evaporation of zinc in vacuum followed by a controlled oxidation process in air carried out at the 350-500 °C temperature range. The experimental setup including gradient heaters, thermometry system and Seebeck voltage measurement equipment for high resistance samples is described. Seebeck voltage and electrical resistivity of the samples are measured at different conditions. The observed temperature dependence of the Seebeck coefficient is discussed.

  8. Hafnium isotope evidence for a transition in the dynamics of continental growth 3.2 Gyr ago.

    PubMed

    Næraa, T; Scherstén, A; Rosing, M T; Kemp, A I S; Hoffmann, J E; Kokfelt, T F; Whitehouse, M J

    2012-05-30

    Earth's lithosphere probably experienced an evolution towards the modern plate tectonic regime, owing to secular changes in mantle temperature. Radiogenic isotope variations are interpreted as evidence for the declining rates of continental crustal growth over time, with some estimates suggesting that over 70% of the present continental crustal reservoir was extracted by the end of the Archaean eon. Patterns of crustal growth and reworking in rocks younger than three billion years (Gyr) are thought to reflect the assembly and break-up of supercontinents by Wilson cycle processes and mark an important change in lithosphere dynamics. In southern West Greenland numerous studies have, however, argued for subduction settings and crust growth by arc accretion back to 3.8 Gyr ago, suggesting that modern-day tectonic regimes operated during the formation of the earliest crustal rock record. Here we report in situ uranium-lead, hafnium and oxygen isotope data from zircons of basement rocks in southern West Greenland across the critical time period during which modern-like tectonic regimes could have initiated. Our data show pronounced differences in the hafnium isotope-time patterns across this interval, requiring changes in the characteristics of the magmatic protolith. The observations suggest that 3.9-3.5-Gyr-old rocks differentiated from a >3.9-Gyr-old source reservoir with a chondritic to slightly depleted hafnium isotope composition. In contrast, rocks formed after 3.2 Gyr ago register the first additions of juvenile depleted material (that is, new mantle-derived crust) since 3.9 Gyr ago, and are characterized by striking shifts in hafnium isotope ratios similar to those shown by Phanerozoic subduction-related orogens. These data suggest a transitional period 3.5-3.2 Gyr ago from an ancient (3.9-3.5 Gyr old) crustal evolutionary regime unlike that of modern plate tectonics to a geodynamic setting after 3.2 Gyr ago that involved juvenile crust generation by plate

  9. Polyelectrolyte-mediated assembly of copper-phthalocyanine tetrasulfonate multilayers and the subsequent production of nanoparticulate copper oxide thin films.

    PubMed

    Chickneyan, Zarui Sara; Briseno, Alejandro L; Shi, Xiangyang; Han, Shubo; Huang, Jiaxing; Zhou, Feimeng

    2004-07-01

    An approach to producing films of nanometer-sized copper oxide particulates, based on polyelectrolyte-mediated assembly of the precursor, copper(II)phthalocyanine tetrasulfonate (CPTS), is described. Multilayered CPTS and polydiallyldimethylammonium chloride (PDADMAC) were alternately assembled on different planar substrates via the layer-by-layer (LbL) procedure. The growth of CPTS multilayers was monitored by UV-visible spectrometry and quartz crystal microbalance (QCM) measurements. Both the UV-visible spectra and the QCM data showed that a fixed amount of CPTS could be attached to the substrate surface for a given adsorption cycle. Cyclic voltammograms at the CPTS/PDADMAC-covered gold electrode exhibited a decrease in peak currents with the layer number, indicating that the permeability of CPTS multilayers on the electrodes had diminished. When these CPTS multilayered films were calcined at elevated temperatures, uniform thin films composed of nanoparticulate copper oxide could be produced. Ellipsometry showed that the thickness of copper oxide nanoparticulate films could be precisely tailored by varying the thickness of CPTS multilayer films. The morphology and roughness of CPTS multilayer and copper oxide thin films were characterized by atomic force microscopy. X-ray diffraction (XRD) measurements indicated that these thin films contained both CuO and Cu2O nanoparticles. The preparation of such copper oxide thin films with the use of metal complex precursors represents a new route for the synthesis of inorganic oxide films with a controlled thickness.

  10. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  11. Spatially resolved variations in reflectivity across iron oxide thin films

    NASA Astrophysics Data System (ADS)

    Kelley, Chris S.; Thompson, Sarah M.; Gilks, Daniel; Sizeland, James; Lari, Leonardo; Lazarov, Vlado K.; Matsuzaki, Kosuke; LeFrançois, Stéphane; Cinque, Gianfelice; Dumas, Paul

    2017-11-01

    The spin polarising properties of the iron oxide magnetite (Fe3O4) make it attractive for use in spintronic devices, but its sensitivity to compositional and structural variations make it challenging to prepare reliably. Infrared microspectroscopy and modelling are used to determine the spatial variation in the chemical composition of three thin films of iron oxide; one prepared by pulsed laser deposition (PLD), one by molecular beam epitaxy (MBE) deposition of iron whilst simultaneously flowing oxygen into the chamber and one by flowing oxygen only once deposition is complete. The technique is easily able to distinguish between films which contain metallic iron and different iron oxide phases as well as spatial variations in composition across the films. The film grown by post-oxidising iron is spatially uniform but not fully oxidised, the film grown by simultaneously oxidising iron showed spatial variation in oxide composition while the film grown by PLD was spatially uniform magnetite.

  12. Lowering the environmental impact of high-kappa/ metal gate stack surface preparation processes

    NASA Astrophysics Data System (ADS)

    Zamani, Davoud

    ABSTRACT Hafnium based oxides and silicates are promising high-κ dielectrics to replace SiO2 as gate material for state-of-the-art semiconductor devices. However, integrating these new high-κ materials into the existing complementary metal-oxide semiconductor (CMOS) process remains a challenge. One particular area of concern is the use of large amounts of HF during wet etching of hafnium based oxides and silicates. The patterning of thin films of these materials is accomplished by wet etching in HF solutions. The use of HF allows dissolution of hafnium as an anionic fluoride complex. Etch selectivity with respect to SiO2 is achieved by appropriately diluting the solutions and using slightly elevated temperatures. From an ESH point of view, it would be beneficial to develop methods which would lower the use of HF. The first objective of this study is to find new chemistries and developments of new wet etch methods to reduce fluoride consumption during wet etching of hafnium based high-κ materials. Another related issue with major environmental impact is the usage of large amounts of rinsing water for removal of HF in post-etch cleaning step. Both of these require a better understanding of the HF interaction with the high-κ surface during the etching, cleaning, and rinsing processes. During the rinse, the cleaning chemical is removed from the wafers. Ensuring optimal resource usage and cycle time during the rinse requires a sound understanding and quantitative description of the transport effects that dominate the removal rate of the cleaning chemicals from the surfaces. Multiple processes, such as desorption and re-adsorption, diffusion, migration and convection, all factor into the removal rate of the cleaning chemical during the rinse. Any of these processes can be the removal rate limiting process, the bottleneck of the rinse. In fact, the process limiting the removal rate generally changes as the rinse progresses, offering the opportunity to save resources

  13. Nanostructured tungsten trioxide thin films synthesized for photoelectrocatalytic water oxidation: a review.

    PubMed

    Zhu, Tao; Chong, Meng Nan; Chan, Eng Seng

    2014-11-01

    The recent developments of nanostructured WO3 thin films synthesized through the electrochemical route of electrochemical anodization and cathodic electrodeposition for the application in photoelectrochemical (PEC) water splitting are reviewed. The key fundamental reaction mechanisms of electrochemical anodization and cathodic electrodeposition methods for synthesizing nanostructured WO3 thin films are explained. In addition, the effects of metal oxide precursors, electrode substrates, applied potentials and current densities, and annealing temperatures on size, composition, and thickness of the electrochemically synthesized nanostructured WO3 thin films are elucidated in detail. Finally, a summary is given for the general evaluation practices used to calculate the energy conversion efficiency of nanostructured WO3 thin films and a recommendation is provided to standardize the presentation of research results in the field to allow for easy comparison of reported PEC efficiencies in the near future. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Positron annihilation and X-ray diffraction studies on tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Prabakar, K.; Abhaya, S.; Krishnan, R.; Kalavathi, S.; Dash, S.; Jayapandian, J.; Amarendra, G.

    2009-04-01

    Positron annihilation spectroscopy along with glancing incidence X-ray diffraction have been used to investigate tin oxide thin films grown on Si by pulsed laser deposition. The films were prepared at room temperature and at 670 K under oxygen partial pressure. As-grown samples are amorphous and are found to contain large concentration of open volume sites (vacancy defects). Post-deposition annealing of as-grown samples at 970 K is found to drastically reduce the number of open volume sites and the film becomes crystalline. However, film grown under elevated temperature and under partial pressure of oxygen is found to exhibit a lower S-parameter, indicating lower defect concentration. Based on the analysis of experimental positron annihilation results, the defect-sensitive S-parameter and the overlayer thickness of tin oxide thin films are deduced. S- W correlation plots exhibit distinct positron trapping defect states in three samples.

  15. Consequence of oxidant to monomer ratio on optical and structural properties of Polypyrrole thin film deposited by oxidation polymerization technique

    NASA Astrophysics Data System (ADS)

    Jatratkar, Aviraj A.; Yadav, Jyotiprakash B.; Kamat, Sandip V.; Patil, Vaishali S.; Mahadik, D. B.; Barshilia, Harish C.; Puri, Vijaya; Puri, R. K.

    2015-05-01

    This paper reports the effect of oxidant to monomer (O/M) ratio on optical and structural properties of Polypyrrole (PPy) thin film deposited by chemical oxidation polymerization technique. Noticeable changes have observed in the properties of PPy thin films with O/M ratio. Cauliflower structure have been observed in FE-SEM images, wherein grain size is observed to decrease with increase in O/M ratio. AFM results are in good agreement with FE-SEM results. From FTIR spectra it is found that, PPy is in highly oxidized form at low O/M ratio but oxidation decreased with increase in O/M ratio. Also C-C stretching vibrations of PPy ring is decreased whereas C=C stretching is increased with ratio. Absorption peak around 450 nm corresponds to π-π* transition and around 800 nm for polarons and bipolarons. The intensity of such peaks confirms the conductivity of PPy, which is observed maximum at low O/M ratio and found to decrease with increase in ratio. Optical band gap (BG) is found to increase from 2.07 eV to 2.11 eV with increase in the O/M ratio.

  16. Effect of cadmium incorporation on the properties of zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Bharath, S. P.; Bangera, Kasturi V.; Shivakumar, G. K.

    2018-02-01

    Cd x Zn1- x O (0 ≤ x ≤ 0.20) thin films are deposited on soda lime glass substrates using spray pyrolysis technique. To check the thermal stability, Cd x Zn1- x O thin films are subjected to annealing. Both the as-deposited and annealed Cd x Zn1- x O thin films are characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and energy-dispersive X-ray analysis (EDAX) to check the structural, surface morphological and compositional properties, respectively. XRD analysis reveals that the both as-deposited and annealed Cd x Zn1- x O thin films are (002) oriented with wurtzite structure. SEM studies confirm that as-deposited, as well as annealed Cd x Zn1- x O thin films are free from pinholes and cracks. Compositional analysis shows the deficiency in Cd content after annealing. Optical properties evaluated from UV-Vis spectroscopy shows red shift in the band gap for Cd x Zn1- x O thin films. Electrical property measured using two probe method shows a decrease in the resistance after Cd incorporation. The results indicate that cadmium can be successfully incorporated in zinc oxide thin films to achieve structural changes in the properties of films.

  17. Structural changes in graphene oxide thin film by electron-beam irradiation

    NASA Astrophysics Data System (ADS)

    Tyagi, Chetna; Lakshmi, G. B. V. S.; Kumar, Sunil; Tripathi, Ambuj; Avasthi, D. K.

    2016-07-01

    Although we have a whole class of 2D materials, graphene has drawn much attention for its excellent electronic, optical, thermal and mechanical properties. Recent researches have shown its large scale production by the reduction of graphene oxide either thermally, chemically or electrochemically. Although the structure of graphene oxide is inhomogeneous and hence complicated due to the presence of organic moieties e.g. epoxy, carboxylic acid, hydroxyl groups etc., its properties can be tuned by reduction according to desired application. The aim of this work is to synthesize continuous thin film of graphene oxide using commercially available graphene oxide solution and to study its reduction by 25 keV electron beam irradiation at fluences varying from 2 × 1011 to 2 × 1013 e-/cm2. Our studies using X-ray diffraction, Raman microscopy and UV-Vis spectroscopy showed that electron-beam irradiation is an effective tool for reduction of graphene oxide and for tuning its band gap.

  18. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  19. Graphene Oxide/Poly(3-hexylthiophene) Nanocomposite Thin-Film Phototransistor for Logic Circuit Applications

    NASA Astrophysics Data System (ADS)

    Mansouri, S.; Coskun, B.; El Mir, L.; Al-Sehemi, Abdullah G.; Al-Ghamdi, Ahmed; Yakuphanoglu, F.

    2018-04-01

    Graphene is a sheet-structured material that lacks a forbidden band, being a good candidate for use in radiofrequency applications. We have elaborated graphene-oxide-doped poly(3-hexylthiophene) nanocomposite to increase the interlayer distance and thereby open a large bandgap for use in the field of logic circuits. Graphene oxide/poly(3-hexylthiophene) (GO/P3HT) nanocomposite thin-film transistors (TFTs) were fabricated on silicon oxide substrate by spin coating method. The current-voltage ( I- V) characteristics of TFTs with various P3HT compositions were studied in the dark and under light illumination. The photocurrent, charge carrier mobility, subthreshold voltage, density of interface states, density of occupied states, and I ON/ I OFF ratio of the devices strongly depended on the P3HT weight ratio in the composite. The effects of white-light illumination on the electrical parameters of the transistors were investigated. The results indicated that GO/P3HT nanocomposite thin-film transistors have high potential for use in radiofrequency applications, and their feasibility for use in digital applications has been demonstrated.

  20. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    NASA Astrophysics Data System (ADS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  1. Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aryanto, Didik, E-mail: didi027@lipi.go.id; Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah; Marwoto, Putut

    Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtainedmore » at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.« less

  2. Electrical Properties and Interfacial Studies of HfxTi1–xO2 High Permittivity Gate Insulators Deposited on Germanium Substrates

    PubMed Central

    Lu, Qifeng; Mu, Yifei; Roberts, Joseph W.; Althobaiti, Mohammed; Dhanak, Vinod R.; Wu, Jingjin; Zhao, Chun; Zhao, Ce Zhou; Zhang, Qian; Yang, Li; Mitrovic, Ivona Z.; Taylor, Stephen; Chalker, Paul R.

    2015-01-01

    In this research, the hafnium titanate oxide thin films, TixHf1–xO2, with titanium contents of x = 0, 0.25, 0.9, and 1 were deposited on germanium substrates by atomic layer deposition (ALD) at 300 °C. The approximate deposition rates of 0.2 Å and 0.17 Å per cycle were obtained for titanium oxide and hafnium oxide, respectively. X-ray Photoelectron Spectroscopy (XPS) indicates the formation of GeOx and germanate at the interface. X-ray diffraction (XRD) indicates that all the thin films remain amorphous for this deposition condition. The surface roughness was analyzed using an atomic force microscope (AFM) for each sample. The electrical characterization shows very low hysteresis between ramp up and ramp down of the Capacitance-Voltage (CV) and the curves are indicative of low trap densities. A relatively large leakage current is observed and the lowest leakage current among the four samples is about 1 mA/cm2 at a bias of 0.5 V for a Ti0.9Hf0.1O2 sample. The large leakage current is partially attributed to the deterioration of the interface between Ge and TixHf1–xO2 caused by the oxidation source from HfO2. Consideration of the energy band diagrams for the different materials systems also provides a possible explanation for the observed leakage current behavior. PMID:28793705

  3. Au Nanoparticle Sub-Monolayers Sandwiched between Sol-Gel Oxide Thin Films

    PubMed Central

    Della Gaspera, Enrico; Menin, Enrico; Sada, Cinzia

    2018-01-01

    Sub-monolayers of monodisperse Au colloids with different surface coverage have been embedded in between two different metal oxide thin films, combining sol-gel depositions and proper substrates functionalization processes. The synthetized films were TiO2, ZnO, and NiO. X-ray diffraction shows the crystallinity of all the oxides and verifies the nominal surface coverage of Au colloids. The surface plasmon resonance (SPR) of the metal nanoparticles is affected by both bottom and top oxides: in fact, the SPR peak of Au that is sandwiched between two different oxides is centered between the SPR frequencies of Au sub-monolayers covered with only one oxide, suggesting that Au colloids effectively lay in between the two oxide layers. The desired organization of Au nanoparticles and the morphological structure of the prepared multi-layered structures has been confirmed by Rutherford backscattering spectrometry (RBS), Secondary Ion Mass Spectrometry (SIMS), and Scanning Electron Microscopy (SEM) analyses that show a high quality sandwich structure. The multi-layered structures have been also tested as optical gas sensors. PMID:29538338

  4. Transparent solar antenna of 28 GHz using transparent conductive oxides (TCO) thin film

    NASA Astrophysics Data System (ADS)

    Ali, N. I. Mohd; Misran, N.; Mansor, M. F.; Jamlos, M. F.

    2017-05-01

    This paper presents the analysis of 28GHz solar patch antenna using the variations of transparent conductive oxides (TCO) thin film as the radiating patch. Solar antenna is basically combining the function of antenna and solar cell into one device and helps to maximize the usage of surface area. The main problem of the existing solar antenna is the radiating patch which made of nontransparent material, such as copper, shadowing the solar cell and degrades the total solar efficiency. Hence, by using the transparent conductive oxides (TCO) thin film as the radiating patch, this problem can be tackled. The TCO thin film used is varied to ITO, FTO, AgHT-4, and AgHT-8 along with glass as substrate. The simulation of the antenna executed by using Computer Simulation Technology (CST) Microwave Studio software demonstrated at 28 GHz operating frequency for 5G band applications. The performance of the transparent antennas is compared with each other and also with the nontransparent patch antenna that using Rogers RT5880 as substrate, operating at the same resonance frequency and then, the material that gives the best performance is identified.

  5. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ong, Hui-Yng; School of Engineering, Nanyang Polytechnic, Singapore 569830; Shrestha, Milan

    2015-09-28

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window.

  6. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  7. Swift heavy ion induced topography changes of Tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Jaiswal, Manoj K.; Kumar, Avesh; Kanjilal, D.; Mohanty, T.

    2012-12-01

    Monodisperse tin oxide nanocrystalline thin films are grown on silicon substrates by electron beam evaporation method followed by 100 MeV silver ion bombardment with varying ion fluence from 5 × 1011 ions cm-2 to 1 × 1013 ions cm-2 at constant ion flux. Enhancement of crystallinity of thin films with fluence is observed from glancing angle X-ray diffraction studies. Morphological studies by atomic force microscopy reveal the changes in grain size from 25 nm to 44 nm with variation in ion fluence. The effect of initial surface roughness and adatom mobility on topography is reported. In this work correlation between ion beam induced defect concentration with topography and grain size distribution is emphasized.

  8. Work function and quantum efficiency study of metal oxide thin films on Ag(100)

    NASA Astrophysics Data System (ADS)

    Chang, V.; Noakes, T. C. Q.; Harrison, N. M.

    2018-04-01

    Increasing the quantum efficiency (QE) of metal photocathodes is in the design and development of photocathodes for free-electron laser applications. The growth of metal oxide thin films on certain metal surfaces has previously been shown to reduce the work function (WF). Using a photoemission model B. Camino et al. [Comput. Mater. Sci. 122, 331 (2016), 10.1016/j.commatsci.2016.05.025] based on the three-step model combined with density functional theory calculations we predict that the growth of a finite number of MgO(100) or BaO(100) layers on the Ag(100) surface increases significantly the QE compared with the clean Ag(100) surface for a photon energy of 4.7 eV. Different mechanisms for affecting the QE are identified for the different metal oxide thin films. The addition of MgO(100) increases the QE due to the reduction of the WF and the direct excitation of electrons from the Ag surface to the MgO conduction band. For BaO(100) thin films, an additional mechanism is in operation as the oxide film also photoemits at this energy. We also note that a significant increase in the QE for photons with an energy of a few eV above the WF is achieved due to an increase in the inelastic mean-free path of the electrons.

  9. Solution-Processed Gallium–Tin-Based Oxide Semiconductors for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Jungwon; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the effects of gallium (Ga) and tin (Sn) compositions on the structural and chemical properties of Ga–Sn-mixed (Ga:Sn) oxide films and the electrical properties of Ga:Sn oxide thin-film transistors (TFTs). The thermogravimetric analysis results indicate that solution-processed oxide films can be produced via thermal annealing at 500 °C. The oxygen deficiency ratio in the Ga:Sn oxide film increased from 0.18 (Ga oxide) and 0.30 (Sn oxide) to 0.36, while the X-ray diffraction peaks corresponding to Sn oxide significantly reduced. The Ga:Sn oxide film exhibited smaller grains compared to the nanocrystalline Sn oxide film, while the Ga oxide film exhibited an amorphous morphology. We found that the electrical properties of TFTs significantly improve by mixing Ga and Sn. Here, the optimum weight ratio of the constituents in the mixture of Ga and Sn precursor sols was determined to be 1.0:0.9 (Ga precursor sol:Sn precursor sol) for application in the solution-processed Ga:Sn oxide TFTs. In addition, when the Ga(1.0):Sn(0.9) oxide film was thermally annealed at 900 °C, the field-effect mobility of the TFT was notably enhanced from 0.02 to 1.03 cm2/Vs. Therefore, the mixing concentration ratio and annealing temperature are crucial for the chemical and morphological properties of solution-processed Ga:Sn oxide films and for the TFT performance. PMID:29283408

  10. Multi-layer thin-film electrolytes for metal supported solid oxide fuel cells

    NASA Astrophysics Data System (ADS)

    Haydn, Markus; Ortner, Kai; Franco, Thomas; Uhlenbruck, Sven; Menzler, Norbert H.; Stöver, Detlev; Bräuer, Günter; Venskutonis, Andreas; Sigl, Lorenz S.; Buchkremer, Hans-Peter; Vaßen, Robert

    2014-06-01

    A key to the development of metal-supported solid oxide fuel cells (MSCs) is the manufacturing of gas-tight thin-film electrolytes, which separate the cathode from the anode. This paper focuses the electrolyte manufacturing on the basis of 8YSZ (8 mol.-% Y2O3 stabilized ZrO2). The electrolyte layers are applied by a physical vapor deposition (PVD) gas flow sputtering (GFS) process. The gas-tightness of the electrolyte is significantly improved when sequential oxidic and metallic thin-film multi-layers are deposited, which interrupt the columnar grain structure of single-layer electrolytes. Such electrolytes with two or eight oxide/metal layers and a total thickness of about 4 μm obtain leakage rates of less than 3 × 10-4 hPa dm3 s-1 cm-2 (Δp: 100 hPa) at room temperature and therefore fulfill the gas tightness requirements. They are also highly tolerant with respect to surface flaws and particulate impurities which can be present on the graded anode underground. MSC cell tests with double-layer and multilayer electrolytes feature high power densities more than 1.4 W cm-2 at 850 °C and underline the high potential of MSC cells.

  11. Combinatorial study of zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  12. Pulsed laser deposited metal oxide thin films mediated controlled adsorption of proteins

    NASA Astrophysics Data System (ADS)

    Kim, Se Jin

    Several metal oxide thin films were grown on Si substrate by pulsed laser deposition for controlling adsorption of proteins. No intentional heating of substrate and introduction of oxygen gas during growth were employed. Additionally, fibrinogen, bovine serum albumin (BSA), and lysozyme were used as model protein in this study. The film properties such as cyratllinity, surface roughness, surface electrical charge and chemistry were investigated by many techniques in order to obtain the relationship with protein adsorption. Firstly, as grown Ta2O5 and ZnO thin film were used to study the effects of surface charge on the behaviors of BSA and lysozyme adsorption. The protein thickness results by ellipsometry showed that negatively charged Ta2O5 had a stronger affinity to positively charged lysozyme, while positively charged ZnO had a stronger affinity to negatively charged BSA. The results confirmed electrostatic interaction due to surface charge is one of main factors for determining adsorption of proteins. Furthermore, annealing studies were performed by heat treatment of as grown Ta2O5 and ZnO at 800°C in air ambience. Annealed Ta2O5 thin film had almost wetting property (from 10.02° to less than 1˜2°) and the change of cystallinity (from amorphous to cyrsalline) while annealed ZnO thin film had a reduced contact angle (from 75.65° to 39.41°) and remained to crystalline structure. The fibrinogen thickness on annealed Ta2O5 film was increased compared with as grown sample, while heat treated ZnO film showed much reduction of fibrinogen adsorption. Binary Ta-Zn oxide thin films (TZ) were grown by preparing PLD target composed of 50 wt% Ta2O5 and 50 wt% ZnO. This binary film had IEP pH 7.1 indicating nearly neutral charge in pH 7.4 PBS solution, and hydrophilic property. Ellipsometrical results showed that TZ film had the lowest fibrinogen, BSA and lysozyme thickness after 120 min adsorption compared with Ta2O5 and ZnO. Other samples, bilayer oxide films in

  13. Plasma assisted facile synthesis of vanadium oxide (V3O7) nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Singh, Megha; Saini, Sujit K.; Kumar, Prabhat; Sharma, Rabindar K.; Reddy, G. B.

    2018-05-01

    Vanadium oxides nanostructured thin films are synthesized using plasma assisted sublimation process. The effect of temperatures on growth of V2O5 and V3O7 thin films is studied. Scanning electron micrographs shows different morphologies are obtained at different temperatures i.e. at 450 °C nano cubes-like structures are obtained, whereas at 550 °C and 650 °C nanorods are obtained. Sample deposited at 450 °C is entirely composed of V2O5 and sample at higher temperatures are composed of mixed phase of vanadium oxides i.e. V2O5 and V3O7. As temperature increased, so the content of V3O7 in the sample is increased as confirmed by XRD and Raman analyses.

  14. Studies on nickel-tungsten oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Usha, K. S.; Sivakumar, R., E-mail: krsivakumar1979@yahoo.com; Sanjeeviraja, C.

    2014-10-15

    Nickel-Tungsten oxide (95:5) thin films were prepared by rf sputtering at 200W rf power with various substrate temperatures. X-ray diffraction study reveals the amorphous nature of films. The substrate temperature induced decrease in energy band gap with a maximum transmittance of 71%1 was observed. The Micro-Raman study shows broad peaks at 560 cm{sup −1} and 1100 cm{sup −1} correspond to Ni-O vibration and the peak at 860 cm{sup −1} can be assigned to the vibration of W-O-W bond. Photoluminescence spectra show two peaks centered on 420 nm and 485 nm corresponding to the band edge emission and vacancies created duemore » to the addition of tungsten, respectively.« less

  15. Transparent and Flexible Zinc Tin Oxide Thin Film Transistors and Inverters using Low-pressure Oxygen Annealing Process

    NASA Astrophysics Data System (ADS)

    Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk

    2018-05-01

    We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.

  16. Understanding the Structure of Amorphous Thin Film Hafnia - Final Paper

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-27

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  17. Pulsed—Laser Deposition Of Oxide Thin Films And Laser—Induced Breakdown Spectroscopy Of Multi—Element Materials

    NASA Astrophysics Data System (ADS)

    Pedarnig, Johannes D.

    2010-10-01

    New results of the Linz group on pulsed—laser deposition (PLD) of oxide thin films and on laser—induced breakdown spectroscopy (LIBS) of multi-element materials are reported. High-Tc superconducting (HTS) films with enhanced critical current density Jc are produced by laser ablation of novel nano-composite ceramic targets. The targets contain insulating nano-particles that are embedded into the YBa2Cu3O7 matrix. Epitaxial double-layers of lithium-doped and aluminum-doped ZnO are deposited on r-cut sapphire substrates. Acoustic over-modes in the GHz range are excited by piezoelectric actuation of layers. Smooth films of rare-earth doped glass are produced by F2—laser ablation. The transport properties of HTS thin films are modified by light—ion irradiation. Thin film nano—patterning is achieved by masked ion beam irradiation. LIBS is employed to analyze trace elements in industrial iron oxide powder and reference polymer materials. Various trace elements of ppm concentration are measured in the UV/VIS and vacuum-UV spectral range. Quantitative LIBS analysis of major components in oxide materials is performed by calibration-free methods.

  18. Aminopyridinate-FI hybrids, their hafnium and titanium complexes, and their application in the living polymerization of 1-hexene.

    PubMed

    Haas, Isabelle; Dietel, Thomas; Press, Konstantin; Kol, Moshe; Kempe, Rhett

    2013-10-11

    Based on two well-established ligand systems, the aminopyridinato (Ap) and the phenoxyimine (FI) ligand systems, new Ap-FI hybrid ligands were developed. Four different Ap-FI hybrid ligands were synthesized through a simple condensation reaction and fully characterized. The reaction of hafnium tetrabenzyl with all four Ap-FI hybrid ligands exclusively led to mono(Ap-FI) complexes of the type [(Ap-FI)HfBn2 ]. The ligands acted as tetradentate dianionic chelates. Upon activation with tris(pentafluorophenyl)borane, the hafnium-dibenzyl complexes led to highly active catalysts for the polymerization of 1-hexene. Ultrahigh molecular weights and extremely narrow polydispersities support the living nature of this polymerization process. A possible deactivation product of the hafnium catalysts was characterized by single-crystal X-ray analysis and is discussed. The coordination modes of these new ligands were studied with the help of model titanium complexes. The reaction of titanium(IV) isopropoxide with ligand 1 led to a mono(Ap-FI) complex, which showed the desired fac-mer coordination mode. Titanium (IV) isopropoxide reacted with ligand 4 to give a complex of the type [(ApH-FI)2 Ti(OiPr)2 ], which featured the ligand in its monoanionic form. The two titanium complexes were characterized by X-ray crystal-structure analysis. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Dual operation characteristics of resistance random access memory in indium-gallium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Chun; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.

    2014-04-01

    In this study, indium-gallium-zinc-oxide thin film transistors can be operated either as transistors or resistance random access memory devices. Before the forming process, current-voltage curve transfer characteristics are observed, and resistance switching characteristics are measured after a forming process. These resistance switching characteristics exhibit two behaviors, and are dominated by different mechanisms. The mode 1 resistance switching behavior is due to oxygen vacancies, while mode 2 is dominated by the formation of an oxygen-rich layer. Furthermore, an easy approach is proposed to reduce power consumption when using these resistance random access memory devices with the amorphous indium-gallium-zinc-oxide thin film transistor.

  20. Binder-free manganese oxide/carbon nanomaterials thin film electrode for supercapacitors.

    PubMed

    Wang, Ning; Wu, Chuxin; Li, Jiaxin; Dong, Guofa; Guan, Lunhui

    2011-11-01

    A ternary thin film electrode was created by coating manganese oxide onto a network composed of single-walled carbon nanotubes and single-walled carbon nanohorns. The electrode exhibited a porous structure, which is a promising architecture for supercapacitors applications. The maximum specific capacitances of 357 F/g for total electrode at 1 A/g were achieved in 0.1 M Na(2)SO(4) aqueous solution.

  1. Fluorine and oxygen plasma influence on nanoparticle formation and aggregation in metal oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime

    2017-03-01

    Despite recent advances in metal oxide thin-film transistor technology, there are no foundry processes available yet for large-scale deployment of metal oxide electronics and photonics, in a similar way as found for silicon based electronics and photonics. One of the biggest challenges of the metal oxide platform is the stability of the fabricated devices. Also, there is wide dispersion on the measured specifications of fabricated TFT, from lot-to-lot and from different research groups. This can be partially explained by the importance of the deposition method and its parameters, which determine thin film microstructure and thus its electrical properties. Furthermore, substrate pretreatment is an important factor, as it may act as a template for material growth. Not so often mentioned, plasma processes can also affect the morphology of deposited films on further deposition steps, such as inducing nanoparticle formation, which strongly impact the conduction mechanism in the channel layer of the TFT. In this study, molybdenum doped indium oxide is sputtered onto ALD deposited HfO2 with or without pattering, and etched by RIE chlorine based processing. Nanoparticle formation is observed when photoresist is removed by oxygen plasma ashing. HfO2 etching in CF4/Ar plasma prior to resist stripping in oxygen plasma promotes the aggregation of nanoparticles into nanosized branched structures. Such nanostructuring is absent when oxygen plasma steps are replaced by chemical wet processing with acetone. Finally, in order to understand the electronic transport effect of the nanoparticles on metal oxide thin film transistors, TFT have been fabricated and electrically characterized.

  2. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lei; Yan, Danhua; Shaffer, David W.

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  3. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE PAGES

    Wang, Lei; Yan, Danhua; Shaffer, David W.; ...

    2017-12-27

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  4. Development of a direct patterning method for functional oxide thin films using ultraviolet irradiation and hybrid-cluster gels and its application to thin-film transistor fabrication

    NASA Astrophysics Data System (ADS)

    Yoshimoto, Yuuki; Li, Jinwang; Shimoda, Tatsuya

    2018-04-01

    A gel state exists in the solution-solid conversion process. We found that solidification can be promoted by irradiating the gel with ultraviolet (UV) light. In this study, a patterning method without using a vacuum system or employing photoresist materials has been proposed wherein solidification was applied to a gel by UV irradiation. Indium oxide gel, indium gallium oxide gel, lanthanum zirconium oxide gel, and lanthanum ruthenium oxide gels were successfully patterned by using our technique. Moreover, an oxide thin-film transistor was fabricated by our novel patterning method and was successfully operated.

  5. The Effects of ph on Structural and Optical Characterization of Iron Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Tezel, Fatma Meydaneri; Özdemir, Osman; Kariper, I. Afşin

    In this study, the iron oxide thin films have been produced by chemical bath deposition (CBD) method as a function of pH onto amorphous glass substrates. The surface images of the films were investigated with scanning electron microscope (SEM). The crystal structures, orientation of crystallization, crystallite sizes, and dislocation density i.e. structural properties of the thin films were analyzed with X-ray diffraction (XRD). The optical band gap (Eg), optical transmission (T%), reflectivity (R%), absorption coefficient (α), refraction index (n), extinction coefficient (k) and dielectric constant (ɛ) of the thin films were investigated depending on pH, deposition time, solution temperature, substrate temperature, thickness of the films by UV-VIS spectrometer.

  6. A study of the initial oxidation of evaporated thin films of aluminum by AES, ELS, and ESD

    NASA Technical Reports Server (NTRS)

    Bujor, M.; Larson, L. A.; Poppa, H.

    1982-01-01

    The room temperature, low pressure, oxidation of evaporated aluminum thin films has been studied by AES, ELS, and ESD. ESD was the most sensitive of the three methods to characterize a clean aluminum surface. Two oxidation stages were distinguished in the 0-3000 L oxygen exposure range. Between 0 and 50 L, the chemisorption of oxygen atoms was characterized by a fast decrease of the 67 eV AES Al peak and the 10 eV surface plasmon peak, and by a simultaneous increase of the oxygen AES and ESD signals. After 50 L, a change in slope in all AES and ESD signal variations was attributed to the slow growth of a thin layer of aluminum oxide, which after 3000 L was still only a few angstroms thick.

  7. Stable indium oxide thin-film transistors with fast threshold voltage recovery

    NASA Astrophysics Data System (ADS)

    Vygranenko, Yuriy; Wang, Kai; Nathan, Arokia

    2007-12-01

    Stable thin-film transistors (TFTs) with semiconducting indium oxide channel and silicon dioxide gate dielectric were fabricated by reactive ion beam assisted evaporation and plasma-enhanced chemical vapor deposition. The field-effect mobility is 3.3cm2/Vs, along with an on/off current ratio of 106, and subthreshold slope of 0.5V/decade. When subject to long-term gate bias stress, the TFTs show fast recovery of the threshold voltage (VT) when relaxed without annealing, suggesting that charge trapping at the interface and/or in the bulk gate dielectric to be the dominant mechanism underlying VT instability. Device performance and stability make indium oxide TFTs promising for display applications.

  8. Investigation of microstructure, micro-mechanical and optical properties of HfTiO{sub 4} thin films prepared by magnetron co-sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mazur, Michal, E-mail: michal.mazur@pwr.edu.pl; Wojcieszak, Damian; Domaradzki, Jaroslaw

    2015-12-15

    Highlights: • HfTiO{sub 4} thin films were deposited by magnetron co-sputtering. • As-prepared and annealed at 800 °C thin films were nanocrystalline. • Optical properties and hardness were investigated in relation to thin films structure. • Hardness was 3-times higher in the case of as-deposited thin films. • HfTiO{sub 4} thin films are suitable for use as optical coatings with protective properties. - Abstract: Titania (TiO{sub 2}) and hafnium oxide (HfO{sub 2}) thin films are in the focus of interest to the microelectronics community from a dozen years. Because of their outstanding properties like, among the others, high stability, highmore » refractive index, high electric permittivity, they found applications in many optical and electronics domains. In this work discussion on the hardness, microstructure and optical properties of as-deposited and annealed HfTiO{sub 4} thin films has been presented. Deposited films were prepared using magnetron co-sputtering method. Performed investigations revealed that as-deposited coatings were nanocrystalline with HfTiO{sub 4} structure. Deposited films were built from crystallites of ca. 4–12 nm in size and after additional annealing an increase in crystallites size up to 16 nm was observed. Micro-mechanical properties, i.e., hardness and elastic modulus were determined using conventional load-controlled nanoindentation testing. the annealed films had 3-times lower hardness as-compared to as-deposited ones (∼9 GPa). Based on optical investigations real and imaginary components of refractive index were calculated, both for as-deposited and annealed thin films. The real refractive index component increased after annealing from 2.03 to 2.16, while extinction coefficient increased by an order from 10{sup −4} to 10{sup −3}. Structure modification was analyzed together with optical energy band-gap, Urbach energy and using Wemple–DiDomenico model.« less

  9. Tuning the properties of tin oxide thin films for device fabrications

    NASA Astrophysics Data System (ADS)

    Sudha, A.; Sharma, S. L.; Gupta, A. N.; Sharma, S. D.

    2017-11-01

    Tin oxide thin films were deposited on well cleaned glass substrates by thermal evaporation in vacuum and were annealed at 500 ∘C in the open atmosphere inside a furnace for 90 min for promoting the sensitivity of the films. The X-ray diffraction studies revealed that the as-deposited films were amorphous in nature and the annealed films showed appreciable crystalline behavior. The annealed thin films were then irradiated using 60Co gamma source. The radiation induced changes were then studied by X-ray diffraction, scanning electron microscopy, UV-vis spectroscopy and I- V characterization. The remarkable increase in the average grain size, the decrement in the energy band gap and resistivity due to the gamma irradiations up to a certain dose and the reversal of these properties at higher doses are the important observations. The large changes in the conductivity and energy band gap of the annealed thin films due to gamma irradiation make these films quite important device material for the fabrication of gamma sensors and dosimeters.

  10. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizingmore » controllable high-performance stable transistors.« less

  11. Oxidation of atomically thin MoS2 on SiO2

    NASA Astrophysics Data System (ADS)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  12. Synthesis and characterization of transparent conductive zinc oxide thin films by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Winarski, David

    Zinc oxide has been given much attention recently as it is promising for various semiconductor device applications. ZnO has a direct band gap of 3.3 eV, high exciton binding energy of 60 meV and can exist in various bulk powder and thin film forms for different applications. ZnO is naturally n-type with various structural defects, which sparks further investigation into the material properties. Although there are many potential applications for this ZnO, an overall lack of understand and control of intrinsic defects has proven difficult to obtain consistent, repeatable results. This work studies both synthesis and characterization of zinc oxide in an effort to produce high quality transparent conductive oxides. The sol-gel spin coating method was used to obtain highly transparent ZnO thin films with high UV absorbance. This research develops a new more consistent method for synthesis of these thin films, providing insight for maintaining quality control for each step in the procedure. A sol-gel spin coating technique is optimized, yielding highly transparent polycrystalline ZnO thin films with tunable electrical properties. Annealing treatment in hydrogen and zinc atmospheres is researched in an effort to increase electrical conductivity and better understand intrinsic properties of the material. These treatment have shown significant effects on the properties of ZnO. Characterization of doped and undoped ZnO synthesized by the sol-gel spin coating method was carried out using scanning electron microscopy, UV-Visible range absorbance, X-ray diffraction, and the Hall Effect. Treatment in hydrogen shows an overall decrease in the number of crystal phases and visible absorbance while zinc seems to have the opposite effect. The Hall Effect has shown that both annealing environments increase the n-type conductivity, yielding a ZnO thin film with a carrier concentration as high as 3.001 x 1021 cm-3.

  13. Development of p-type oxide semiconductors based on tin oxide and its alloys: application to thin film transistors

    NASA Astrophysics Data System (ADS)

    Barros, Ana Raquel Xarouco de

    In spite of the recent p-type oxide TFTs developments based on SnOx and CuxO, the results achieved so far refer to devices processed at high temperatures and are limited by a low hole mobility and a low On-Off ratio and still there is no report on p-type oxide TFTs with performance similar to n-type, especially when comparing their field-effect mobility values, which are at least one order of magnitude higher on n-type oxide TFTs. Achieving high performance p-type oxide TFTs will definitely promote a new era for electronics in rigid and flexible substrates, away from silicon. None of the few reported p-channel oxide TFTs is suitable for practical applications, which demand significant improvements in the device engineering to meet the real-world electronic requirements, where low processing temperatures together with high mobility and high On-Off ratio are required for TFT and CMOS applications. The present thesis focuses on the study and optimization of p-type thin film transistors based on oxide semiconductors deposited by r.f. magnetron sputtering without intentional substrate heating. In this work several p-type oxide semiconductors were studied and optimized based on undoped tin oxide, Cu-doped SnOx and In-doped SnO2.

  14. Structural and electrical characteristics of gallium tin oxide thin films prepared by electron cyclotron resonance-metal organic chemical vapor deposition.

    PubMed

    Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee

    2011-08-01

    Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.

  15. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, A.F.; Makowiecki, D.M.; Rambach, G.D.; Randich, E.

    1998-05-19

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated. 8 figs.

  16. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, Alan F.; Makowiecki, Daniel M.; Rambach, Glenn D.; Randich, Erik

    1999-01-01

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

  17. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, Alan F.; Makowiecki, Daniel M.; Rambach, Glenn D.; Randich, Erik

    1998-01-01

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

  18. Ceramic Composite Thin Films

    NASA Technical Reports Server (NTRS)

    Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor); Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  19. Analysis of amorphous indium-gallium-zinc-oxide thin-film transistor contact metal using Pilling-Bedworth theory and a variable capacitance diode model

    NASA Astrophysics Data System (ADS)

    Kiani, Ahmed; Hasko, David G.; Milne, William I.; Flewitt, Andrew J.

    2013-04-01

    It is widely reported that threshold voltage and on-state current of amorphous indium-gallium-zinc-oxide bottom-gate thin-film transistors are strongly influenced by the choice of source/drain contact metal. Electrical characterisation of thin-film transistors indicates that the electrical properties depend on the type and thickness of the metal(s) used. Electron transport mechanisms and possibilities for control of the defect state density are discussed. Pilling-Bedworth theory for metal oxidation explains the interaction between contact metal and amorphous indium-gallium-zinc-oxide, which leads to significant trap formation. Charge trapping within these states leads to variable capacitance diode-like behavior and is shown to explain the thin-film transistor operation.

  20. Flexible and High-Performance Amorphous Indium Zinc Oxide Thin-Film Transistor Using Low-Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Lee, Hwan-Jae; Oh, Saeroonter; Park, Jin-Seong

    2016-12-14

    Amorphous indium zinc oxide (IZO) thin films were deposited at different temperatures, by atomic layer deposition (ALD) using [1,1,1-trimethyl-N-(trimethylsilyl)silanaminato]indium (INCA-1) as the indium precursor, diethlzinc (DEZ) as the zinc precursor, and hydrogen peroxide (H 2 O 2 ) as the reactant. The ALD process of IZO deposition was carried by repeated supercycles, including one cycle of indium oxide (In 2 O 3 ) and one cycle of zinc oxide (ZnO). The IZO growth rate deviates from the sum of the respective In 2 O 3 and ZnO growth rates at ALD growth temperatures of 150, 175, and 200 °C. We propose growth temperature-dependent surface reactions during the In 2 O 3 cycle that correspond with the growth-rate results. Thin-film transistors (TFTs) were fabricated with the ALD-grown IZO thin films as the active layer. The amorphous IZO TFTs exhibited high mobility of 42.1 cm 2 V -1 s -1 and good positive bias temperature stress stability. Finally, flexible IZO TFT was successfully fabricated on a polyimide substrate without performance degradation, showing the great potential of ALD-grown TFTs for flexible display applications.

  1. Optical properties of spin-on deposited low temperature titanium oxide thin films

    NASA Astrophysics Data System (ADS)

    Rantala, J. T.; Kärkkäinen, A. H. O.

    2003-06-01

    This letter presents a method to fabricate high quality, high refractive index titanium oxide thin films by applying liquid phase spin-on deposition combined with low temperature annealing. The synthesis of the liquid form titanium oxide material is carried out using a sol-gel synthesis technique. The material can be annealed at low temperature (150 C°) to achieve relatively high refractive index of 1.94 at 632.8 nm wavelength, whereas annealing at 350 C° results in index of 2.03 at 632.8 nm. Film depositions are demonstrated on silicon substrates with 0.5% uniformity in thickness. Refractive indices and extinction coefficients are characterized over a broad wavelength range to demonstrate the optical performance of this novel aqueous phase spin-on deposited hybrid titanium oxide material.

  2. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    NASA Astrophysics Data System (ADS)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  3. Microstructure of epitaxial ferroelectric/metal oxide electrode thin film heterostructures on LaAlO{sub 3} and silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghonge, S.G.; Goo, E.; Ramesh, R.

    1994-12-31

    TEM and X-ray diffraction studies of PZT, PLZT, lead titanate and bismuth titanate ferroelectric thin films and YBa{sub 2}Cu{sub 3}O{sub 7{minus}x}(YBCO), Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8}(BSCCO) and La{sub 0.5}Sr{sub 0.5}CoO{sub 3}(LSCO) electrically conductive oxide thin films, that are sequentially deposited by pulsed laser ablation, show that these films may be deposited epitaxially onto LaAlO{sub 3}(LAO) or Si substrates. The conductive oxides are promising candidates for use is electrodes in place of metal electrodes in integrated ferroelectric device applications. The oxide electrodes are more chemically compatible with the ferroelectric films. High resolution electron microscopy his been used to investigate the interfacemore » between the ferroelectric and metal oxide thin films and no reaction was detected. Epitaxial growth is possible due to the similar crystal structures and the small lattice mismatch. The lattice mismatch that is present causes the domains in the ferroelectric films to be preferentially oriented and in the case of lead titanate, the film is single domain. These films may also have potential applications in integrated optical devices.« less

  4. Design, Fabrication and Characterization of Thin Film Structures through Oxidation Kinetics

    NASA Astrophysics Data System (ADS)

    Diaz Leon, Juan Jose

    Materials science and engineering is devoted to the understanding of the physics and chemistry of materials at the mesoscale and to applying that knowledge into real-life applications. In this work, different oxide materials and different oxidation methods are studied from a materials science point of view and for specific applications. First, the deposition of complex metal oxides is explored for solar energy concentration. This requires a number of multi-cation oxide structures such as thin-film dielectric barriers, low loss waveguides or the use of continuously graded composition oxides for antireflection coatings and light concentration. Then, oxidation via Joule heating is used for the self-alignment of a selector on top of a memristor structure on a nanovia. Simulations are used to explore the necessary voltage for the insulator-to-metal transition temperature of NbO2 using finite element analysis, followed by the fabrication and the characterization of such a device. Finally, long-term copper oxidation at room temperature and pressure is studied using optical techniques. Alternative characterization techniques are used to confirm the growth rate and phase change, and an application of copper oxide as a volatile conductive bridge is shown. All these examples show how the combination of novel simulation, fabrication and characterization techniques can be used to understand physical mechanisms and enable disruptive technologies in fields such as solar cells, light emitting diodes, photodetectors or memory devices.

  5. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  6. Parameters controlling microstructures and resistance switching of electrodeposited cuprous oxide thin films

    NASA Astrophysics Data System (ADS)

    Yazdanparast, Sanaz

    2016-12-01

    Cuprous oxide (Cu2O) thin films were electrodeposited cathodically from a highly alkaline bath using tartrate as complexing agent. Different microstructures for Cu2O thin films were achieved by varying the applied potential from -0.285 to -0.395 V versus a reference electrode of Ag/AgCl at 50 °C in potentiostatic mode, and separately by changing the bath temperature from 25 to 50 °C in galvanostatic mode. Characterization experiments showed that both grain size and orientation of Cu2O can be controlled by changing the applied potential. Applying a high negative potential of -0.395 V resulted in smaller grain size of Cu2O thin films with a preferred orientation in [111] direction. An increase in the bath temperature in galvanostatic electrodeposition increased the grain size of Cu2O thin films. All the films in Au/Cu2O/Au-Pd cell showed unipolar resistance switching behavior after an initial FORMING process. Increasing the grain size of Cu2O thin films and decreasing the top electrode area increased the FORMING voltage and decreased the current level of high resistance state (HRS). The current in low resistance state (LRS) was independent of the top electrode area and the grain size of deposited films, suggesting a filamentary conduction mechanism in unipolar resistance switching of Cu2O.

  7. Ceramic material suitable for repair of a space vehicle component in a microgravity and vacuum environment, method of making same, and method of repairing a space vehicle component

    NASA Technical Reports Server (NTRS)

    Riedell, James A. (Inventor); Easler, Timothy E. (Inventor)

    2009-01-01

    A precursor of a ceramic adhesive suitable for use in a vacuum, thermal, and microgravity environment. The precursor of the ceramic adhesive includes a silicon-based, preceramic polymer and at least one ceramic powder selected from the group consisting of aluminum oxide, aluminum nitride, boron carbide, boron oxide, boron nitride, hafnium boride, hafnium carbide, hafnium oxide, lithium aluminate, molybdenum silicide, niobium carbide, niobium nitride, silicon boride, silicon carbide, silicon oxide, silicon nitride, tin oxide, tantalum boride, tantalum carbide, tantalum oxide, tantalum nitride, titanium boride, titanium carbide, titanium oxide, titanium nitride, yttrium oxide, zirconium diboride, zirconium carbide, zirconium oxide, and zirconium silicate. Methods of forming the ceramic adhesive and of repairing a substrate in a vacuum and microgravity environment are also disclosed, as is a substrate repaired with the ceramic adhesive.

  8. Methods of repairing a substrate

    NASA Technical Reports Server (NTRS)

    Riedell, James A. (Inventor); Easler, Timothy E. (Inventor)

    2011-01-01

    A precursor of a ceramic adhesive suitable for use in a vacuum, thermal, and microgravity environment. The precursor of the ceramic adhesive includes a silicon-based, preceramic polymer and at least one ceramic powder selected from the group consisting of aluminum oxide, aluminum nitride, boron carbide, boron oxide, boron nitride, hafnium boride, hafnium carbide, hafnium oxide, lithium aluminate, molybdenum silicide, niobium carbide, niobium nitride, silicon boride, silicon carbide, silicon oxide, silicon nitride, tin oxide, tantalum boride, tantalum carbide, tantalum oxide, tantalum nitride, titanium boride, titanium carbide, titanium oxide, titanium nitride, yttrium oxide, zirconium boride, zirconium carbide, zirconium oxide, and zirconium silicate. Methods of forming the ceramic adhesive and of repairing a substrate in a vacuum and microgravity environment are also disclosed, as is a substrate repaired with the ceramic adhesive.

  9. Microfabrication of SrRuO3 thin films on various oxide substrates using LaAlO3/BaOx sacrificial bilayers

    NASA Astrophysics Data System (ADS)

    Harada, Takayuki; Tsukazaki, Atsushi

    2018-02-01

    Oxides provide various fascinating physical properties that could find use in future device applications. However, the physical properties of oxides are often affected by formation of oxygen vacancies during device fabrication processes. In this study, to develop a damage-free patterning process for oxides, we focus on a lift-off process using a sacrificial template layer, by which we can pattern oxide thin films without severe chemical treatment or plasma bombardment. As oxides need high thin-film growth temperature, a sacrificial template needs to be made of thermally stable and easily etchable materials. To meet these requirements, we develop a sacrificial template with a carefully designed bilayer structure. Combining a thermally and chemically stable LaAlO3 and a water-soluble BaOx, we fabricated a LaAlO3/BaOx sacrificial bilayer. The patterned LaAlO3/BaOx sacrificial bilayers were prepared on oxide substrates by room-temperature pulsed laser deposition and standard photolithography process. The structure of the sacrificial bilayer can be maintained even in rather tough conditions needed for oxide thin film growth: several hundred degrees Celsius under high oxygen pressure. Indeed, the LaAlO3/BaOx bilayer is easily removable by sonication in water. We applied the lift-off method using the LaAlO3/BaOx sacrificial bilayer to a representative oxide conductor SrRuO3 and fabricated micron-scale Hall-bar devices. The SrRuO3 channels with the narrowest line width of 5 μm exhibit an almost identical transport property to that of the pristine film, evidencing that the developed process is beneficial for patterning oxides. We show that the LaAlO3/BaOx lift-off process is applicable to various oxide substrates: SrTiO3, MgO, and Al2O3. The new versatile patterning process will expand the range of application of oxide thin films in electronic and photonic devices.

  10. Effect of Target Density on Microstructural, Electrical, and Optical Properties of Indium Tin Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Zhu, Guisheng; Zhi, Li; Yang, Huijuan; Xu, Huarui; Yu, Aibing

    2012-09-01

    In this paper, indium tin oxide (ITO) targets with different densities were used to deposit ITO thin films. The thin films were deposited from these targets at room temperature and annealed at 750°C. Microstructural, electrical, and optical properties of the as-prepared films were studied. It was found that the target density had no effect on the properties or deposition rate of radiofrequency (RF)-sputtered ITO thin films, different from the findings for direct current (DC)-sputtered films. Therefore, when using RF sputtering, the target does not require a high density and may be reused.

  11. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Evaluation of the optical characteristics of c-axis oriented zinc oxide thin films grown by sol gel spin coating technique

    NASA Astrophysics Data System (ADS)

    Baisakh, K.; Behera, S.; Pati, S.

    2018-03-01

    In this work we have systematically studied the optical characteristics of synthesized wurzite zinc oxide thin films exhibiting (002) orientation. Using sol gel spin coating technique zinc oxide thin films are grown on pre cleaned fused quartz substrates. Structural properties of the films are studied using X-ray diffraction analysis. Micro structural analysis and thickness of the grown samples are analyzed using field emission scanning electron microscopy. With an aim to investigate the optical characteristics of the grown zinc oxide thin films the transmission and reflection spectra are evaluated in the ultraviolet-visible (UV-VIS) range. Using envelope method, the refractive index, extinction coefficient, absorption coefficient, band gap energy and the thickness of the synthesized films are estimated from the recorded UV-VIS spectra. An attempt has also been made to study the influence of crystallographic orientation on the optical characteristics of the grown films.

  13. Interfacial phenomena in high-kappa dielectrics

    NASA Astrophysics Data System (ADS)

    Mathew, Anoop

    The introduction of novel high-kappa dielectric materials to replace the traditional SiO2 insulating layer in CMOS transistors is a watershed event in the history of transistor development. Further, replacement of the traditional highly-doped polycrystalline silicon gate electrode with a new set of materials for metal gates complicates the transition and introduces further integration challenges. A whole variety of new material surfaces and interfaces are thus introduced that merit close investigation to determine parameters for optimal device performance. Nitrogen is a key component that improves the performance of a variety of materials for the next generation of these CMOS transistors. Nitrogen is introduced into new gate dielectric materials such as hafnium silicates as well as in potential metal gate materials such as hafnium nitride. A photoemission study of the binding energies of the various atoms in these systems using photoemission reveals the nature of the atomic bonding. The current study compares hafnium silicates of various compositions which were thermally nitrided at different temperatures in ammonia, hafnium nitrides, and thin HfO2 films using photoelectron spectroscopy. A recurring theme that is explored is the competition between oxygen and nitrogen atoms in bonding with hafnium and other atoms. The N 1s photoemission peak is seen to have contributions from its bonding with hafnium, oxygen, and silicon atoms. The Hf 4f and O 1s spectra similarly exhibit signatures of their bonding environment with their neighboring atoms. Angle resolved photoemission and in-situ annealing/argon sputtering experiments are used to elucidate the nature of the bonding and its evolution with processing. A nondestructive profilitng of nitrogen distribution as a function of composition in nitrided hafnium silicates is also constructed using angle resolved photoemission as a function of the take-off angle. These results are corroborated with depth reconstruction obtained

  14. Easy and General Synthesis of Large-Sized Mesoporous Rare-Earth Oxide Thin Films by 'Micelle Assembly'.

    PubMed

    Li, Yunqi; Bastakoti, Bishnu Prasad; Imura, Masataka; Dai, Pengcheng; Yamauchi, Yusuke

    2015-12-01

    Large-sized (ca. 40 nm) mesoporous Er2O3 thin films are synthesized by using a triblock copolymer poly(styrene-b-2-vinyl pyridine-b-ethylene oxide) (PS-b-P2VP-b-PEO) as a pore directing agent. Each block makes different contributions and the molar ratio of PVP/Er(3+) is crucial to guide the resultant mesoporous structure. An easy and general method is proposed and used to prepare a series of mesoporous rare-earth oxide (Sm2O3, Dy2O3, Tb2O3, Ho2O3, Yb2O3, and Lu2O3) thin films with potential uses in electronics and optical devices. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Annealing Effects on the Formation of Copper Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Marzuki, Marina; Zamzuri Mohamad Zain, Mohd; Zarul Hisham, Nurazhra; Zainon, Nooraizedfiza; Harun, Azmi; Nani Ahmad, Rozie

    2018-03-01

    This study approached the simple method of developing CuO thin films by thermal oxidation on pure Cu sheets. The effects of annealing temperature on the formation of CuO layers have been investigated. The oxide layers have been fabricated by annealing of Cu sheets for 5 hours at different temperatures of 980 ~ 1010 °C. The morphologies and optical properties of annealed Cu sheets were studied by using SEM and UV-Vis spectrophotometer respectively. It is revealed that the annealing temperature influence the grain growth and the grain size increases as the temperature increase. The highest grain size was observed on sample annealed at 1000 °C with average area per grain size of 0.023 mm2. Theoretically, larger grain size provides less barriers for electron mobility and increase the efficiency of solar devices. The optical absorption spectra of the oxide films was also measured. Interference pattern was noted at wavelength about 900 nm corresponding to the formation of CuO film. The interference noise observed could be due to the coarse surface and the presence of powdery oxide deposits that causes the scattering loses from the surface. CuO film obtained by this method may be further studied and exploited as low cost photovoltaic device.

  16. PREFACE: Proceedings Symposium G of E-MRS Spring Meeting on Fundamentals and Technology of Multifunctional Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    2010-07-01

    Oxide materials exhibit a large variety of functional properties that are useful in a plethora of applications. Symposium G focused on oxide thin films that include dielectric or switching properties. Its program mirrored very well the strong worldwide search for high-K thin films for gate, memory, and on-chip capacitors, as well as the emerging field of functional thin films for MEMS. A complete session was devoted to the colossal effect of dielectric response in (Ca,Cu)TiO3, representing the major European research groups in this field. A comprehensive overview on this phenomenon was given by D Sinclair J Wolfman presented the latest results on CCTO thin films obtained by wafer scale pulsed laser deposition. A Loidl showed the analytical power of dielectric spectroscopy when covering the complete frequency range from 1-1012 Hz, i.e. from space charge to phonon contributions at the example of CCTO. Another session was devoted to applications in non-volatile memories, covering various effects including ferroelectric and resistive switching, the complex behavior of oxide tunnel junctions (H Kohlstedt), the possibility to manipulate the magnetic state of a 2d-electron gas by the polarization of an adjacent ferroelectric gate (I Stolitchnov). Latest advancements in ALD processing for high-K thin films in dynamic RAM were reported by S Ramanathan. The advancement of piezoelectric PZT thin film MEMS devices was well documented by outstanding talks on their developments in industry (M Klee, F Tyholdt), new possibilities in GHz filters (T Matshushima), advancements in sol-gel processing (B Tuttle, H Suzuki), and low temperature integration approaches by UV light curing (S Trolier-McKinstry). Recent advances in incipient ferroelectric thin films and nano composites for tunable capacitors in microwave applications were present by A Vorobiev and T Yamada. Integrated electro-optics is another field to be conquered by thin film structures. The impressive progress made in this

  17. Development and Research on the Mechanism of Novel Mist Etching Method for Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Kawaharamura, Toshiyuki; Hirao, Takashi

    2012-03-01

    A novel etching process with etchant mist was developed and applied to oxide thin films such as zinc oxide (ZnO), zinc magnesium oxide (ZnMgO), and indium tin oxide (ITO). By using this process, it was shown that precise control of the etching characteristics is possible with a reasonable etching rate, for example, in the range of 10-100 nm/min, and a fine pattern of high accuracy can also be realized, even though this is usually very difficult by conventional wet etching processes, for ZnO and ZnMgO. The mist etching process was found to be similarly and successfully applied to ITO. The mechanism of mist etching has been studied by examining the etching temperature dependence of pattern accuracy, and it was shown that the mechanism was different from that of conventional liquid-phase spray etching. It was ascertained that fine pattern etching was attained using mist droplets completely (or partly) gasified by the heat applied to the substrate. This technique was applied to the fabrication of a ZnO thin-film transistor (TFT) with a ZnO active channel length of 4 µm. The electrical properties of the TFT were found to be excellent with fine uniformity over the entire 4-in. wafer.

  18. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  19. Transparent thin films of indium tin oxide: Morphology-optical investigations, inter dependence analyzes

    NASA Astrophysics Data System (ADS)

    Prepelita, P.; Filipescu, M.; Stavarache, I.; Garoi, F.; Craciun, D.

    2017-12-01

    Using a fast and eco-friendly deposition method, ITO thin films with different thicknesses (0.5 μm-0.7 μm) were deposited on glass substrates by radio frequency magnetron sputtering technique. A comparative analysis of these oxide films was then carried out. AFM investigations showed that the deposited films were smooth, uniform and having a surface roughness smaller than 10 nm. X-ray diffraction investigations showed that all samples were polycrystalline and the grain sizes of the films, corresponding to (222) cubic reflection, were found to increase with the increasing film thickness. The optical properties, evaluated by UV-VIS-NIR (190-3000 nm) spectrophotometer, evidenced that the obtained thin films were highly transparent, with a transmission coefficient between 90 and 96%, depending on the film thickness. Various methods (Swanepoel and Drude) were employed to appreciate the optimal behaviour of transparent oxide films, in determining the dielectric optical parameters and refractive index dispersion for ITO films exhibiting interference patterns in the optical transmission spectra. The electrical conductivity also increased as the film thickness increased.

  20. Synthesis of high-oxidation Y-Ba-Cu-O phases in superoxygenated thin films

    NASA Astrophysics Data System (ADS)

    Zhang, H.; Gauquelin, N.; McMahon, C.; Hawthorn, D. G.; Botton, G. A.; Wei, J. Y. T.

    2018-03-01

    It is known that solid-state reaction in high-pressure oxygen can stabilize high-oxidation phases of Y-Ba-Cu-O superconductors in powder form. We extend this superoxygenation concept of synthesis to thin films which, due to their large surface-to-volume ratio, are more reactive thermodynamically. Epitaxial thin films of YBa2Cu3O7 -δ grown by pulsed laser deposition are annealed at up to 700 atm O2 and 900 ∘C , in conjunction with Cu enrichment by solid-state diffusion. The films show the clear formation of Y2Ba4Cu7O15 -δ and Y2Ba4Cu8O16 as well as regions of YBa2Cu5O9 -δ and YBa2Cu6O10 -δ phases, according to scanning transmission electron microscopy, x-ray diffraction, and x-ray absorption spectroscopy. Similarly annealed YBa2Cu3O7 -δ powders show no phase conversion. Our results demonstrate a route of synthesis towards discovering more complex phases of cuprates and other superconducting oxides.

  1. A tri-layer thin film containing graphene oxide to protect zinc substrates from wear

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Gu, Zhengpeng; Yuan, Ningyi; Chu, Fuqiang; Cheng, Guanggui; Ding, Jianning

    2018-06-01

    Due to its excellent properties, Zn alloy is widely used in daily life. However, the poor wear-resisting properties of Zn alloys limits their application. In this paper, a tri-layer thin film consisting of 3-aminopropyltriethoxysilane (APS), graphene oxide (GO) and perfluoropolyethers (PFPE) were successfully prepared on the surface of Zn alloy to improve the wear-resisting properties. The as-prepared tri-layer thin films were characterized by atomic force microscopy, Raman spectroscopy, x-ray photoelectron spectroscopy and contact angle measurement. In addition, the tribological properties of the as-prepared tri-layer thin films were studied on a ball-on-plate tribometer and the morphologies of worn surfaces were observed using 3D noncontact interferometric microscope. Compared with the control samples, the tri-layer thin films showed excellent friction-reducing and wear-resisting properties, which was attributed to the synergistic effect of the GO as the load-carrying layer and the PFPE as the lubricating layer.

  2. The uniformity study of non-oxide thin film at device level using electron energy loss spectroscopy

    NASA Astrophysics Data System (ADS)

    Li, Zhi-Peng; Zheng, Yuankai; Li, Shaoping; Wang, Haifeng

    2018-05-01

    Electron energy loss spectroscopy (EELS) has been widely used as a chemical analysis technique to characterize materials chemical properties, such as element valence states, atoms/ions bonding environment. This study provides a new method to characterize physical properties (i.e., film uniformity, grain orientations) of non-oxide thin films in the magnetic device by using EELS microanalysis on scanning transmission electron microscope. This method is based on analyzing white line ratio of spectra and related extended energy loss fine structures so as to correlate it with thin film uniformity. This new approach can provide an effective and sensitive method to monitor/characterize thin film quality (i.e., uniformity) at atomic level for thin film development, which is especially useful for examining ultra-thin films (i.e., several nanometers) or embedded films in devices for industry applications. More importantly, this technique enables development of quantitative characterization of thin film uniformity and it would be a remarkably useful technique for examining various types of devices for industrial applications.

  3. Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas

    Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1 μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. Utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less

  4. Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas

    Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. In conclusion, utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less

  5. Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films

    DOE PAGES

    Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas; ...

    2016-09-02

    Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. In conclusion, utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less

  6. On the thermal stability of physical vapor deposited oxide-hardened nanocrystalline gold thin films

    DOE PAGES

    Argibay, Nicolas; Mogonye, J. E.; Michael, Joseph R.; ...

    2015-04-08

    We describe a correlation between electrical resistivity and grain size for PVD synthesized polycrystalline oxide-hardened metal-matrix thin films in oxide-dilute (<5 vol. % oxide phase) compositions. The correlation is based on the Mayadas-Shatzkes (M-S) electron scattering model, predictive of grain size evolution as a function of composition in the oxide-dilute regime for 2 μm thick Au-ZnO films. We describe a technique to investigate grain boundary (GB) mobility and the thermal stability of GBs based on in situelectrical resistivity measurements during annealing experiments, interpreted using a combination of the M-S model and the Michels et al. model describing solute drag stabilizedmore » grain growth kinetics. Using this technique, activation energy and pre-exponential Arrhenius parameter values of E a = 21.6 kJ/mol and A o = 2.3 × 10 -17 m 2/s for Au-1 vol. % ZnO and E a =12.7 kJ/mol and A o = 3.1 × 10 -18 m 2/s for Au-2 vol.% ZnO were determined. In the oxide-dilute regime, the grain size reduction of the Au matrix yielded a maximum hardness of 2.6 GPa for 5 vol. % ZnO. A combined model including percolation behavior and grain refinement is presented that accurately describes the composition dependent change in electrical resistivity throughout the entire composition range for Au-ZnO thin films. As a result, the proposed correlations are supported by microstructural characterization using transmission electron microscopy and electron diffraction mapping for grain size determination.« less

  7. Interactions of hydrogen with amorphous hafnium oxide

    NASA Astrophysics Data System (ADS)

    Kaviani, Moloud; Afanas'ev, Valeri V.; Shluger, Alexander L.

    2017-02-01

    We used density functional theory (DFT) calculations to study the interaction of hydrogen with amorphous hafnia (a -HfO2 ) using a hybrid exchange-correlation functional. Injection of atomic hydrogen, its diffusion towards electrodes, and ionization can be seen as key processes underlying charge instability of high-permittivity amorphous hafnia layers in many applications. Hydrogen in many wide band gap crystalline oxides exhibits negative-U behavior (+1 and -1 charged states are thermodynamically more stable than the neutral state) . Our results show that in a -HfO2 hydrogen is also negative-U, with charged states being the most thermodynamically stable at all Fermi level positions. However, metastable atomic hydrogen can share an electron with intrinsic electron trapping precursor sites [Phys. Rev. B 94, 020103 (2016)., 10.1103/PhysRevB.94.020103] forming a [etr -+O -H ] center, which is lower in energy on average by about 0.2 eV. These electron trapping sites can affect both the dynamics and thermodynamics of the interaction of hydrogen with a -HfO2 and the electrical behavior of amorphous hafnia films in CMOS devices.

  8. Electro deposition of cuprous oxide for thin film solar cell applications

    NASA Astrophysics Data System (ADS)

    Shahrestani, Seyed Mohammad

    p and n type copper oxide semiconductor layers were fabricated by electrochemistry using new approaches for photovoltaic applications. Thin films were electroplated by cathodic polarization on a copper foil or indium tin oxide (ITO) substrates. The optimum deposition conditions (composition, pH and temperature of the electrolyte and applied potential) of the layers as thin films have been identified; in particular the conditions that allow getting the n-type layers have been well identified for the first time. The configuration of a photo - electrochemical cell was used to characterize the spectral response of the layers. It was shown that the p type layers exhibit a photocurrent in the cathode potential region and n layers exhibit photo current in the anode potential region. Measurements of electrical resistivity of electro chemically deposited layers of p and n type Cu2O, showed that the resistivity of p-type Cu2O varies from 3.2 x 105 to 2.0 x 108 Ocm. These values depend the electrodepositing conditions such as the pH of the solution, the deposition potential and temperature. The influence of several plating parameters of the p type layers of Cu2O, such as applied potential, pH and temperature of the bath on the chemical composition, degree of crystallinity, grain size and orientation parameters of the sample was systematically studied using X-ray diffraction and scanning electron microscopy. Depending of the electro-deposition potential, two different surface morphologies with various preferential crystal orientations were obtained for the temperatures of the electro-deposition of 30 °C and pH 9. For the same temperature, the layers of p type Cu2O of highly crystalline p type are obtained at pH 12, indicating that the crystallinity depends on the pH of the bath. Also, it has been shown that the morphology of Cu2O layers was changed by varying the potential and the duration of deposition, as well as the temperature of the solution. The conditions for the

  9. Growth of textured thin Au coatings on iron oxide nanoparticles with near infrared absorbance

    PubMed Central

    Ma, L L; Borwankar, A U; Willsey, B W; Yoon, K Y; Tam, J O; Sokolov, K V; Feldman, M D; Milner, T E; Johnston, K P

    2013-01-01

    A homologous series of Au-coated iron oxide nanoparticles, with hydrodynamic diameters smaller than 60 nm was synthesized with very low Auto-iron mass ratios as low as 0.15. The hydrodynamic diameter was determined by dynamic light scattering and the composition by atomic absorption spectroscopy and energy dispersive x-ray spectroscopy (EDS). Unusually low Au precursor supersaturation levels were utilized to nucleate and grow Au coatings on iron oxide relative to formation of pure Au nanoparticles. This approach produced unusually thin coatings, by lowering autocatalytic growth of Au on Au, as shown by transmission electron microscopy (TEM). Nearly all of the nanoparticles were attracted by a magnet indicating a minimal amount of pure Au particles The coatings were sufficiently thin to shift the surface plasmon resonance (SPR) to the near infrared (NIR), with large extinction coefficients., despite the small particle hydrodynamic diameters, observed from dynamic light scattering to be less than 60 nm. PMID:23238021

  10. Bilayered Oxide thin films for transparent electrode application

    NASA Astrophysics Data System (ADS)

    Dutta, Titas; Narayan, Jagdish

    2008-10-01

    Ga doped ZnO films with electrical and optical properties comparable to indium tin oxide (ITO) is a promising candidate for transparent conducting oxides (TCOs) because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function, which is a critical parameter for device applications. We report here the growth of a novel bilayered structure consisting of very thin (few monolayers) ITO, MoOx layer on Zn0.95Ga0.05O film for transparent electrode applications by using pulsed laser deposition technique at different temperatures and oxygen partial pressure. The characteristics of the ITO film and the heterostructure have been investigated in detail using XRD, TEM, XPS, and electrical and optical property measurements. It is envisaged that the overall transmittance and the resistivity are dictated by the thicker layer of ZnGa0.05O beneath the ITO layer. Hence, this study is aimed to improve the surface characteristics without affecting the overall transmittance and sheet resistance. This will enhance the transport of the carriers across the heterojunction in the device, thus, resulting in the increase in device efficiency.

  11. Binary metal oxide nanoparticle incorporated composite multilayer thin films for sono-photocatalytic degradation of organic pollutants

    NASA Astrophysics Data System (ADS)

    Gokul, Paramasivam; Vinoth, Ramalingam; Neppolian, Bernaurdshaw; Anandhakumar, Sundaramurthy

    2017-10-01

    We report reduced graphene oxide (rGO) supported binary metal oxide (CuO-TiO2/rGO) nanoparticle (NP) incorporated multilayer thin films based on Layer-by-Layer (LbL) assembly for enhanced sono-photocatalytic degradation of methyl orange under exposure to UV radiation. Multilayer thin films were fabricated on glass and quartz slides, and investigated using scanning electron microscopy and UV-vis spectroscopy. The loading of catalyst NPs on the film resulted in the change of morphology of the film from smooth to rough with uniformly distributed NPs on the surface. The growth of the control and NP incorporated films followed a linear regime as a function of number of layers. The%degradation of methyl orange as a function of time was investigated by UV-vis spectroscopy and total organic carbon (TOC) measurements. Complete degradation of methyl orange was achieved within 13 h. The amount of NP loading in the film significantly influenced the%degradation of methyl orange. Catalyst reusability studies revealed that the catalyst thin films could be repeatedly used for up to five times without any change in photocatalytic activity of the films. The findings of the present study support that the binary metal oxide catalyst films reported here are very useful for continuous systems, and thus, making it an option for scale up.

  12. A unified physical model of Seebeck coefficient in amorphous oxide semiconductor thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lu, Nianduan; Li, Ling; Sun, Pengxiao; Banerjee, Writam; Liu, Ming

    2014-09-01

    A unified physical model for Seebeck coefficient was presented based on the multiple-trapping and release theory for amorphous oxide semiconductor thin-film transistors. According to the proposed model, the Seebeck coefficient is attributed to the Fermi-Dirac statistics combined with the energy dependent trap density of states and the gate-voltage dependence of the quasi-Fermi level. The simulation results show that the gate voltage, energy disorder, and temperature dependent Seebeck coefficient can be well described. The calculation also shows a good agreement with the experimental data in amorphous In-Ga-Zn-O thin-film transistor.

  13. Resistive switching characteristics of thermally oxidized TiN thin films

    NASA Astrophysics Data System (ADS)

    Biju, K. P.

    2018-04-01

    Resistive switching characteristics of thermally oxidized TiN thin films and mechanisms were investigated.XPS results indicates Ti-O content decreases with sputter etching and Ti 2p peak shift towards lower binding energy due to formation of Ti-O-N and Ti-N. Pt/TiO2/TiON/TiN stack exhibits both clockwise switching (CWS) and counter clockwise switching(CCWS) characteristic depending on polarity of the applied voltage. However the transition from CCWS to CWS is irreversible. Two stable switching modes with opposite switching polarity and different electrical characteristics are found to coexist in the same memory cell. Clockwise switching shows filamentary characteristics that lead to faster switching with excellent retention at high temperature. Counter-clockwise switching exhibits homogeneous conduction with slower switching and moderate retention. The field-induced switching in both CCWS and CWS might be due to inhomogeneous defect distribution due to thermal oxidation.

  14. A Cuprous Oxide Thin Film Non-Enzymatic Glucose Sensor Using Differential Pulse Voltammetry and Other Voltammetry Methods and a Comparison to Different Thin Film Electrodes on the Detection of Glucose in an Alkaline Solution

    PubMed Central

    Molazemhosseini, Alireza; Liu, Chung Chiun

    2018-01-01

    A cuprous oxide (Cu2O) thin layer served as the base for a non-enzymatic glucose sensor in an alkaline medium, 0.1 NaOH solution, with a linear range of 50–200 mg/dL using differential pulse voltammetry (DPV) measurement. An X-ray photoelectron spectroscopy (XPS) study confirmed the formation of the cuprous oxide layer on the thin gold film sensor prototype. Quantitative detection of glucose in both phosphate-buffered saline (PBS) and undiluted human serum was carried out. Neither ascorbic acid nor uric acid, even at a relatively high concentration level (100 mg/dL in serum), interfered with the glucose detection, demonstrating the excellent selectivity of this non-enzymatic cuprous oxide thin layer-based glucose sensor. Chronoamperometry and single potential amperometric voltammetry were used to verify the measurements obtained by DPV, and the positive results validated that the detection of glucose in a 0.1 M NaOH alkaline medium by DPV measurement was effective. Nickel, platinum, and copper are commonly used metals for non-enzymatic glucose detection. The performance of these metal-based sensors for glucose detection using DPV were also evaluated. The cuprous oxide (Cu2O) thin layer-based sensor showed the best sensitivity for glucose detection among the sensors evaluated. PMID:29316652

  15. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    NASA Astrophysics Data System (ADS)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  16. Thermal oxidation of silicon in a residual oxygen atmosphere—the RESOX process—for self-limiting growth of thin silicon dioxide films

    NASA Astrophysics Data System (ADS)

    Wright, Jason T.; Carbaugh, Daniel J.; Haggerty, Morgan E.; Richard, Andrea L.; Ingram, David C.; Kaya, Savas; Jadwisienczak, Wojciech M.; Rahman, Faiz

    2016-10-01

    We describe in detail the growth procedures and properties of thermal silicon dioxide grown in a limited and dilute oxygen atmosphere. Thin thermal oxide films have become increasingly important in recent years due to the continuing down-scaling of ultra large scale integration metal oxide silicon field effect transistors. Such films are also of importance for organic transistors where back-gating is needed. The technique described here is novel and allows self-limited formation of high quality thin oxide films on silicon surfaces. This technique is easy to implement in both research laboratory and industrial settings. Growth conditions and their effects on film growth have been described. Properties of the resulting oxide films, relevant for microelectronic device applications, have also been investigated and reported here. Overall, our findings are that thin, high quality, dense silicon dioxide films of thicknesses up to 100 nm can be easily grown in a depleted oxygen environment at temperatures similar to that used for usual silicon dioxide thermal growth in flowing dry oxygen.

  17. Fabrication of Thin Electrolytes for Second-Generation Solid Oxide Fuel Cells

    DTIC Science & Technology

    1999-05-05

    stabilized zirconia but are equally applicable to components, have been developed. Halogen com- other oxide electrolytes. pounds such as ZrCl4 and YC13...substrates. They used ZrCl4 and an oxygen source reactant. EVD is a two-step YC13 vapor mixtures as the metal compound sources process. The first step...thin zirconia layers on ited film. In this step oxygen ions formed on the porous alumina substrates. ZrCl4 and YC13 vapor water vapor side of the

  18. Indium oxide thin film as potential photoanodes for corrosion protection of stainless steel under visible light

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yan; Yu, Jianqiang, E-mail: jianqyu@qdu.edu.cn; Sun, Kai

    Graphical abstract: If the conduction band potential of In{sub 2}O{sub 3} is more negative than the corrosion potential of stainless steel, photo-induced electrons will be transferred from In{sub 2}O{sub 3} to the steel, thus shifting the potential of the steel into a corrosion immunity region and preventing the steel from the corrosion. - Highlights: • Indium oxide performed novel application under visible light. • Indium oxide by sol–gel method behaved better photoelectrochemical properties. • Electrons were transferred to stainless steel from indium oxide once light on. - Abstract: This paper reports the photoelectrochemical cathodic protection of 304 stainless steel bymore » In{sub 2}O{sub 3} thin-film under visible-light. The films were fabricated with In{sub 2}O{sub 3} powders, synthesized by both sol–gel (In{sub 2}O{sub 3}-sg) and solid-state (In{sub 2}O{sub 3}-ss) processes. The photo-induced open circuit potential and the photo-to-current efficiency measurements suggested that In{sub 2}O{sub 3} could be a promising candidate material for photoelectrochemical cathodic protection of metallic alloys under visible light. Moreover, the polarization curve experimental results indicated that In{sub 2}O{sub 3}-sg thin-film can mitigate the corrosion potential of 304 stainless steel to much more negative values with a higher photocurrent density than the In{sub 2}O{sub 3}-ss film under visible-light illumination. All the results demonstrated that the In{sub 2}O{sub 3}-sg thin-film provides a better photoelectrochemical cathodic protection for 304 stainless steel than In{sub 2}O{sub 3}-ss thin-film under visible-light illumination. The higher photoelectrochemical efficiency is possibly due to the uniform thin films produced with the smaller particle size of In{sub 2}O{sub 3}-sg, which facilitates the transfer of the photo-induced electrons from bulk to the surface and suppresses the charge recombination of the electrons and holes.« less

  19. Investigation of Thin Layered Cobalt Oxide Nano-Islands on Gold

    NASA Astrophysics Data System (ADS)

    Bajdich, Michal; Walton, Alex S.; Fester, Jakob; Arman, Mohammad A.; Osiecki, Jacek; Knudsen, Jan; Vojvodic, Aleksandra; Lauritsen, Jeppe V.

    2015-03-01

    Layered cobalt oxides have been shown to be highly active catalysts for the oxygen evolution reaction (OER), but the synergistic effect of contact with gold is yet to be fully understood. The synthesis of three distinct types of thin-layered cobalt oxide nano-islands supported on a single crystal gold (111) substrate is confirmed by combination of STM and XAS methods. In this work, we present DFT+U theoretical investigation of above nano-islands using several previously known structural models. Our calculations confirm stability of two low-oxygen pressure phases: (a) rock-salt Co-O bilayer and (b) wurtzite Co-O quadlayer and single high-oxygen pressure phase: (c) O-Co-O trilayer. The optimized geometries agree with STM structures and calculated oxidation states confirm the conversion from Co2+ to Co3+ found experimentally in XAS. The O-Co-O trilayer islands have the structure of a single layer of CoOOH proposed to be the true active phase for OER catalyst. For that reason, the effect of water on the Pourbaix stabilities of basal planes and edge sites is fully investigated. Lastly, we also present the corresponding OER theoretical overpotentials.

  20. Remarkably high mobility ultra-thin-film metal-oxide transistor with strongly overlapped orbitals

    NASA Astrophysics Data System (ADS)

    Wei Shih, Chen; Chin, Albert; Fu Lu, Chun; Fang Su, Wei

    2016-01-01

    High mobility channel thin-film-transistor (TFT) is crucial for both display and future generation integrated circuit. We report a new metal-oxide TFT that has an ultra-thin 4.5 nm SnO2 thickness for both active channel and source-drain regions, very high 147 cm2/Vs field-effect mobility, high ION/IOFF of 2.3 × 107, small 110 mV/dec sub-threshold slope, and a low VD of 2.5 V for low power operation. This mobility is already better than chemical-vapor-deposition grown multi-layers MoS2 TFT. From first principle quantum-mechanical calculation, the high mobility TFT is due to strongly overlapped orbitals.

  1. Periodic oxidation for fabricating titanium oxynitride thin films via atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Iwashita, Shinya, E-mail: shinya.iwashita@tel.com; Aoyama, Shintaro; Nasu, Masayuki

    2016-01-15

    This paper demonstrates thermal atomic layer deposition (ALD) combined with periodic oxidation for synthesizing titanium oxynitride (TiON) thin films. The process used a typical ALD reactor for the synthesis of titanium nitride (TiN) films wherein oxygen was supplied periodically between the ALD-TiN cycles. The great advantage of the process proposed here was that it allowed the TiN films to be oxidized efficiently. Also, a uniform depth profile of the oxygen concentration in the films could be obtained by tuning the oxidation conditions, allowing the process to produce a wide variety of TiON films. The resistivity measurement is a convenient methodmore » to confirm the reproducibility of metal film fabrication but may not be applicable for TiON films depending upon the oxidation condition because the films can easily turn into insulators when subjected to periodic oxidation. Therefore, an alternative reproducibility confirmation method was required. In this study, spectroscopic ellipsometry was applied to monitor the variation of TiON films and was able to detect changes in film structures such as conductor–insulator transitions in the TiON films.« less

  2. Ion induced crystallization and grain growth of hafnium oxide nano-particles in thin-films deposited by radio frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Dhanunjaya, M.; Khan, S. A.; Pathak, A. P.; Avasthi, D. K.; Nageswara Rao, S. V. S.

    2017-12-01

    We report on the swift heavy ion (SHI) irradiation induced crystallization and grain growth of HfO2 nanoparticles (NPs) within the HfO2 thin-films deposited by radio frequency (RF) magnetron sputtering technique. As grown films consisted of amorphous clusters of non-spherical HfO2 NPs. These amorphous clusters are transformed to crystalline grains under 100 MeV Ag ion irradiation. These crystallites are found to be spherical in shape and are well dispersed within the films. The average size of these crystallites is found to increase with fluence. Pristine and irradiated films have been characterized by high resolution transmission electron microscopy (HRTEM), selected area electron diffraction (SAED), grazing incident x-ray diffraction (GIXRD) and photo luminescence (PL) measurements. The PL measurements suggested the existence of different types of oxygen related defects in pristine and irradiated samples. The observed results on crystallization and grain growth under the influence of SHI are explained within the framework of thermal spike model. The results are expected to provide useful information for understanding the electronic excitation induced crystallization of nanoparticles and can lead to useful applications in electronic and photonic devices.

  3. Resistive switching characteristics of manganese oxide thin film and nanoparticle assembly hybrid devices

    NASA Astrophysics Data System (ADS)

    Abbas, Haider; Park, Mi Ra; Abbas, Yawar; Hu, Quanli; Kang, Tae Su; Yoon, Tae-Sik; Kang, Chi Jung

    2018-06-01

    Improved resistive switching characteristics are demonstrated in a hybrid device with Pt/Ti/MnO (thin film)/MnO (nanoparticle)/Pt structure. The hybrid devices of MnO thin film and nanoparticle assembly were fabricated. MnO nanoparticles with an average diameter of ∼30 nm were chemically synthesized and assembled as a monolayer on a Pt bottom electrode. A MnO thin film of ∼40 nm thickness was deposited on the nanoparticle assembly to form the hybrid structure. Resistive switching could be induced by the formation and rupture of conducting filaments in the hybrid oxide layers. The hybrid device exhibited very stable unipolar switching with good endurance and retention characteristics. It showed a larger and stable memory window with a uniform distribution of SET and RESET voltages. Moreover, the conduction mechanisms of ohmic conduction, space-charge-limited conduction, Schottky emission, and Poole–Frenkel emission have been investigated as possible conduction mechanisms for the switching of the devices. Using MnO nanoparticles in the thin film and nanoparticle heterostructures enabled the appropriate control of resistive random access memory (RRAM) devices and markedly improved their memory characteristics.

  4. Purely electronic mechanism of electrolyte gating of indium tin oxide thin films

    DOE PAGES

    Leng, X.; Bozovic, I.; Bollinger, A. T.

    2016-08-10

    Epitaxial indium tin oxide films have been grown on both LaAlO 3 and yttria-stabilized zirconia substrates using RF magnetron sputtering. Electrolyte gating causes a large change in the film resistance that occurs immediately after the gate voltage is applied, and shows no hysteresis during the charging/discharging processes. When two devices are patterned next to one another and the first one gated through an electrolyte, the second one shows no changes in conductance, in contrast to what happens in materials (like tungsten oxide) susceptible to ionic electromigration and intercalation. These findings indicate that electrolyte gating in indium tin oxide triggers amore » pure electronic process (electron depletion or accumulation, depending on the polarity of the gate voltage), with no electrochemical reactions involved. Electron accumulation occurs in a very thin layer near the film surface, which becomes highly conductive. These results contribute to our understanding of the electrolyte gating mechanism in complex oxides and may be relevant for applications of electric double layer transistor devices.« less

  5. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    DTIC Science & Technology

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  6. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    NASA Astrophysics Data System (ADS)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin

    2017-02-01

    This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm2/V·s) compared with the ITZO-only TFTs (∼34 cm2/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and -2.39 V compared with 6.10 and -6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of EA were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO2 reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  7. Air-stable electrical conduction in oxidized poly[2-methoxy-5-(2-ethylhexyloxy)-p-phenylene vinylene] thin films

    NASA Astrophysics Data System (ADS)

    Hossein-Babaei, F.; Shabani, P.; Azadinia, M.

    2013-11-01

    Oxidation-caused electroluminescence and electrical conduction deteriorations in poly[2-methoxy-5-(2-ethylhexyloxy)-p-phenylene vinylene] (MEH-PPV) have prevented the material from being used in applications requiring air exposure. Here, we report air-stable electrical conduction in oxidized MEH-PPV layers produced by room temperature annealing of MEH-PPV thin films in air. Oxidized layers exhibit lower, but stable, conductivities. As the process is irreversible, the final conductivity is retained in vacuum, inert gas, hydrogen, and oxygen. The oxidation rates recorded at different conditions for layers of varied thickness and electrode configuration are described by a surface oxidation model. Potentials of the oxidized MEH-PPV layers in sensor technology are demonstrated.

  8. In situ oxidation studies on /001/ copper-nickel alloy thin films

    NASA Technical Reports Server (NTRS)

    Heinemann, K.; Rao, D. B.; Douglass, D. L.

    1977-01-01

    High-resolution transmission electron microscopy studies are reported of (001)-oriented single crystalline thin films of Cu-3%Ni, Cu-4.6%Ni, and Cu-50%Ni alloy which were prepared by vapor deposition onto (001) NaCl substrates and subsequently annealed at around 1100 K and oxidized at 725 K at low oxygen partial pressure. At all alloy concentrations, Cu2O and NiO nucleated and grew independently without the formation of mixed oxides. The shape and growth rates of Cu2O nuclei were similar to rates found earlier. For low-nickel alloy concentrations, the NiO nuclei were larger and the number density of NiO was less than that of Cu-50%Ni films for which the shape and growth rates of NiO were identical to those for pure nickel films. Phenomena involving a reduced induction period, surface precipitation, and through-thickness growth are also described. The results are consistent with previously established oxidation mechanisms for pure copper and pure nickel films.

  9. Thin-Film Transistors Fabricated Using Sputter Deposition of Zinc Oxide

    NASA Astrophysics Data System (ADS)

    Xiao, Nan

    2013-01-01

    Development of thin film transistors (TFTs) with conventional channel layer materials, such as amorphous silicon (a-Si) and polysilicon (poly-Si), has been extensively investigated. A-Si TFT currently serves the large flat panel industry; however advanced display products are demanding better TFT performance because of the associated low electron mobility of a-Si. This has motivated interest in semiconducting metal oxides, such as Zinc Oxide (ZnO), for TFT backplanes. This work involves the fabrication and characterization of TFTs using ZnO deposited by sputtering. An overview of the process details and results from recently fabricated TFTs following a full-factorial designed experiment will be presented. Material characterization and analysis of electrical results will be described. The investigated process variables were the gate dielectric and ZnO sputtering process parameters including power density and oxygen partial pressure. Electrical results showed clear differences in treatment combinations, with certain I-V characteristics demonstrating superior performance to preliminary work. A study of device stability will also be discussed.

  10. Inkjet printing of metal-oxide-based transparent thin-film capacitors

    NASA Astrophysics Data System (ADS)

    Matavž, A.; Malič, B.; Bobnar, V.

    2017-12-01

    We report on the inkjet printing of transparent, thin-film capacitors (TTFCs) composed of indium-zinc-oxide electrodes and a tantalum-oxide-based dielectric on glass substrates. The printing parameters were adapted for the sequential deposition of functional layers, resulting in approximately 100-nm-thick transparent capacitors with a uniform thickness. The relatively high electrical resistivity of the electrodes is reflected in the frequency dispersive dielectric behaviour, which is explained in terms of an equivalent circuit. The resistivity of the electrode strongly decreases with the number of printing passes; consequently, any misalignment of the printed layers is detected in the measured response. At low frequency, the TTFCs show a stable intrinsic dielectric response and a high capacitance density of ˜280 nF/cm2. The good dielectric performance as well as the low leakage-current density (8 × 10-7 A/cm2 at 1 MV cm-1) of our capacitors indicates that inkjet printing can be used to produce all-printed, high-quality electrical devices.

  11. Study of blended conductive graft copolymer with graphite oxide thin films deposited using spin coating method for gas sensing and photovoltaic applications

    NASA Astrophysics Data System (ADS)

    KałuŻyński, P.; Procek, M.; Stolarczyk, Agnieszka; Maciak, E.

    2017-08-01

    This work presents an investigation on conductive graft comb copolymer like SILPEG CH9 with carbon materials like graphite oxide or reduced graphite oxide. Morphology and optical properties like sample roughness, graphite oxide particles distribution, optical transmittance were measured of obtained thin films deposited on glass substrate using spin coating method. The study showed that obtained thin films are repeatable, convenient to process, and their parameters can be easy changed by the spin rate regulation during the deposition. Given results shows the possibility of using such polymer blend in the implementation of organic photovoltaic cells and different optoelectronics applications.

  12. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    PubMed

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Solution-processed flexible fluorine-doped indium zinc oxide thin-film transistors fabricated on plastic film at low temperature.

    PubMed

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm(2)/V·s and stable characteristics under the various gate bias and temperature stresses.

  14. Optimization of the Solution-Based Indium-Zinc Oxide/Zinc-Tin Oxide Channel Layer for Thin-Film Transistors.

    PubMed

    Lim, Kiwon; Choi, Pyungho; Kim, Sangsub; Kim, Hyunki; Kim, Minsoo; Lee, Jeonghyun; Hyeon, Younghwan; Koo, Kwangjun; Choi, Byoungdeog

    2018-09-01

    Double stacked indium-zinc oxide (IZO)/zinc-tin oxide (ZTO) active layers were employed in amorphous-oxide-semiconductor thin-film transistors (AOS TFTs). Channel layers of the TFTs were optimized by varying the molarity of ZTO back channel layers (0.05, 0.1, 0.2, 0.3 M) and the electrical properties of IZO/ZTO double stacked TFTs were compared to single IZO and ZTO TFTs with varying the molarity and molar ratio. On the basis of the results, IZO/ZTO (0.1 M) TFTs showed the excellent electrical properties of saturation mobility (13.6 cm2/V·s), on-off ratio (7×106), and subthreshold swing (0.223 V/decade) compared to ZTO (0.1 M) of 0.73 cm2/V · s, 1 × 107, 0.416 V/decade and IZO (0.04 M) of 0.10 cm2/V · s, 5 × 106, 0.60 V/decade, respectively. This may be attributed to diffusing Sn into front layer during annealing process. In addition, with varying molarity of ZTO back channel layer, from 0.1 M to 0.3 M ZTO back channel TFTs, electrical properties and positive bias stability deteriorated with increasing molarity of back channel layer because of increasing total trap states. On the other hand, 0.05 M ZTO back channel TFT had inferior electrical properties than that of 0.1 M ZTO back channel TFT. It was related to back channel effect because of having thin thickness of channel layer. Among these devices, 0.1 M ZTO back channel TFT had a lowest total trap density, outstanding electrical properties and stability. Therefore, we recommended IZO/ZTO (0.1 M) TFT as a promising channel structure for advanced display applications.

  15. Enhanced In Situ Chemical Oxidation Using Surfactants and Shear Thinning Fluids

    NASA Astrophysics Data System (ADS)

    Hauswirth, S.; Sadeghi, S.; Cerda, C. C.; Espinoza, I.; Schultz, P. B.; Miller, C. T.

    2017-12-01

    In situ chemical oxidation (ISCO) is an attractive approach for the remediation of recalcitrant contaminants, due to the fact that target compounds are degraded in place, precluding the need for ex situ treatment or disposal. However, field applications of ISCO approaches have been plagued by "rebound" of contaminant concentrations in groundwater weeks to months after treatment. The cause of rebound at a given site may vary, but is typically associated with back-diffusion from finer grained, low permeability units or the presence of non-aqueous phase liquids (NAPLs) that are incompletely degraded during treatment. Modifications to traditional ISCO methods have been proposed to overcome these challenges, including the use of shear-thinning polymers to improve delivery of oxidants to low permeability units and the addition of surfactants to improve dissolution of contaminants from NAPLs. In this work, we investigate the application of these approaches to the oxidation of manufactured gas plant (MGP) tars—NAPLs composed primarily of polycyclic aromatic hydrocarbons (PAHs). We conducted experiments to determine the mutual impact of each chemical component on the physical and chemical properties of the overall system. Specifically, experiments were designed to: determine the kinetics and overall effectiveness of contaminant-oxidant reactions for multiple oxidant-activator combinations; screen several common surfactants in terms of their ability to increase MGP tar solubility and their compatibility with oxidant systems; measure the impact of oxidants and surfactants on the rheology of several common polymer additives; and assess the effect of surfactants and polymers on the consumption of oxidants/activators and on the kinetics of contaminant-oxidant reactions. The results of this work provide insight into the chemical and physical mechanisms associated with enhanced ISCO approaches and an improved basis with which to model and design ISCO applications at both the lab

  16. Optical, structural and electrochromic properties of sputter- deposited W-Mo oxide thin films

    NASA Astrophysics Data System (ADS)

    Gesheva, K.; Arvizu, M. A.; Bodurov, G.; Ivanova, T.; Niklasson, G. A.; Iliev, M.; Vlakhov, T.; Terzijska, P.; Popkirov, G.; Abrashev, M.; Boyadjiev, S.; Jágerszki, G.; Szilágyi, I. M.; Marinov, Y.

    2016-10-01

    Thin metal oxide films were investigated by a series of characterization techniques including impedance spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, and Atomic Force Microscopy. Thin film deposition by reactive DC magnetron sputtering was performed at the Ångström Laboratory. W and Mo targets (5 cm diameter) and various oxygen gas flows were employed to prepare samples with different properties, whereas the gas pressure was kept constant at about 30 mTorr. The substrates were 5×5 cm2 plates of unheated glass pre-coated with ITO having a resistance of 40 ohm/sq. Film thicknesses were around 300 nm as determined by surface profilometry. Newly acquired equipment was used to study optical spectra, optoelectronic properties, and film structure. Films of WO3 and of mixed W- Mo oxide with three compositions showed coloring and bleaching under the application of a small voltage. Cyclic voltammograms were recorded with a scan rate of 5 mV s-1. Ellipsometric data for the optical constants show dependence on the amount of MoOx in the chemical composition. Single MoOx film, and the mixed one with only 8% MoOx have the highest value of refractive index, and similar dispersion in the visible spectral range. Raman spectra displayed strong lines at wavenumbers between 780 cm-1 and 950 cm-1 related to stretching vibrations of WO3, and MoO3. AFM gave evidence for domains of different composition in mixed W-Mo oxide films.

  17. Transparent conducting ZnO-CdO mixed oxide thin films grown by the sol-gel method.

    PubMed

    Pathak, Trilok K; Rajput, Jeevitesh K; Kumar, Vinod; Purohit, L P; Swart, H C; Kroon, R E

    2017-02-01

    Mixed oxides of zinc and cadmium with different proportions were deposited on ordinary glass substrates using the sol-gel spin coating method under optimized deposition conditions using zinc acetate dihydrate and cadmium acetate dihydrate as precursors. X-ray diffraction patterns confirmed the polycrystalline nature of the films. A combination of cubic CdO and hexagonal wurtzite ZnO phases was observed. The oxidation states of Zn, Cd and O in the deposited films were determined by X-ray photoelectron spectroscopic studies. Surface morphology was studied by scanning electron microscopy and atomic force microscopy. The compositional analysis of the thin films was studied by secondary ion mass spectroscopy. The transmittance of the thin films was measured in the range 300-800nm and the optical bandgap was calculated using Tauc's plot method. The bandgap decreased from 3.15eV to 2.15eV with increasing CdO content. The light emission properties of the ZnO:CdO thin films were studied by photoluminescence spectra recorded at room temperature. The current-voltage characteristics were also assessed and showed ohmic behaviour. The resistance decreased with increasing CdO content. Copyright © 2016 Elsevier Inc. All rights reserved.

  18. High quality thin films of thermoelectric misfit cobalt oxides prepared by a chemical solution method

    PubMed Central

    Rivas-Murias, Beatriz; Manuel Vila-Fungueiriño, José; Rivadulla, Francisco

    2015-01-01

    Misfit cobaltates ([Bi/Ba/Sr/Ca/CoO]nRS[CoO2]q) constitute the most promising family of thermoelectric oxides for high temperature energy harvesting. However, their complex structure and chemical composition makes extremely challenging their deposition by high-vacuum physical techniques. Therefore, many of them have not been prepared as thin films until now. Here we report the synthesis of high-quality epitaxial thin films of the most representative members of this family of compounds by a water-based chemical solution deposition method. The films show an exceptional crystalline quality, with an electrical conductivity and thermopower comparable to single crystals. These properties are linked to the epitaxial matching of the rock-salt layers of the structure to the substrate, producing clean interfaces free of amorphous phases. This is an important step forward for the integration of these materials with complementary n-type thermoelectric oxides in multilayer nanostructures. PMID:26153533

  19. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  20. The effect of nitrous oxide plasma treatment on the bias temperature stress of metal oxide thin film transistors with high mobility

    NASA Astrophysics Data System (ADS)

    Tseng, Wei-Hao; Fang, Shao-Wei; Lu, Chia-Yang; Chuang, Hung-Yang; Chang, Fan-Wei; Lin, Guan-Yu; Chen, Tsu-Wei; Ma, Kang-Hung; Chen, Hong-Syu; Chen, Teng-Ke; Chen, Yu-Hung; Lee, Jen-Yu; Shih, Tsung-Hsiang; Ting, Hung-Che; Chen, Chia-Yu; Lin, Yu-Hsin; Hong, Hong-Jye

    2015-01-01

    In this work, the effects of nitrous oxide plasma treatment on the negative bias temperature stress of indium tin zinc oxide (ITZO) and indium gallium zinc oxide (IGZO) thin film transistors (TFTs) were reported. ITZO TFTs were more suitable for the back channel etched-type device structure because they could withstand both Al- and Cu-acid damage. The initial threshold voltage range could be controlled to within 1 V. The root cause of poor negative bias temperature stress for ITZO was likely due to a higher mobility (∼3.3 times) and more carbon related contamination bonds (∼5.9 times) relative to IGZO. Finally, 65″ active-matrix organic light-emitting diode televisions using the ITZO and IGZO TFTs were fabricated.

  1. Charge transfer from an adsorbed ruthenium-based photosensitizer through an ultra-thin aluminium oxide layer and into a metallic substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gibson, Andrew J.; Temperton, Robert H.; Handrup, Karsten

    2014-06-21

    The interaction of the dye molecule N3 (cis-bis(isothiocyanato)bis(2,2-bipyridyl-4,4′-dicarbo-xylato) -ruthenium(II)) with the ultra-thin oxide layer on a AlNi(110) substrate, has been studied using synchrotron radiation based photoelectron spectroscopy, resonant photoemission spectroscopy, and near edge X-ray absorption fine structure spectroscopy. Calibrated X-ray absorption and valence band spectra of the monolayer and multilayer coverages reveal that charge transfer is possible from the molecule to the AlNi(110) substrate via tunnelling through the ultra-thin oxide layer and into the conduction band edge of the substrate. This charge transfer mechanism is possible from the LUMO+2 and 3 in the excited state but not from the LUMO,more » therefore enabling core-hole clock analysis, which gives an upper limit of 6.0 ± 2.5 fs for the transfer time. This indicates that ultra-thin oxide layers are a viable material for use in dye-sensitized solar cells, which may lead to reduced recombination effects and improved efficiencies of future devices.« less

  2. Tuning Magnetic Order in Transition Metal Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Grutter, Alexander John

    In recent decades, one of the most active and promising areas of condensed matter research has been that of complex oxides. With the advent of new growth techniques such as pulsed laser deposition and molecular beam epitaxy, a wealth of new magnetic and electronic ground states have emerged in complex oxide heterostructures. The wide variety of ground states in complex oxides is well known and generally attributed to the unprecedented variety of valence, structure, and bonding available in these systems. The tunability of this already diverse playground of states and interactions is greatly multiplied in thin films and heterostructures by the addition of parameters such as substrate induced strain and interfacial electronic reconstruction. Thus, recent studies have shown emergent properties such as the stabilization of ferromagnetism in a paramagnetic system, conductivity at the interface of two insulators, and even exchange bias at the interface between a paramagnet and a ferromagnet. Despite these steps forward, there remains remarkable disagreement on the mechanisms by which these emergent phenomena are stabilized. The contributions of strain, stoichiometry, defects, intermixing, and electronic reconstruction are often very difficult to isolate in thin films and superlattices. This thesis will present model systems for isolating the effects of strain and interfacial electronic interactions on the magnetic state of complex oxides from alternative contributions. We will focus first on SrRuO3, an ideal system in which to isolate substrate induced strain effects. We explore the effects of structural distortions in the simplest case of growth on (100) oriented substrates. We find that parameters including saturated magnetic moment and Curie temperature are all highly tunable through substrate induced lattice distortions. We also report the stabilization of a nonmagnetic spin-zero configuration of Ru4+ in tetragonally distorted films under tensile strain. Through

  3. Remarkably high mobility ultra-thin-film metal-oxide transistor with strongly overlapped orbitals

    PubMed Central

    Wei Shih, Chen; Chin, Albert; Fu Lu, Chun; Fang Su, Wei

    2016-01-01

    High mobility channel thin-film-transistor (TFT) is crucial for both display and future generation integrated circuit. We report a new metal-oxide TFT that has an ultra-thin 4.5 nm SnO2 thickness for both active channel and source-drain regions, very high 147 cm2/Vs field-effect mobility, high ION/IOFF of 2.3 × 107, small 110 mV/dec sub-threshold slope, and a low VD of 2.5 V for low power operation. This mobility is already better than chemical-vapor-deposition grown multi-layers MoS2 TFT. From first principle quantum-mechanical calculation, the high mobility TFT is due to strongly overlapped orbitals. PMID:26744240

  4. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    NASA Astrophysics Data System (ADS)

    Jaiswal, Manoj Kumar; Kanjilal, D.; Kumar, Rajesh

    2013-11-01

    Thin films of tin(IV) oxide (SnO2) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au8+ using 1 pnA current at normal incidence with ion fluences varying from 1 × 1011 ions/cm2 to 5 × 1013 ions/cm2. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV-Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm-1 in FTIR spectrum confirmed the O-Sn-O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO2 were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  5. Integration of Multi-Functional Oxide Thin Film Heterostructures with III-V Semiconductors

    NASA Astrophysics Data System (ADS)

    Rahman, Md. Shafiqur

    Integration of multi-functional oxide thin films with semiconductors has attracted considerable attention in recent years due to their potential applications in sensing and logic functionalities that can be incorporated in future system-on-a-chip devices. III-V semiconductor, for example, GaAs, have higher saturated electron velocity and mobility allowing transistors based on GaAs to operate at a much higher frequency with less noise compared to Si. In addition, because of its direct bandgap a number of efficient optical devices are possible and by oxide integrating with other III-V semiconductors the wavelengths can be made tunable through hetero-engineering of the bandgap. This study, based on the use of SrTiO3 (STO) films grown on GaAs (001) substrates by molecular beam epitaxy (MBE) as an intermediate buffer layer for the hetero-epitaxial growth of ferromagnetic La0.7Sr 0.3MnO3 (LSMO) and room temperature multiferroic BiFeO 3 (BFO) thin films and superlattice structures using pulsed laser deposition (PLD). The properties of the multilayer thin films in terms of growth modes, lattice spacing/strain, interface structures and texture were characterized by the in-situ reflection high energy electron diffraction (RHEED). The crystalline quality and chemical composition of the complex oxide heterostructures were investigated by a combination of X-ray diffraction (XRD) and X-ray photoelectron absorption spectroscopy (XPS). Surface morphology, piezo-response with domain structure, and ferroelectric switching observations were carried out on the thin film samples using a scanning probe microscope operated as a piezoresponse force microscopy (PFM) in the contact mode. The magnetization measurements with field cooling exhibit a surprising increment in magnetic moment with enhanced magnetic hysteresis squareness. This is the effect of exchange interaction between the antiferromagnetic BFO and the ferromagnetic LSMO at the interface. The integration of BFO materials with

  6. Radiation Effects on the Electrical Properties of Hafnium Oxide Based MOS Capacitors

    DTIC Science & Technology

    2011-03-01

    Figures Figure Page 1. Conceptual illustration of the creation of electron-hole pairs and displacement damage in a n -type silicon metal-oxide-silicon...Illustration of the effect, in a CV plot, of oxide trapped charge for a hypothetical n -type device...8 5. Illustration of the effect, in a CV plot, of interface trapped charge for a hypothetical n -type device

  7. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO{sub 2}) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO{sub 2} layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO{sub 2} layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnOmore » layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.« less

  8. Synthesis of Au microwires by selective oxidation of Au–W thin-film composition spreads

    PubMed Central

    Hamann, Sven; Brunken, Hayo; Salomon, Steffen; Meyer, Robert; Savan, Alan; Ludwig, Alfred

    2013-01-01

    We report on the stress-induced growth of Au microwires out of a surrounding Au–W matrix by selective oxidation, in view of a possible application as ‘micro-Velcro’. The Au wires are extruded due to the high compressive stress in the tungsten oxide formed by oxidation of elemental W. The samples were fabricated as a thin-film materials library using combinatorial sputter deposition followed by thermal oxidation. Sizes and shapes of the Au microwires were investigated as a function of the W to Au ratio. The coherence length and stress state of the Au microwires were related to their shape and plastic deformation. Depending on the composition of the Au–W precursor, the oxidized samples showed regions with differently shaped Au microwires. The Au48W52 composition yielded wires with the maximum length to diameter ratio due to the high compressive stress in the tungsten oxide matrix. The values of wire length (35 μm) and diameter (2 μm) achieved at the Au48W52 composition are suitable for micro-Velcro applications. PMID:27877561

  9. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  10. Water-Mediated Photochemical Treatments for Low-Temperature Passivation of Metal-Oxide Thin-Film Transistors.

    PubMed

    Heo, Jae Sang; Jo, Jeong-Wan; Kang, Jingu; Jeong, Chan-Yong; Jeong, Hu Young; Kim, Sung Kyu; Kim, Kwanpyo; Kwon, Hyuck-In; Kim, Jaekyun; Kim, Yong-Hoon; Kim, Myung-Gil; Park, Sung Kyu

    2016-04-27

    The low-temperature electrical passivation of an amorphous oxide semiconductor (AOS) thin-film transistor (TFT) is achieved by a deep ultraviolet (DUV) light irradiation-water treatment-DUV irradiation (DWD) method. The water treatment of the first DUV-annealed amorphous indium-gallium-zinc-oxide (a-IGZO) thin film is likely to induce the preferred adsorption of water molecules at the oxygen vacancies and leads to subsequent hydroxide formation in the bulk a-IGZO films. Although the water treatment initially degraded the electrical performance of the a-IGZO TFTs, the second DUV irradiation on the water-treated devices may enable a more complete metal-oxygen-metal lattice formation while maintaining low oxygen vacancies in the oxide films. Overall, the stable and dense metal-oxygen-metal (M-O-M) network formation could be easily achieved at low temperatures (below 150 °C). The successful passivation of structural imperfections in the a-IGZO TFTs, such as hydroxyl group (OH-) and oxygen vacancies, mainly results in the enhanced electrical performances of the DWD-processed a-IGZO TFTs (on/off current ratio of 8.65 × 10(9), subthreshold slope of 0.16 V/decade, an average mobility of >6.94 cm(2) V(-1) s(-1), and a bias stability of ΔVTH < 2.5 V), which show more than a 30% improvement over the simple DUV-treated a-IGZO TFTs.

  11. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  12. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  13. Analysis of indium zinc oxide thin films by laser-induced breakdown spectroscopy

    NASA Astrophysics Data System (ADS)

    Popescu, A. C.; Beldjilali, S.; Socol, G.; Craciun, V.; Mihailescu, I. N.; Hermann, J.

    2011-10-01

    We have performed spectroscopic analysis of the plasma generated by Nd:YAG (λ = 266 nm) laser irradiation of thin indium zinc oxide films with variable In content deposited by combinatorial pulsed laser deposition on glass substrates. The samples were irradiated in 5 × 104 Pa argon using laser pulses of 5 ns duration and 10 mJ energy. The plasma emission spectra were recorded with an Echelle spectrometer coupled to a gated detector with different delays with respect to the laser pulse. The relative concentrations of indium and zinc were evaluated by comparing the measured spectra to the spectral radiance computed for a plasma in local thermal equilibrium. Plasma temperature and electron density were deduced from the relative intensities and Stark broadening of spectral lines of atomic zinc. Analyses at different locations on the deposited thin films revealed that the In/(In + Zn) concentration ratio significantly varies over the sample surface, from 0.4 at the borders to about 0.5 in the center of the film. The results demonstrate that laser-induced breakdown spectroscopy allows for precise and fast characterization of thin films with variable composition.

  14. STEM and APT characterization of scale formation on a La,Hf,Ti-doped NiCrAl model alloy.

    PubMed

    Unocic, Kinga A; Chen, Yimeng; Shin, Dongwon; Pint, Bruce A; Marquis, Emmanuelle A

    2018-06-01

    A thermally grown scale formed on a cast NiCrAl model alloy doped with lanthanum, hafnium, and titanium was examined after isothermal exposure at 1100 °C for 100 h in dry flowing O 2 to understand the dopant segregation along scale grain boundaries. The complex scale formed on the alloy surface was composed of two types of substrates: phase-dependent, thin (<250 nm) outer layers and a columnar-grained ∼3.5 μm inner alumina layer. Two types of oxides formed between the inner and outer scale layers: small (3-15 nm) La 2 O 3 and larger (≤50 nm) HfO 2 oxide precipitates. Nonuniform distributions of the hafnium, lanthanum, and titanium dopants were observed along the inner scale grain boundaries, with hafnium dominating in most of the grain boundaries of α-Al 2 O 3. The concentration of reactive elements (RE) seemed to strongly depend on the grain boundary structure. The level of titanium grain boundary segregation in the inner scale decreased toward the model alloy (substrate), confirming the fast outward diffusion of titanium. Hafnium was also observed at the metal-scale interface and in the γ' (Ni 3 Al) phase of the alloy. High-resolution scanning transmission electron microscopy (STEM) confirmed the substitution of REs for aluminum atoms at the scale grain boundaries, consistent with both the semiconducting band structure and the site-blocking models. Both STEM and atom probe tomography allowed quantification of REs along the scale grain boundaries across the scale thickness. Analysis of the scale morphology after isothermal exposure in flowing oxygen revealed a myriad of new precipitate phases, RE segregation dependence on grain boundary type, and atomic arrangement along scale grain boundaries, which is expected to influence the scale growth rate, stability, and mechanical properties. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE PAGES

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    2016-04-16

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  16. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  17. The effect of Argon pressure dependent V thin film on the phase transition process of (020) VO2 thin film

    NASA Astrophysics Data System (ADS)

    Meng, Yifan; Huang, Kang; Tang, Zhou; Xu, Xiaofeng; Tan, Zhiyong; Liu, Qian; Wang, Chunrui; Wu, Binhe; Wang, Chang; Cao, Juncheng

    2018-01-01

    It has been proved challenging to fabricate the single crystal orientation of VO2 thin film by a simple method. Based on chemical reaction thermodynamic and crystallization analysis theory, combined with our experimental results, we find out that when stoichiometric number of metallic V in the chemical equation is the same, the ratio of metallic V thin film surface average roughness Ra to thin film average particle diameter d decreases with the decreasing sputtering Argon pressure. Meanwhile, the oxidation reaction equilibrium constant K also decreases, which will lead to the increases of oxidation time, thereby the crystal orientation of the VO2 thin film will also become more uniform. By sputtering oxidation coupling method, metallic V thin film is deposited on c-sapphire substrate at 1 × 10-1 Pa, and then oxidized in the air with the maximum oxidation time of 65s, high oriented (020) VO2 thin film has been fabricated successfully, which exhibits ∼4.6 orders sheet resistance change across the metal-insulator transition.

  18. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jie; Morrow, Darien J.; Fu, Yongping

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  19. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE PAGES

    Chen, Jie; Morrow, Darien J.; Fu, Yongping; ...

    2017-09-05

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  20. Phosphorus Doping Effect in a Zinc Oxide Channel Layer to Improve the Performance of Oxide Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Han, Dong-Suk; Moon, Yeon-Keon; Lee, Sih; Kim, Kyung-Taek; Moon, Dae-Yong; Lee, Sang-Ho; Kim, Woong-Sun; Park, Jong-Wan

    2012-09-01

    In this study, we fabricated phosphorus-doped zinc oxide-based thin-film transistors (TFTs) using direct current (DC) magnetron sputtering at a relatively low temperature of 100°C. To improve the TFT device performance, including field-effect mobility and bias stress stability, phosphorus dopants were employed to suppress the generation of intrinsic defects in the ZnO-based semiconductor. The positive and negative bias stress stabilities were dramatically improved by introducing the phosphorus dopants, which could prevent turn-on voltage ( V ON) shift in the TFTs caused by charge trapping within the active channel layer. The study showed that phosphorus doping in ZnO was an effective method to control the electrical properties of the active channel layers and improve the bias stress stability of oxide-based TFTs.

  1. Influence of precursor concentration on the structural, optical and electrical properties of indium oxide thin film prepared by a sol-gel method

    NASA Astrophysics Data System (ADS)

    Lau, L. N.; Ibrahim, N. B.; Baqiah, H.

    2015-08-01

    This research was carried out to study the effect of different precursor concentrations on the physical properties of indium oxide (In2O3) thin film. In2O3 is a promising n-type semiconductor material that has been used in optoelectronic applications because of its highly transparent properties. It is a transparent conducting oxide with a wide band gap (∼3.7 eV). The experiment was started by preparing different precursor concentrations of indium nitrate hydrate (In (NO3)·H2O) solution and followed by the spin coating technique prior to an annealing process at 500 °C. Indium oxide thin films were characterized using an X-ray diffractometer, an ultraviolet-visible spectroscopy, a field emission scanning electron microscope and a Hall Effect Measurement System in order to determine the influence caused by the different molarities of indium oxide. The result showed that the film thickness increased with the indium oxide molarity. Film thicknesses were in the range of 0.3-135.1 nm and optical transparency of films was over 94%. Lowest resistivity of 2.52 Ω cm with a mobility of 26.60 cm2 V-1 S-1 and carrier concentration of 4.27 × 1017 cm-3 was observed for the indium oxide thin film prepared at 0.30 M.

  2. A liquid metal reaction environment for the room-temperature synthesis of atomically thin metal oxides

    NASA Astrophysics Data System (ADS)

    Zavabeti, Ali; Ou, Jian Zhen; Carey, Benjamin J.; Syed, Nitu; Orrell-Trigg, Rebecca; Mayes, Edwin L. H.; Xu, Chenglong; Kavehei, Omid; O'Mullane, Anthony P.; Kaner, Richard B.; Kalantar-zadeh, Kourosh; Daeneke, Torben

    2017-10-01

    Two-dimensional (2D) oxides have a wide variety of applications in electronics and other technologies. However, many oxides are not easy to synthesize as 2D materials through conventional methods. We used nontoxic eutectic gallium-based alloys as a reaction solvent and co-alloyed desired metals into the melt. On the basis of thermodynamic considerations, we predicted the composition of the self-limiting interfacial oxide. We isolated the surface oxide as a 2D layer, either on substrates or in suspension. This enabled us to produce extremely thin subnanometer layers of HfO2, Al2O3, and Gd2O3. The liquid metal-based reaction route can be used to create 2D materials that were previously inaccessible with preexisting methods. The work introduces room-temperature liquid metals as a reaction environment for the synthesis of oxide nanomaterials with low dimensionality.

  3. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  4. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  5. Morphological impact of zinc oxide layers on the device performance in thin-film transistors.

    PubMed

    Faber, Hendrik; Klaumünzer, Martin; Voigt, Michael; Galli, Diana; Vieweg, Benito F; Peukert, Wolfgang; Spiecker, Erdmann; Halik, Marcus

    2011-03-01

    Zinc oxide thin-films are prepared either by spin coating of an ethanolic dispersion of nanoparticles (NP, diameter 5 nm) or by spray pyrolysis of a zinc acetate dihydrate precursor. High-resolution electron microscopy studies reveal a monolayer of particles for the low temperature spin coating approach and larger crystalline domains of more than 30 nm for the spray pyrolysis technique. Thin-film transistor devices (TFTs) based on spray pyrolysis films exhibit higher electron mobilities of up to 24 cm2 V(-1) s(-1) compared to 0.6 cm2 V(-1) s(-1) for NP based TFTs. These observations were dedicated to a reduced number of grain boundaries within the transistor channel.

  6. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    NASA Astrophysics Data System (ADS)

    Sarath Kumar, S. R.; Abutaha, Anas I.; Hedhili, M. N.; Alshareef, H. N.

    2012-01-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258-133 S cm-1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8-3.2 me), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  7. Oxide semiconductor thin-film transistors: a review of recent advances.

    PubMed

    Fortunato, E; Barquinha, P; Martins, R

    2012-06-12

    Transparent electronics is today one of the most advanced topics for a wide range of device applications. The key components are wide bandgap semiconductors, where oxides of different origins play an important role, not only as passive component but also as active component, similar to what is observed in conventional semiconductors like silicon. Transparent electronics has gained special attention during the last few years and is today established as one of the most promising technologies for leading the next generation of flat panel display due to its excellent electronic performance. In this paper the recent progress in n- and p-type oxide based thin-film transistors (TFT) is reviewed, with special emphasis on solution-processed and p-type, and the major milestones already achieved with this emerging and very promising technology are summarizeed. After a short introduction where the main advantages of these semiconductors are presented, as well as the industry expectations, the beautiful history of TFTs is revisited, including the main landmarks in the last 80 years, finishing by referring to some papers that have played an important role in shaping transparent electronics. Then, an overview is presented of state of the art n-type TFTs processed by physical vapour deposition methods, and finally one of the most exciting, promising, and low cost but powerful technologies is discussed: solution-processed oxide TFTs. Moreover, a more detailed focus analysis will be given concerning p-type oxide TFTs, mainly centred on two of the most promising semiconductor candidates: copper oxide and tin oxide. The most recent data related to the production of complementary metal oxide semiconductor (CMOS) devices based on n- and p-type oxide TFT is also be presented. The last topic of this review is devoted to some emerging applications, finalizing with the main conclusions. Related work that originated at CENIMAT|I3N during the last six years is included in more detail, which

  8. Electronic Structure of Low-Temperature Solution-Processed Amorphous Metal Oxide Semiconductors for Thin-Film Transistor Applications

    PubMed Central

    Socratous, Josephine; Banger, Kulbinder K; Vaynzof, Yana; Sadhanala, Aditya; Brown, Adam D; Sepe, Alessandro; Steiner, Ullrich; Sirringhaus, Henning

    2015-01-01

    The electronic structure of low temperature, solution-processed indium–zinc oxide thin-film transistors is complex and remains insufficiently understood. As commonly observed, high device performance with mobility >1 cm2 V−1 s−1 is achievable after annealing in air above typically 250 °C but performance decreases rapidly when annealing temperatures ≤200 °C are used. Here, the electronic structure of low temperature, solution-processed oxide thin films as a function of annealing temperature and environment using a combination of X-ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy, and photothermal deflection spectroscopy is investigated. The drop-off in performance at temperatures ≤200 °C to incomplete conversion of metal hydroxide species into the fully coordinated oxide is attributed. The effect of an additional vacuum annealing step, which is beneficial if performed for short times at low temperatures, but leads to catastrophic device failure if performed at too high temperatures or for too long is also investigated. Evidence is found that during vacuum annealing, the workfunction increases and a large concentration of sub-bandgap defect states (re)appears. These results demonstrate that good devices can only be achieved in low temperature, solution-processed oxides if a significant concentration of acceptor states below the conduction band minimum is compensated or passivated by shallow hydrogen and oxygen vacancy-induced donor levels. PMID:26190964

  9. Electronic Structure of Low-Temperature Solution-Processed Amorphous Metal Oxide Semiconductors for Thin-Film Transistor Applications.

    PubMed

    Socratous, Josephine; Banger, Kulbinder K; Vaynzof, Yana; Sadhanala, Aditya; Brown, Adam D; Sepe, Alessandro; Steiner, Ullrich; Sirringhaus, Henning

    2015-03-25

    The electronic structure of low temperature, solution-processed indium-zinc oxide thin-film transistors is complex and remains insufficiently understood. As commonly observed, high device performance with mobility >1 cm 2 V -1 s -1 is achievable after annealing in air above typically 250 °C but performance decreases rapidly when annealing temperatures ≤200 °C are used. Here, the electronic structure of low temperature, solution-processed oxide thin films as a function of annealing temperature and environment using a combination of X-ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy, and photothermal deflection spectroscopy is investigated. The drop-off in performance at temperatures ≤200 °C to incomplete conversion of metal hydroxide species into the fully coordinated oxide is attributed. The effect of an additional vacuum annealing step, which is beneficial if performed for short times at low temperatures, but leads to catastrophic device failure if performed at too high temperatures or for too long is also investigated. Evidence is found that during vacuum annealing, the workfunction increases and a large concentration of sub-bandgap defect states (re)appears. These results demonstrate that good devices can only be achieved in low temperature, solution-processed oxides if a significant concentration of acceptor states below the conduction band minimum is compensated or passivated by shallow hydrogen and oxygen vacancy-induced donor levels.

  10. Vacancy defects and optoelectrical properties for fluorine tin oxide thin films with various SnF2 contents

    NASA Astrophysics Data System (ADS)

    Zhou, Yawei; Xu, Wenwu; Li, Jingjing; Yin, Chongshan; Liu, Yong; Zhao, Bin; Chen, Zhiquan; He, Chunqing; Mao, Wenfeng; Ito, Kenji

    2018-01-01

    Fluorine doped tin oxide (FTO) thin films were deposited on glass substrates by e-beam evaporation. Much higher carrier concentration, broader optical band gap, and average transmittance over 80% were obtained with SnF2 doped SnO2 thin films. Positron annihilation results showed that there are two kinds of vacancy clusters with different sizes existing in the annealed FTO thin films, and the concentration of the larger vacancy clusters of VSnO in the thin films increases with increasing SnF2 contents. Meanwhile, photoluminescence spectra results indicated that the better electrical and optical properties of the FTO thin films are attributed to FO substitutions and oxygen vacancies with higher concentration, which are supported by positron annihilation Doppler broadening results and confirmed by X-ray photoelectron spectroscopy. The results showed that widening of the optical band gap of the FTO thin films strongly depends on the carrier concentration, which is interpreted for the Burstein-Moss effect and is associated with the formation of FO and oxygen vacancies with increasing SnF2 content.

  11. Influence of Thermal Annealing Treatment on Bipolar Switching Properties of Vanadium Oxide Thin-Film Resistance Random-Access Memory Devices

    NASA Astrophysics Data System (ADS)

    Chen, Kai-Huang; Cheng, Chien-Min; Kao, Ming-Cheng; Chang, Kuan-Chang; Chang, Ting-Chang; Tsai, Tsung-Ming; Wu, Sean; Su, Feng-Yi

    2017-04-01

    The bipolar switching properties and electrical conduction mechanism of vanadium oxide thin-film resistive random-access memory (RRAM) devices obtained using a rapid thermal annealing (RTA) process have been investigated in high-resistive status/low-resistive status (HRS/LRS) and are discussed herein. In addition, the resistance switching properties and quality improvement of the vanadium oxide thin-film RRAM devices were measured by x-ray diffraction (XRD) analysis, x-ray photoelectron spectrometry (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage ( I- V) measurements. The activation energy of the hopping conduction mechanism in the devices was investigated based on Arrhenius plots in HRS and LRS. The hopping conduction distance and activation energy barrier were obtained as 12 nm and 45 meV, respectively. The thermal annealing process is recognized as a candidate method for fabrication of thin-film RRAM devices, being compatible with integrated circuit technology for nonvolatile memory devices.

  12. Vanadium oxide thin films produced by magnetron sputtering from a V2O5 target at room temperature

    NASA Astrophysics Data System (ADS)

    de Castro, Marcelo S. B.; Ferreira, Carlos L.; de Avillez, Roberto R.

    2013-09-01

    Vanadium oxide thin films were grown by RF magnetron sputtering from a V2O5 target at room temperature, an alternative route of production of vanadium oxide thin films for infrared detector applications. The films were deposited on glass substrates, in an argon-oxygen atmosphere with an oxygen partial pressure from nominal 0% to 20% of the total pressure. X-ray diffraction (XRD) and X-ray photon spectroscopy (XPS) analyses showed that the films were a mixture of several vanadium oxides (V2O5, VO2, V5O9 and V2O3), which resulted in different colors, from yellow to black, depending on composition. The electrical resistivity varied from 1 mΩ cm to more than 500 Ω cm and the thermal coefficient of resistance (TCR), varied from -0.02 to -2.51% K-1. Computational thermodynamics was used to simulate the phase diagram of the vanadium-oxygen system. Even if plasma processes are far from equilibrium, this diagram provides the range of oxygen pressures that lead to the growth of different vanadium oxide phases. These conditions were used in the present work.

  13. Solution-Processed Flexible Fluorine-doped Indium Zinc Oxide Thin-Film Transistors Fabricated on Plastic Film at Low Temperature

    PubMed Central

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm2/V·s and stable characteristics under the various gate bias and temperature stresses. PMID:23803977

  14. Hafnium, Tungsten, and the Differentiation of the Moon and Mars

    NASA Astrophysics Data System (ADS)

    Taylor, G. J.

    2003-11-01

    Measurements of the isotopic composition of tungsten (W) show that lunar samples and Martian meteorites have an excess of W-182. This was produced by the decay of hafnium-182 (Hf-182), an isotope with a half-life of only 9 million years. Because tungsten dissolves enthusiastically in metallic iron and hafnium does not, it is possible to use the abundance of W-182 in rocks formed by melting of the silicate mantle as an indicator of the timing of core formation. However, the concentrations of Hf and W in rocky material can be affected by melting and crystallization, so we also need to know how each element concentrates in common minerals in the mantles of the Moon and Mars. The behavior of Hf has been studied experimentally, but this is not true of W. Kevin Righter (Johnson Space Center) and Charles (Chip) Shearer (University of New Mexico) have filled this knowledge void by determining how W partitions between olivine, high- and low-calcium pyroxene, plagioclase feldspar, and garnet. The new data allowed Righter and Shearer to reexamine available measurements of the isotopic composition of W in lunar samples and Martian meteorites. Their analysis suggests that the lunar magma ocean, a huge magma system that surrounded the Moon when it formed, solidified in less than 30 million years. This is shorter than many theoretical calculations suggest. Pathfinder data and chemical data from Martian meteorites suggest that the core of Mars makes up about 20% of the planet. Core formation and subsequent melting of a region of the mantle containing garnet and high-calcium pyroxene took place less than 20-30 million years after the formation of the first solids in the solar system. This type of research shows the importance of measurements of isotopic compositions of radioactive elements or their decay products and laboratory experiments on the geochemical behavior of those elements.

  15. Electrical characterization of reduced graphene oxide (rGO) on organic thin film transistor (OTFT)

    NASA Astrophysics Data System (ADS)

    Musa, Nurhazwani; Halim, Nurul Farhanah Ab.; Ahmad, Mohd Noor; Zakaria, Zulkhairi; Hashim, Uda

    2017-03-01

    A green method and eco-friendly solution were used to chemically reduce graphene oxide (GO) to graphene using green reductant. In this study, graphene oxide (GO) were prepared by using Tours method. Then, reduced graphene oxides (rGO) were prepared by using three typical reduction agents: L-ascorbic acid (L-AA), formamidinesulfinic acid (FAS) and sodium sulfite (Na2SO3). The reduced materials were characterized by Fourier transform infrared spectroscopy (FTIR), Thermo gravimetric analysis (TGA) and X-ray diffraction (XRD). Graphene based organic thin film transistor (G-OTFT) was prepared by a spin coating and thermal evaporation technique. The electrical characterization of G-OTFT was analyzed by using semiconductor parameter analyzer (SPA). The G-OTFT devices show p-type semiconducting behaviour. This article focuses on the synthesis and reduction of graphene oxide using three different reductants in order to maximise its electrical conductivity. The rGO product demonstrated a good electrical conductivity performance with highly sensitivity sensor.

  16. A room temperature strategy towards enhanced performance and bias stability of oxide thin film transistor with a sandwich structure channel layer

    NASA Astrophysics Data System (ADS)

    Zeng, Yong; Ning, Honglong; Zheng, Zeke; Zhang, Hongke; Fang, Zhiqiang; Yao, Rihui; Xu, Miao; Wang, Lei; Lan, Linfeng; Peng, Junbiao; Lu, Xubing

    2017-04-01

    Thermal annealing is a conventional and effective way to improve the bias stress stability of oxide thin film transistors (TFT) on solid substrates. However, it is still a challenge for enhancing the bias stress stability of oxide TFTs on flexible substrates by high-temperature post-treatment due to the thermal sensitivity of flexible substrates. Here, a room temperature strategy is presented towards enhanced performance and bias stability of oxide TFTs by intentionally engineering a sandwich structure channel layer consisting of a superlattice with aluminum doped zinc oxide (AZO) and Al2O3 thin films. The Al2O3/AZO/Al2O3-TFTs not only exhibit a saturation mobility of 9.27 cm2 V-1 s-1 and a linear mobility of 11.38 cm2 V-1 s-1 but also demonstrate a better bias stress stability than AZO/Al2O3-TFT. Moreover, the underlying mechanism of this enhanced electrical performance of TFTs with a sandwich structure channel layer is that the bottom Al2O3 thin films can obviously improve the crystalline phase of AZO films while decreasing electrical trapping centers and adsorption sites for undesirable molecules such as water and oxygen.

  17. Origin of fieldlike spin-orbit torques in heavy metal/ferromagnet/oxide thin film heterostructures

    NASA Astrophysics Data System (ADS)

    Ou, Yongxi; Pai, Chi-Feng; Shi, Shengjie; Ralph, D. C.; Buhrman, R. A.

    2016-10-01

    We report measurements of the thickness and temperature (T ) dependencies of current-induced spin-orbit torques, especially the fieldlike (FL) component, in various heavy metal (HM)/normal metal (NM) spacer/ferromagnet (FM)/oxide (MgO and Hf Ox/MgO ) heterostructures. The FL torque in these samples originates from spin current generated by the spin Hall effect in the HM. For a FM layer sufficiently thin that a substantial portion of this spin current can reach the FM/oxide interface, T-dependent spin scattering there can yield a strong FL torque that is, in some cases, opposite in sign to that exerted at the NM/FM interface.

  18. Improving the optoelectronic properties of titanium-doped indium tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Taha, Hatem; Jiang, Zhong-Tao; Henry, David J.; Amri, Amun; Yin, Chun-Yang; Mahbubur Rahman, M.

    2017-06-01

    The focus of this study is on a sol-gel method combined with spin-coating to prepare high-quality transparent conducting oxide (TCO) films. The structural, morphological, optical and electrical properties of sol-gel-derived pure and Ti-doped indium tin oxide (ITO) thin films were studied as a function of the concentration of the Ti (i.e. 0 at%, 2 at% and 4 at%) and annealing temperatures (150 °C-600 °C). FESEM measurements indicate that all the films are ˜350 nm thick. XRD analysis confirmed the cubic bixbyite structure of the polycrystalline indium oxide phase for all of the thin films. Increasing the Ti ratio, as well as the annealing temperature, improved the crystallinity of the films. Highly crystalline structures were obtained at 500 °C, with average grain sizes of about 50, 65 and 80 nm for Ti doping of 0 at%, 2 at% and 4 at%, respectively. The electrical and optical properties improved as the annealing temperature increased, with an enlarged electronic energy band gap and an optical absorption edge below 280 nm. In particular, the optical transmittance and electrical resistivity of the samples with a 4 at% Ti content improved from 87% and 7.10 × 10-4 Ω.cm to 92% and 1.6 × 10-4 Ω.cm, respectively. The conductivity, especially for the annealing temperature at 150 °C, is acceptable for many applications such as flexible electronics. These results demonstrate that unlike the more expensive and complex vacuum sputtering process, high-quality Ti-doped ITO films can be achieved by fast processing, simple wet-chemistry, and easy doping level control with the possibility of producing films with high scalability.

  19. Toward Adequate Operation of Amorphous Oxide Thin-Film Transistors for Low-Concentration Gas Detection.

    PubMed

    Kim, Kyung Su; Ahn, Cheol Hyoun; Jung, Sung Hyeon; Cho, Sung Woon; Cho, Hyung Koun

    2018-03-28

    We suggest the use of a thin-film transistor (TFT) composed of amorphous InGaZnO (a-IGZO) as a channel and a sensing layer for low-concentration NO 2 gas detection. Although amorphous oxide layers have a restricted surface area when reacting with NO 2 gas, such TFT sensors have incomparable advantages in the aspects of electrical stability, large-scale uniformity, and the possibility of miniaturization. The a-IGZO thin films do not possess typical reactive sites and grain boundaries, so that the variation in drain current of the TFTs strictly originates from oxidation reaction between channel surface and NO 2 gas. Especially, the sensing data obtained from the variation rate of drain current makes it possible to monitor efficiently and quickly the variation of the NO 2 concentration. Interestingly, we found that enhancement-mode TFT (EM-TFT) allows discrimination of the drain current variation rate at NO 2 concentrations ≤10 ppm, whereas a depletion-mode TFT is adequate for discriminating NO 2 concentrations ≥10 ppm. This discrepancy is attributed to the ratio of charge carriers contributing to gas capture with respect to total carriers. This capacity for the excellent detection of low-concentration NO 2 gas can be realized through (i) three-terminal TFT gas sensors using amorphous oxide, (ii) measurement of the drain current variation rate for high selectivity, and (iii) an EM mode driven by tuning the electrical conductivity of channel layers.

  20. Voltage-induced Metal-Insulator Transitions in Perovskite Oxide Thin Films Doped with Strongly Correlelated Electrons

    NASA Astrophysics Data System (ADS)

    Wang, Yudi; Gil Kim, Soo; Chen, I.-Wei

    2007-03-01

    We have observed a reversible metal-insulator transition in perovskite oxide thin films that can be controlled by charge trapping pumped by a bipolar voltage bias. In the as-fabricated state, the thin film is metallic with a very low resistance comparable to that of the metallic bottom electrode, showing decreasing resistance with decreasing temperature. This metallic state switches to a high-resistance state after applying a voltage bias: such state is non-ohmic showing a negative temperature dependence of resistance. Switching at essentially the same voltage bias was observed down to 2K. The metal-insulator transition is attributed to charge trapping that disorders the energy of correlated electron states in the conduction band. By increasing the amount of charge trapped, which increases the disorder relative to the band width, increasingly more insulating states with a stronger temperature dependence of resistivity are accessed. This metal-insulator transition provides a platform to engineer new nonvolatile memory that does not require heat (as in phase transition) or dielectric breakdown (as in most other oxide resistance devices).

  1. Difference in charge transport properties of Ni-Nb thin films with native and artificial oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trifonov, A. S., E-mail: trifonov.artem@phys.msu.ru; Physics Faculty, Lomonosov Moscow State University, Moscow 119991; Lubenchenko, A. V.

    2015-03-28

    Here, we report on the properties of native and artificial oxide amorphous thin film on a surface of an amorphous Ni-Nb sample. Careful measurements of local current-voltage characteristics of the system Ni-Nb / NiNb oxide/Pt, were carried out in contact mode of an atomic force microscope. Native oxide showed n-type conductivity, while in the artificial one exhibited p-type one. The shape of current-voltage characteristic curves is unique in both cases and no analogical behavior is found in the literature. X-ray photoelectron spectroscopy (XPS) measurements were used to detect chemical composition of the oxide films and the oxidation state of themore » alloy components. Detailed analysis of the XPS data revealed that the structure of natural Ni-Nb oxide film consists of Ni-NbO{sub x} top layer and nickel enriched bottom layer which provides n-type conductivity. In contrast, in the artificial oxide film Nb is oxidized completely to Nb{sub 2}O{sub 5}, Ni atoms migrate into bulk Ni-Nb matrix. Electron depletion layer is formed at the Ni-Nb/Nb{sub 2}O{sub 5} interface providing p-type conductivity.« less

  2. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  3. Structural and spectroscopic analysis of ex-situ annealed RF sputtered aluminium doped zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Otieno, Francis; Airo, Mildred; Erasmus, Rudolph M.; Billing, David G.; Quandt, Alexander; Wamwangi, Daniel

    2017-08-01

    Aluminium doped zinc oxide thin films are prepared by Radio Frequency magnetron sputtering in pure argon atmosphere at 100 W. The structural results reveal good film adhesion on a silicon substrate (001). The thin films were then subjected to heat treatment in a furnace under ambient air. The structural, morphological, and optical properties of the thin films as a function of deposition time and annealing temperatures have been investigated using Grazing incidence X-Ray Diffraction (GIXRD), Atomic Force Microscopy, and Scanning Electronic Microscopy. The photoluminescence properties of the annealed films showed significant changes in the optical properties attributed to mid gap defects. Annealing increases the crystallite size and the roughness of the film. The crystallinity of the films also improved as evident from the Raman and XRD studies.

  4. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  5. Growth and Characterization of Wide Bandgap Semiconductor Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Ghose, Susmita

    Wide bandgap semiconductors are receiving extensive attention due to their exceptional physical and chemical properties making them useful for high efficiency and high power electronic devices. Comparing other conventional wide bandgap materials, monoclinic beta-Ga2O3 also represents an outstanding semiconductor oxide for next generation of UV optoelectronics and high temperature sensors due to its wide band gap ( 4.9eV). This new semiconductor material has higher breakdown voltage (8MV/cm) and n-type conductivity which make it more suitable for potential application as high power electronics. The properties and potential applications of these wide bandgap materials have not yet fully explored. In this study, the growth and characterization of single crystal beta-Ga2O3 thin films grown on c-plane sapphire (Al2O3) substrate using two different techniques; molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) techniques has been investigated. The influence of the growth parameters of MBE and PLD on crystalline quality and surface has been explored. Two methods have been used to grow Ga2O3 using MBE; one method is to use elemental Ga and the second is the use of a polycrystalline Ga2O3 compound source with and without an oxygen source. Using the elemental Ga source, growth rate of beta-Ga2O3 thin films was limited due to the formation and desorption of Ga2O molecules. In order to mitigate this problem, a compound Ga2O3 source has been introduced and used for the growth of crystalline beta-Ga2O 3 thin films without the need for additional oxygen since this source produces Ga-O molecules and additional oxygen. Two different alloys (InGa) 2O3 and (AlGa)2O3 has been grown on c-plane sapphire substrate by pulsed laser deposition technique to tune the bandgap of the oxide thin films from 3.5-8.6 eV suitable for applications such as wavelength-tunable optical devices, solid-state lighting and high electron mobility transistors (HEMTs). The crystallinity, chemical

  6. Low-Temperature Postfunctionalization of Highly Conductive Oxide Thin-Films toward Solution-Based Large-Scale Electronics.

    PubMed

    Ban, Seok-Gyu; Kim, Kyung-Tae; Choi, Byung Doo; Jo, Jeong-Wan; Kim, Yong-Hoon; Facchetti, Antonio; Kim, Myung-Gil; Park, Sung Kyu

    2017-08-09

    Although transparent conducting oxides (TCOs) have played a key role in a wide range of solid-state electronics from conventional optoelectronics to emerging electronic systems, the processing temperature and conductivity of solution-processed materials seem to be far exceeding the thermal limitations of soft materials and insufficient for high-perfomance large-area systems, respectively. Here, we report a strategy to form highly conductive and scalable solution-processed oxide materials and their successful translation into large-area electronic applications, which is enabled by photoassisted postfunctionalization at low temperature. The low-temperature fabrication of indium-tin-oxide (ITO) thin films was achieved by using photoignited combustion synthesis combined with photoassisted reduction process under hydrogen atmosphere. It was noteworthy that the photochemically activated hydrogens on ITO surface could be triggered to facilitate highly crystalline oxygen deficient structure allowing significant increase of carrier concentration and mobility through film microstructure modifications. The low-temperature postfunctionalized ITO films demonstrated conductivity of >1607 S/cm and sheet resistance of <104 Ω/□ under the process temperature of less than 300 °C, which are comparable to those of vacuum-deposited and high-temperature annealed ITO films. Based on the photoassisted postfunctionalization route, all-solution-processed transparent metal-oxide thin-film-transistors and large-area integrated circuits with the ITO bus lines were demonstrated, showing field-effect mobilities of >6.5 cm 2 V -1 s -1 with relatively good operational stability and oscillation frequency of more than 1 MHz in 7-stage ring oscillators, respectively.

  7. Electrical properties of thin film transistors with zinc tin oxide channel layer

    NASA Astrophysics Data System (ADS)

    Hong, Seunghwan; Oh, Gyujin; Kim, Eun Kyu

    2017-10-01

    We have investigated thin film transistors (TFTs) with zinc tin oxide (ZTO) channel layer fabricated by using an ultra-high vacuum radio frequency sputter. ZTO thin films were grown at room temperature by co-sputtering of ZnO and SnO2, which applied power for SnO2 target was varied from 15 W to 90 W under a fixed sputtering power of 70 W for ZnO target. A post-annealing treatment to improve the film quality was done at temperature ranges from 300 to 600 °C by using the electrical furnace. The ZTO thin films showed good electrical and optical properties such as Hall mobility of more than 9 cm2/V·s, specific resistivity of about 2 × 102 Ω·cm, and optical transmittance of 85% in visible light region by optical bandgap of 3.3 eV. The ZTO-TFT with an excellent performance of channel mobility of 19.1 cm2/V·s and on-off ratio ( I on / I off ) of 104 was obtained from the films grown with SnO2 target power of 25 W and post-annealed at 450 °C. This result showed that ZTO film is promising on application to a high performance transparent TFTs.

  8. Paramagnetic dysprosium-doped zinc oxide thin films grown by pulsed-laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Fang-Yuh, E-mail: fangyuhlo@ntnu.edu.tw; Ting, Yi-Chieh; Chou, Kai-Chieh

    2015-06-07

    Dysprosium(Dy)-doped zinc oxide (Dy:ZnO) thin films were fabricated on c-oriented sapphire substrate by pulsed-laser deposition with doping concentration ranging from 1 to 10 at. %. X-ray diffraction (XRD), Raman-scattering, optical transmission spectroscopy, and spectroscopic ellipsometry revealed incorporation of Dy into ZnO host matrix without secondary phase. Solubility limit of Dy in ZnO under our deposition condition was between 5 and 10 at. % according to XRD and Raman-scattering characteristics. Optical transmission spectroscopy and spectroscopic ellipsometry also showed increase in both transmittance in ultraviolet regime and band gap of Dy:ZnO with increasing Dy density. Zinc vacancies and zinc interstitials were identified by photoluminescencemore » spectroscopy as the defects accompanied with Dy incorporation. Magnetic investigations with a superconducting quantum interference device showed paramagnetism without long-range order for all Dy:ZnO thin films, and a hint of antiferromagnetic alignment of Dy impurities was observed at highest doping concentration—indicating the overall contribution of zinc vacancies and zinc interstitials to magnetic interaction was either neutral or toward antiferromagnetic. From our investigations, Dy:ZnO thin films could be useful for spin alignment and magneto-optical applications.« less

  9. Reduction of channel resistance in amorphous oxide thin-film transistors with buried layer

    NASA Astrophysics Data System (ADS)

    Chong, Eugene; Kim, Bosul; Lee, Sang Yeol

    2012-04-01

    A silicon-indium-zinc-oxide (SIZO) thin film transistor (TFT) with low channel-resistance (RCH) indium-zinc-oxide (In2O3:ZnO = 9:1) buried layer annealed at low temperature of 200°C exhibited high field-effect mobility (μFE) over 55.8 cm2/V·s which is 5 times higher than that of the conventional TFTs due to small threshold voltage (Vth) change of 1.8 V under bias-temperature stress (BTS) condition for 420 minutes. The low-RCH buried-layer allows more strong current-path formed in channel layer well within relatively high-RCH channel-layer since it is less affected by the channel bulk and/or back interface trap with high carrier concentration.

  10. Memory effects in a Al/Ti:HfO2/CuPc metal-oxide-semiconductor device

    NASA Astrophysics Data System (ADS)

    Tripathi, Udbhav; Kaur, Ramneek

    2016-05-01

    Metal oxide semiconductor structured organic memory device has been successfully fabricated. Ti doped hafnium oxide (Ti:HfO2) nanoparticles has been fabricated by precipitation method and further calcinated at 800 °C. Copper phthalocyanine, a hole transporting material has been utilized as an organic semiconductor. The electrical properties of the fabricated device have been studied by measuring the current-voltage and capacitance-voltage characteristics. The amount of charge stored in the nanoparticles has been calculated by using flat band condition. This simple approach for fabricating MOS memory device has opens up opportunities for the development of next generation memory devices.

  11. Understanding the Structure of High-K Gate Oxides - Oral Presentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-25

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  12. Investigation on the oxidation behavior of AlCrVxN thin films by means of synchrotron radiation and influence on the high temperature friction

    NASA Astrophysics Data System (ADS)

    Tillmann, Wolfgang; Kokalj, David; Stangier, Dominic; Paulus, Michael; Sternemann, Christian; Tolan, Metin

    2018-01-01

    Friction minimization is an important topic which is pursued in research and industry. In addition to the use of lubricants, friction-reducing oxide phases can be utilized which occur during. These oxides are called Magnéli phases and especially vanadium oxides exhibit good friction reducing properties. Thereby, the lubrication effect can be traced back to oxygen deficiencies. AlCrN thin films are being used as coatings for tools which have to withstand high temperatures. A further improvement of AlCrN thin films concerning their friction properties is possible by incorporation of vanadium. This study analyzes the temperature dependent oxidation behavior of magnetron sputtered AlCrVN thin films with different vanadium contents up to 13.5 at.-% by means of X-ray diffraction and X-ray absorption near-edge spectroscopy. Up to 400 °C the coatings show no oxidation. A higher temperature of 700 °C leads to an oxidation and formation of Magnéli phases of the coatings with vanadium contents above 10.7 at.-%. Friction coefficients, measured by ball-on-disk test are correlated with the oxide formation in order to figure out the effect of vanadium oxides. At 700 °C a decrease of the friction coefficient with increasing vanadium content can be observed, due to the formation of VO2, V2O3 and the Magnéli phase V4O7.

  13. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    NASA Astrophysics Data System (ADS)

    Kaushik, Deepak Kumar; Kumar, K. Uday; Subrahmanyam, A.

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl˜1; kF is the Fermi wave vector and l is the electron mean free path) and degenerate semiconductors. The transport of charge carriers (electrons) in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known `metal-insulator transition' (MIT) which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC); this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann's expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  14. Codoping of zinc and tungsten for practical high-performance amorphous indium-based oxide thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizu, Takio, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Tsukagoshi, Kazuhito, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp

    2015-09-28

    Using practical high-density sputtering targets, we investigated the effect of Zn and W codoping on the thermal stability of the amorphous film and the electrical characteristics in thin film transistors. zinc oxide is a potentially conductive component while W oxide is an oxygen vacancy suppressor in oxide films. The oxygen vacancy from In-O and Zn-O was suppressed by the W additive because of the high oxygen bond dissociation energy. With controlled codoping of W and Zn, we demonstrated a high mobility with a maximum mobility of 40 cm{sup 2}/V s with good stability under a negative bias stress in InWZnO thinmore » film transistors.« less

  15. Composition effects on mechanical properties of tungsten-rhenium-hafnium-carbon alloys

    NASA Technical Reports Server (NTRS)

    Witzke, W. R.

    1973-01-01

    The mechanical properties of rod and sheet fabricated from arc melted W-4Re-Hf-C alloys containing up to about 0.8 mol percent hafnium carbide (HfC) were evaluated in the as-worked condition. The DBTT's of electropolished bend and tensile specimens were independent of HfC content in this range but dependent on excess Hf or C above that required for stoichiometric HfC. Low temperature ductility was a maximum at Hf contents slightly in excess of stoichiometric. Variations in high temperature strength were also dependent on excess Hf and C. Maximum creep strengthening also occurred at Hf contents in excess of stoichiometric. Analysis of extracted second phase particles indicated that creep strength was reduced by increasing WC content in the HfC particles.

  16. Growth and characterization of single phase Cu{sub 2}O by thermal oxidation of thin copper films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, Sumita; Sarma, J. V. N.; Gangopadhyay, Subhashis, E-mail: subhagan@yahoo.com

    2016-04-13

    We report a simple and efficient technique to form high quality single phase cuprous oxide films on glass substrate using thermal evaporation of thin copper films followed by controlled thermal oxidation in air ambient. Crystallographic analysis and oxide phase determination, as well as grain size distribution have been studied using X-ray diffraction (XRD) method, while scanning electron microscopy (SEM) has been utilized to investigate the surface morphology of the as grown oxide films. The formation of various copper oxide phases is found to be highly sensitive to the oxidation temperature and a crystalline, single phase cuprous oxide film can bemore » achieved for oxidation temperatures between 250°C to 320°C. Cu{sub 2}O film surface appeared in a faceted morphology in SEM imaging and a direct band gap of about 2.1 eV has been observed in UV-visible spectroscopy. X-ray photoelectron spectroscopy (XPS) confirmed a single oxide phase formation. Finally, a growth mechanism of the oxide film has also been discussed.« less

  17. MATERIAL AND PROCESS DEVELOPMENT LEADING TO ECONOMICAL HIGH-PERFORMANCE THIN-FILM SOLID OXIDE FUEL CELLS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jie Guan; Atul Verma; Nguyen Minh

    2003-04-01

    This document summarizes the technical progress from September 2002 to March 2003 for the program, Material and Process Development Leading to Economical High-Performance Thin-Film Solid Oxide Fuel Cells, contract number DE-AC26-00NT40711. The causes have been identified for the unstable open circuit voltage (OCV) and low performance exhibited by the anode-supported lanthanum gallate based cells from the earlier development. Promising results have been obtained in the area of synthesis of electrolyte and cathode powders, which showed excellent sintering and densification at low temperatures. The fabrication of cells using tapecalendering process for anode-supported thin lanthanum gallate electrolyte cells and their performance optimizationmore » is in progress.« less

  18. Integration of strained and relaxed silicon thin films on silicon wafers via engineered oxide heterostructures: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Seifarth, O.; Dietrich, B.; Zaumseil, P.; Giussani, A.; Storck, P.; Schroeder, T.

    2010-10-01

    Strained and relaxed single crystalline Si on insulator systems is an important materials science approach for future Si-based nanoelectronics. Layer transfer techniques are the dominating global integration approach over the whole wafer system but are difficult to scale down for local integration purposes limited to the area of the future device. In this respect, the heteroepitaxy approach by two simple subsequent epitaxial deposition steps of the oxide and the Si thin film is a promising way. We introduce tailored (Pr2O3)1-x(Y2O3)x oxide heterostructures on Si(111) as flexible heteroepitaxy concept for the integration of either strained or fully relaxed single crystalline Si thin films. Two different buffer concepts are explored by a combined experimental and theoretical study. First, the growth of fully relaxed single crystalline Si films is achieved by the growth of mixed PrYO3 insulators on Si(111) whose lattice constant is matched to Si. Second, isomorphic oxide-on-oxide epitaxy is exploited to grow strained Si films on lattice mismatched Y2O3/Pr2O3/Si(111) support systems. A thickness dependent multilayer model, based on Matthew's approach for strain relaxation by misfit dislocations, is presented to describe the experimental data.

  19. Method of synthesizing a plurality of reactants and producing thin films of electro-optically active transition metal oxides

    DOEpatents

    Tracy, C.E.; Benson, D.K.; Ruth, M.R.

    1985-08-16

    A method of synthesizing a plurality of reactants by inducing a reaction by plasma deposition among the reactants. The plasma reaction is effective for consolidating the reactants and producing thin films of electro-optically active transition metal oxides.

  20. The interaction of small particles and thin films of metals with gases. I - A brief review of the early stages of oxide formation

    NASA Technical Reports Server (NTRS)

    Poppa, H.

    1976-01-01

    Existing work on gas-solid reactions making use of thin film technologies is reviewed. The discussion concentrates on two major areas of gas-metal interactions: chemisorption and the early stages of oxidation of metals (characterized by a non-volatile reaction product) and catalytic surface reactions (featuring volatile reaction products). A brief survey of oxide formation on metals is presented. Here it is of importance to distinguish between reactions on continuous thin film substrates and reactions on particulate deposits. Small particle-gas interactions also affect the nucleation, growth and sintering processes of thin films. It is shown that various combinations of UHV and high resolution electron microscopy techniques, which include in situ experimentation, can provide the appropriate tools for studying angstrom particle chemistry.

  1. Chemical stability and electrical performance of dual-active-layered zinc-tin-oxide/indium-gallium-zinc-oxide thin-film transistors using a solution process.

    PubMed

    Kim, Chul Ho; Rim, You Seung; Kim, Hyun Jae

    2013-07-10

    We investigated the chemical stability and electrical properties of dual-active-layered zinc-tin-oxide (ZTO)/indium-gallium-zinc-oxide (IGZO) structures (DALZI) with the durability of the chemical damage. The IGZO film was easily corroded or removed by an etchant, but the DALZI film was effectively protected by the high chemical stability of ZTO. Furthermore, the electrical performance of the DALZI thin-film transistor (TFT) was improved by densification compared to the IGZO TFT owing to the passivation of the pin holes or pore sites and the increase in the carrier concentration due to the effect of Sn(4+) doping.

  2. Post-annealing-free, room temperature processed nanocrystalline indium tin oxide thin films for plastic electronics

    NASA Astrophysics Data System (ADS)

    Nyoung Jang, Jin; Jong Lee, You; Jang, YunSung; Yun, JangWon; Yi, Seungjun; Hong, MunPyo

    2016-06-01

    In this study, we confirm that bombardment by high energy negative oxygen ions (NOIs) is the key origin of electro-optical property degradations in indium tin oxide (ITO) thin films formed by conventional plasma sputtering processes. To minimize the bombardment effect of NOIs, which are generated on the surface of the ITO targets and accelerated by the cathode sheath potential on the magnetron sputter gun (MSG), we introduce a magnetic field shielded sputtering (MFSS) system composed of a permanent magnetic array between the MSG and the substrate holder to block the arrival of energetic NOIs. The MFSS processed ITO thin films reveal a novel nanocrystal imbedded polymorphous structure, and present not only superior electro-optical characteristics but also higher gas diffusion barrier properties. To the best of our knowledge, no gas diffusion barrier composed of a single inorganic thin film formed by conventional plasma sputtering processes achieves such a low moisture permeability.

  3. Nanostructure of aluminium (Al) - Doped zinc oxide (AZO) thin films

    NASA Astrophysics Data System (ADS)

    Hussin, Rosniza; Husin, M. Asri

    2017-12-01

    Aluminium (Al)-doped Zinc Oxide (ZnO) was deposited on glass substrates by using the sol-gel dip coating technique. Next, AZO sol-gel solution was produced via sol-gel method. Al was used as doped element with molar ratios of 1%, 2%, and 3%, while the calcination temperatures were set at 400°C, 500°C, and 600°C for 2 hours. In fact, characterization was carried out in order to determine the effect of calcination temperature and molar ratio of doping by using several techniques, such as X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Field Emission Scanning Electron Microscopy (FESEM), and Ultraviolet-Visible spectroscopy (UV-Vis). XRD was performed to investigate the crystal structure in which the ZnO was in wurtzite hexagonal form. Next, Energy Dispersive Spectroscopy (EDS) was used to determine the composition of thin films where the result revealed the existence of zinc, oxygen, and aluminium. The roughness of the deposited film was later measured by using the AFM approach where the findings indicated increment in RMS from 8.496 nm to 35.883 nm as the temperature was increased. Additionally, FESEM was carried out to look into the microstructure surfaces of the deposited AZO thin film for increased temperature caused the particle to grow bigger for all molar ratio of dopant. Lastly, UV-Vis was conducted to study the optical properties of AZO, in which the result demonstrated that AZO thin film possessed the highest transmittance percentage among all samples above 90% with band gap value that ranged from 3.25 eV to 3.32 eV.

  4. Influence of Ti Content on the Partial Oxidation of TixFeCoNi Thin Films in Vacuum Annealing

    PubMed Central

    Yang, Ya-Chu; Yeh, Jien-Wei; Tsau, Chun-Huei

    2017-01-01

    This study investigated the effects of Ti content and vacuum annealing on the microstructure evolution of TixFeCoNi (x = 0, 0.5, and 1) thin films and the underlying mechanisms. The as-deposited thin film transformed from an FCC (face center cubic) structure at x = 0 into an amorphous structure at x = 1, which can be explained by determining topological instability and a hard ball model. After annealing was performed at 1000 °C for 30 min, the films presented a layered structure comprising metal solid solutions and oxygen-deficient oxides, which can be major attributed to oxygen traces in the vacuum furnace. Different Ti contents provided various phase separation and layered structures. The underlying mechanism is mainly related to the competition among possible oxides in terms of free energy production at 1000 °C. PMID:28953244

  5. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    PubMed

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  6. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications.

    PubMed

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-17

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  7. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    NASA Astrophysics Data System (ADS)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  8. Thin film passivation of laser generated 3D micro patterns in lithium manganese oxide cathodes

    NASA Astrophysics Data System (ADS)

    Pröll, J.; Kohler, R.; Bruns, M.; Oberst, V.; Weidler, P. G.; Heißler, S.; Kübel, C.; Scherer, T.; Prang, R.; Seifert, H. J.; Pfleging, W.

    2013-03-01

    The increasing need for long-life lithium-ion batteries requires the further development of electrode materials. Especially on the cathode side new materials or material composites are needed to increase the cycle lifetime. On the one hand, spinel-type lithium manganese oxide is a promising candidate to be used as cathode material due to its non-toxicity, low cost and good thermal stability. On the other hand, the spinel structure suffers from change in the oxidation state of manganese during cycling which is also accompanied by loss of active material into the liquid electrolyte. The general trend is to enhance the active surface area of the cathode in order to increase lithium-ion mobility through the electrode/electrolyte interface, while an enhanced surface area will also promote chemical degradation. In this work, laser microstructuring of lithium manganese oxide thin films was applied in a first step to increase the active surface area. This was done by using 248 nm excimer laser radiation and chromium/quartz mask imaging techniques. In a second step, high power diode laser-annealing operating at a wavelength of 940 nm was used for forming a cubic spinel-like battery phase. This was verified by means of Raman spectroscopy and cyclic voltammetric measurements. In a last step, the laser patterned thin films were coated with indium tin oxide (ITO) layers with a thickness of 10 nm to 50 nm. The influence of the 3D surface topography as well as the ITO thickness on the electrochemical performance was studied by cyclic voltammetry. Post-mortem studies were carried out by using scanning electron microscopy and focused ion beam analysis.

  9. Model for determination of mid-gap states in amorphous metal oxides from thin film transistors

    NASA Astrophysics Data System (ADS)

    Bubel, S.; Chabinyc, M. L.

    2013-06-01

    The electronic density of states in metal oxide semiconductors like amorphous zinc oxide (a-ZnO) and its ternary and quaternary oxide alloys with indium, gallium, tin, or aluminum are different from amorphous silicon, or disordered materials such as pentacene, or P3HT. Many ZnO based semiconductors exhibit a steep decaying density of acceptor tail states (trap DOS) and a Fermi level (EF) close to the conduction band energy (EC). Considering thin film transistor (TFT) operation in accumulation mode, the quasi Fermi level for electrons (Eq) moves even closer to EC. Classic analytic TFT simulations use the simplification EC-EF> `several'kT and cannot reproduce exponential tail states with a characteristic energy smaller than 1/2 kT. We demonstrate an analytic model for tail and deep acceptor states, valid for all amorphous metal oxides and include the effect of trap assisted hopping instead of simpler percolation or mobility edge models, to account for the observed field dependent mobility.

  10. Numerical modeling and performance analysis of zinc oxide (ZnO) thin-film based gas sensor

    NASA Astrophysics Data System (ADS)

    Punetha, Deepak; Ranjan, Rashmi; Pandey, Saurabh Kumar

    2018-05-01

    This manuscript describes the modeling and analysis of Zinc Oxide thin film based gas sensor. The conductance and sensitivity of the sensing layer has been described by change in temperature as well as change in gas concentration. The analysis has been done for reducing and oxidizing agents. Simulation results revealed the change in resistance and sensitivity of the sensor with respect to temperature and different gas concentration. To check the feasibility of the model, all the simulated results have been analyze by different experimental reported work. Wolkenstein theory has been used to model the proposed sensor and the simulation results have been shown by using device simulation software.

  11. Thin film temperature sensor

    NASA Technical Reports Server (NTRS)

    Grant, H. P.; Przybyszewski, J. S.

    1980-01-01

    Thin film surface temperature sensors were developed. The sensors were made of platinum-platinum/10 percent rhodium thermocouples with associated thin film-to-lead wire connections and sputtered on aluminum oxide coated simulated turbine blades for testing. Tests included exposure to vibration, low velocity hydrocarbon hot gas flow to 1250 K, and furnace calibrations. Thermal electromotive force was typically two percent below standard type S thermocouples. Mean time to failure was 42 hours at a hot gas flow temperature of 1250 K and an average of 15 cycles to room temperature. Failures were mainly due to separation of the platinum thin film from the aluminum oxide surface. Several techniques to improve the adhesion of the platinum are discussed.

  12. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    NASA Astrophysics Data System (ADS)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  13. Effect of nitrogen doping on structural, morphological, optical and electrical properties of radio frequency magnetron sputtered zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Perumal, R.; Hassan, Z.

    2016-06-01

    Zinc oxide receives remarkable attention due to its several attractive physical properties. Zinc oxide thin films doped with nitrogen were grown by employing RF magnetron sputtering method at room temperature. Doping was accomplished in gaseous medium by mixing high purity nitrogen gas along with argon sputtering gas. Structural studies confirmed the high crystalline nature with c-axis oriented growth of the nitrogen doped zinc oxide thin films. The tensile strain was developed due to the incorporation of the nitrogen into the ZnO crystal lattice. Surface roughness of the grown films was found to be decreased with increasing doping level was identified through atomic force microscope analysis. The presenting phonon modes of each film were confirmed through FTIR spectral analysis. The increasing doping level leads towards red-shifting of the cut-off wavelength due to decrement of the band gap was identified through UV-vis spectroscopy. All the doped films exhibited p-type conductivity was ascertained using Hall measurements and the obtained results were presented.

  14. Thin transparent W-doped indium-zinc oxide (WIZO) layer on glass.

    PubMed

    Lee, Young-Jun; Lim, Byung-Wook; Kim, Joo-Hyung; Kim, Tae-Won; Oh, Byeong-Yun; Heo, Gi-Seok; Kim, Kwang-Young

    2012-07-01

    Annealing effect on structural and electrical properties of W-doped IZO (WIZO) films for thin film transistors (TFT) was studied under different process conditions. Thin WIZO films were deposited on glass substrates by RF magnetron co-sputtering technique using indium zinc oxide (10 wt.% ZnO-doped In2O3) and WO3 targets in room temperature. The post annealing temperature was executed from 200 degrees C to 500 degrees C under various O2/Ar ratios. We could not find any big difference from the surface observation of as grown films while it was found that the carrier density and sheet resistance of WIZO films were controlled by O2/Ar ratio and post annealing temperature. Furthermore, the crystallinity of WIZO film was changed as annealing temperature increased, resulting in amorphous structure at the annealing temperature of 200 degrees C, while clear In2O3 peak was observed for the annealed over 300 degrees C. The transmittance of as-grown films over 89% in visible range was obtained. As an active channel layer for TFT, it was found that the variation of resistivity, carrier density and mobility concentration of WIZO film decreased by annealing process.

  15. Compact chromium oxide thin film resistors for use in nanoscale quantum circuits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.

    We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantummore » phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.« less

  16. Comparative analysis of breakdown mechanism in thin SiO2 oxide films in metal-oxide-semiconductor structures under the action of heavy charged particles and a pulsed voltage

    NASA Astrophysics Data System (ADS)

    Zinchenko, V. F.; Lavrent'ev, K. V.; Emel'yanov, V. V.; Vatuev, A. S.

    2016-02-01

    Regularities in the breakdown of thin SiO2 oxide films in metal-oxide-semiconductors structures of power field-effect transistors under the action of single heavy charged particles and a pulsed voltage are studied experimentally. Using a phenomenological approach, we carry out comparative analysis of physical mechanisms and energy criteria of the SiO2 breakdown in extreme conditions of excitation of the electron subsystem in the subpicosecond time range.

  17. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  18. Processing, Structure and High Temperature Oxidation Properties of Polymer-Derived and Hafnium Oxide Based Ceramic Systems

    NASA Astrophysics Data System (ADS)

    Terauds, Kalvis

    Demands for hypersonic aircraft are driving the development of ultra-high temperature structural materials. These aircraft, envisioned to sustain Mach 5+, are expected to experience continuous temperatures of 1200--1800°C on the aircraft surface and temperatures as high as 2800°C in combustion zones. Breakthroughs in the development of fiber based ceramic matrix composites (CMCs) are opening the door to a new class of high-tech UHT structures for aerospace applications. One limitation with current carbon fiber or silicon carbide fiber based CMC technology is the inherent problem of material oxidation, requiring new approaches for protective environmental barrier coatings (EBC) in extreme environments. This thesis focuses on the development and characterization of SiCN-HfO2 based ceramic composite EBC systems to be used as a protective layer for silicon carbide fiber based CMCs. The presented work covers three main architectures for protection (i) multilayer films, (ii) polymer-derived HfSiCNO, and (iii) composite SiCN-HfO 2 infiltration. The scope of this thesis covers processing development, material characterization, and high temperature oxidation behavior of these three SiCN-HfO2 based systems. This work shows that the SiCN-HfO 2 composite materials react upon oxidation to form HfSiO4, offering a stable EBC in streaming air and water vapor at 1600°C.

  19. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    NASA Astrophysics Data System (ADS)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  20. Metallic Thin-Film Bonding and Alloy Generation

    NASA Technical Reports Server (NTRS)

    Peotter, Brian S. (Inventor); Fryer, Jack Merrill (Inventor); Campbell, Geoff (Inventor); Droppers, Lloyd (Inventor)

    2016-01-01

    Diffusion bonding a stack of aluminum thin films is particularly challenging due to a stable aluminum oxide coating that rapidly forms on the aluminum thin films when they are exposed to atmosphere and the relatively low meting temperature of aluminum. By plating the individual aluminum thin films with a metal that does not rapidly form a stable oxide coating, the individual aluminum thin films may be readily diffusion bonded together using heat and pressure. The resulting diffusion bonded structure can be an alloy of choice through the use of a carefully selected base and plating metals. The aluminum thin films may also be etched with distinct patterns that form a microfluidic fluid flow path through the stack of aluminum thin films when diffusion bonded together.

  1. Fabrication of ultra thin anodic aluminium oxide membranes by low anodization voltages

    NASA Astrophysics Data System (ADS)

    Pastore, I.; Poplausks, R.; Apsite, I.; Pastare, I.; Lombardi, F.; Erts, D.

    2011-06-01

    Formation of ultrathin anodised aluminium oxide (AAO) membranes with high aspect ratio by Al anodization in sulphuric and oxalic acids at low potentials was investigated. Low anodization potentials ensure slow electrochemical reaction speeds and formation of AAO membranes with pore diameter and thickness below 20 nm and 70 nm respectively. Minimum time necessary for formation of continuous AAO membranes was determined. AAO membrane pore surface was covered with polymer Paraloid B72TM to transport it to the selected substrate. The fabricated ultra thin AAO membranes could be used to fabricate nanodot arrays on different surfaces.

  2. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    NASA Astrophysics Data System (ADS)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  3. Thin film lubrication of hexadecane confined by iron and iron oxide surfaces: A crucial role of surface structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ta, D. T.; Tieu, A. K.; Zhu, H. T., E-mail: hongtao@uow.edu.au

    2015-10-28

    A comparative analysis of thin film lubrication of hexadecane between different iron and its oxide surfaces has been carried out using classical molecular dynamic simulation. An ab initio force-field, COMPASS, was applied for n-hexadecane using explicit atom model. An effective potential derived from density functional theory calculation was utilized for the interfacial interaction between hexadecane and the tribo-surfaces. A quantitative surface parameterization was introduced to investigate the influence of surface properties on the structure, rheological properties, and tribological performance of the lubricant. The results show that although the wall-fluid attraction of hexadecane on pure iron surfaces is significantly stronger thanmore » its oxides, there is a considerable reduction of shear stress of confined n-hexadecane film between Fe(100) and Fe(110) surfaces compared with FeO(110), FeO(111), Fe{sub 2}O{sub 3}(001), and Fe{sub 2}O{sub 3}(012). It was found that, in thin film lubrication of hexadecane between smooth iron and iron oxide surfaces, the surface corrugation plays a role more important than the wall-fluid adhesion strength.« less

  4. 3.4-Inch Quarter High Definition Flexible Active Matrix Organic Light Emitting Display with Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Hatano, Kaoru; Chida, Akihiro; Okano, Tatsuya; Sugisawa, Nozomu; Inoue, Tatsunori; Seo, Satoshi; Suzuki, Kunihiko; Oikawa, Yoshiaki; Miyake, Hiroyuki; Koyama, Jun; Yamazaki, Shunpei; Eguchi, Shingo; Katayama, Masahiro; Sakakura, Masayuki

    2011-03-01

    In this paper, we report a 3.4-in. flexible active matrix organic light emitting display (AMOLED) display with remarkably high definition (quarter high definition: QHD) in which oxide thin film transistors (TFTs) are used. We have developed a transfer technology in which a TFT array formed on a glass substrate is separated from the substrate by physical force and then attached to a flexible plastic substrate. Unlike a normal process in which a TFT array is directly fabricated on a thin plastic substrate, our transfer technology permits a high integration of high performance TFTs, such as low-temperature polycrystalline silicon TFTs (LTPS TFTs) and oxide TFTs, on a plastic substrate, because a flat, rigid, and thermally-stable glass substrate can be used in the TFT fabrication process in our transfer technology. As a result, this technology realized an oxide TFT array for an AMOLED on a plastic substrate. Furthermore, in order to achieve a high-definition AMOLED, color filters were incorporated in the TFT array and a white organic light-emitting diode (OLED) was combined. One of the features of this device is that the whole body of the device can be bent freely because a source driver and a gate driver can be integrated on the substrate due to the high mobility of an oxide TFT. This feature means “true” flexibility.

  5. Stretchable metal oxide thin film transistors on engineered substrate for electronic skin applications.

    PubMed

    Romeo, Alessia; Lacour, Stphanie P

    2015-08-01

    Electronic skins aim at providing distributed sensing and computation in a large-area and elastic membrane. Control and addressing of high-density soft sensors will be achieved when thin film transistor matrices are also integrated in the soft carrier substrate. Here, we report on the design, manufacturing and characterization of metal oxide thin film transistors on these stretchable substrates. The TFTs are integrated onto an engineered silicone substrate with embedded strain relief to protect the devices from catastrophic cracking. The TFT stack is composed of an amorphous In-Ga-Zn-O active layer, a hybrid AlxOy/Parylene dielectric film, gold electrodes and interconnects. All layers are prepared and patterned with planar, low temperature and dry processing. We demonstrate the interconnected IGZO TFTs sustain applied tensile strain up to 20% without electrical degradation and mechanical fracture. Active devices are critical for distributed sensing. The compatibility of IGZO TFTs with soft and biocompatible substrates is an encouraging step towards wearable electronic skins.

  6. Plasma-treated Langmuir-Blodgett reduced graphene oxide thin film for applications in biophotovoltaics

    NASA Astrophysics Data System (ADS)

    Ibrahim, Siti Aisyah; Jaafar, Muhammad Musoddiq; Ng, Fong-Lee; Phang, Siew-Moi; Kumar, G. Ghana; Majid, Wan Haliza Abd; Periasamy, Vengadesh

    2018-01-01

    The surface optimization and structural characteristics of Langmuir-Blodgett (LB) reduced graphene oxide thin (rGO) film treated by argon plasma treatment were studied. In this work, six times deposition of rGO was deposited on a clean glass substrate using the LB method. Plasma technique involving a variation of plasma power, i.e., 20, 60, 100 and 140 W was exposed to the LB-rGO thin films under argon ambience. The plasma treatment generally improves the wettability or hydrophilicity of the film surface compared to without treatment. Maximum wettability was observed at a plasma power of 20 W, while also increasing the adhesion of the rGO film with the glass substrate. The multilayer films fabricated were characterized by means of spectroscopic, structural and electrical studies. The treatment of rGO with argon plasma was found to have improved its biocompatibility, and thus its performance as an electrode for biophotovoltaic devices has been shown to be enhanced considerably.

  7. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tripathy, Sumanta K.; Rajeswari, V. P.

    2014-01-28

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn{sub 3}O{sub 4}, corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating themore » absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells.« less

  8. Method of synthesizing a plurality of reactants and producing thin films of electro-optically active transition metal oxides

    DOEpatents

    Tracy, C. Edwin; Benson, David K.; Ruth, Marta R.

    1987-01-01

    A method of synthesizing electro-optically active reaction products from a plurality of reactants by inducing a reaction by plasma deposition among the reactants. The plasma reaction is effective for consolidating the reactants and producing thin films of electro-optically active transition metal oxides.

  9. Generation of metallic plasmon nanostructures in a thin transparent photosensitive copper oxide film by femtosecond thermochemical decomposition

    NASA Astrophysics Data System (ADS)

    Danilov, P. A.; Zayarny, D. A.; Ionin, A. A.; Kudryashov, S. I.; Litovko, E. P.; Mel'nik, N. N.; Rudenko, A. A.; Saraeva, I. N.; Umanskaya, S. P.; Khmelnitskii, R. A.

    2017-09-01

    Irradiation of optically transparent copper (I) oxide film covering a glass substrate with a tightly focused femtosecond laser pulses in the pre-ablation regime leads to film reduction to a metallic colloidal state via a single-photon absorption and its subsequent thermochemical decomposition. This effect was demonstrated by the corresponding measurement of the extinction spectrum in visible spectral range. The laser-induced formation of metallic copper nanoparticles in the focal region inside the bulk oxide film allows direct recording of individual thin-film plasmon nanostructures and optical-range metasurfaces.

  10. Photochemical metal organic deposition of metal oxides

    NASA Astrophysics Data System (ADS)

    Law, Wai Lung (Simon)

    This thesis pertains to the study of the deposition of metal oxide thin films via the process of Photochemical Metal Organic Deposition (PMOD). In this process, an amorphous metal organic precursor thin film is subjected to irradiation under ambient conditions. Fragmentation of the metal precursor results from the photoreaction, leading to the formation of metal oxide thin films in the presence of oxygen. The advantage of PMOD lies in its ability to perform lithography of metal oxide thin film without the application of photoresist. The metal organic precursor can be imaged directly by photolysis through a lithography mask under ambient conditions. Thus the PMOD process provides an attractive alternative to the conventional VLSI fabrication process. Metal carboxylates and metal acetylacetonates complexes were used as the precursors for PMOD process in this thesis. Transition metal carboxylate and metal acetylacetonate complexes have shown previously that when deposited as amorphous thin films, they will undergo fragmentation upon photolysis, leading to the formation of metal oxide thin films under ambient conditions. In this thesis, the formation of main group metal oxides of aluminum, indium and tin, as well as the formation of rare-earth metal oxides of cerium and europium by PMOD from its corresponding metal organic precursor will be presented. The nature of the photoreactions as well as the properties of the thin films deposited by PMOD will be investigated. Doped metal oxide thin films can also be prepared using the PMOD process. By mixing the metal precursors prior to deposition in the desired ratio, precursor films containing more than one metal precursor can be obtained. Mixed metal oxide thin films corresponding to the original metal ratio, in the precursor mixture, can be obtained upon photolysis under ambient conditions. In this thesis, the properties of doped metal oxide thin films of europium doped aluminum oxide as well as tin doped indium oxide thin

  11. Investigation of defects in In–Ga–Zn oxide thin film using electron spin resonance signals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nonaka, Yusuke; Kurosawa, Yoichi; Komatsu, Yoshihiro

    In–Ga–Zn oxide (IGZO) is a next-generation semiconductor material seen as an alternative to silicon. Despite the importance of the controllability of characteristics and the reliability of devices, defects in IGZO have not been fully understood. We investigated defects in IGZO thin films using electron spin resonance (ESR) spectroscopy. In as-sputtered IGZO thin films, we observed an ESR signal which had a g-value of g = 2.010, and the signal was found to disappear under thermal treatment. Annealing in a reductive atmosphere, such as N{sub 2} atmosphere, generated an ESR signal with g = 1.932 in IGZO thin films. The temperature dependence of the lattermore » signal suggests that the signal is induced by delocalized unpaired electrons (i.e., conduction electrons). In fact, a comparison between the conductivity and ESR signal intensity revealed that the signal's intensity is related to the number of conduction electrons in the IGZO thin film. The signal's intensity did not increase with oxygen vacancy alone but also with increases in both oxygen vacancy and hydrogen concentration. In addition, first-principle calculation suggests that the conduction electrons in IGZO may be generated by defects that occur when hydrogen atoms are inserted into oxygen vacancies.« less

  12. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate.

    PubMed

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-12

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O 2 /Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O 2 /Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (I off ) of 3 pA, a high on/off current ratio of 2 × 10 7 , a high saturation mobility (μ sat ) of 66.7 cm 2 /V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (V th ) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  13. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate

    NASA Astrophysics Data System (ADS)

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-01

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O2/Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O2/Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (Ioff) of 3 pA, a high on/off current ratio of 2 × 107, a high saturation mobility (μsat) of 66.7 cm2/V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (Vth) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  14. Low temperature fabrication of metal oxide thin film transistors formed by a heated aqueous precursor solution

    NASA Astrophysics Data System (ADS)

    Lee, Keun Ho; Han, Sun Woong; Park, Jee Ho; Yoo, Young Bum; Jong Lee, Se; Baik, Hong Koo; Song, Kie Moon

    2016-01-01

    We introduce an easy process for the fabrication of solution-processed indium oxide (InO) thin film transistors (TFTs) by heating a precursor solution. InO TFTs fabricated from solutions of an InO precursor heated at 90 °C had the highest mobility of 4.61 cm2 V-1 s-1 after being annealed at 200 °C. When the InO precursor solution is heated, HNO3 may be thermally evaporated in the InO precursor solution. Nitrogen atoms can disrupt hydrolysis and condensation reactions. An InO thin film deposited from a solution of the heated InO precursor is advantageous for hydrolysis and condensation reactions due to the absence of nitrogen atoms.

  15. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    NASA Astrophysics Data System (ADS)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  16. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition.

    PubMed

    O'Donoghue, Richard; Rechmann, Julian; Aghaee, Morteza; Rogalla, Detlef; Becker, Hans-Werner; Creatore, Mariadriana; Wieck, Andreas Dirk; Devi, Anjana

    2017-12-21

    Herein we describe an efficient low temperature (60-160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga 2 O 3 ) thin films using hexakis(dimethylamido)digallium [Ga(NMe 2 ) 3 ] 2 with oxygen (O 2 ) plasma on Si(100). The use of O 2 plasma was found to have a significant improvement on the growth rate and deposition temperature when compared to former Ga 2 O 3 processes. The process yielded the second highest growth rates (1.5 Å per cycle) in terms of Ga 2 O 3 ALD and the lowest temperature to date for the ALD growth of Ga 2 O 3 and typical ALD characteristics were determined. From in situ quartz crystal microbalance (QCM) studies and ex situ ellipsometry measurements, it was deduced that the process is initially substrate-inhibited. Complementary analytical techniques were employed to investigate the crystallinity (grazing-incidence X-ray diffraction), composition (Rutherford backscattering analysis/nuclear reaction analysis/X-ray photoelectron spectroscopy), morphology (X-ray reflectivity/atomic force microscopy) which revealed the formation of amorphous, homogeneous and nearly stoichiometric Ga 2 O 3 thin films of high purity (carbon and nitrogen <2 at.%) under optimised process conditions. Tauc plots obtained via UV-Vis spectroscopy yielded a band gap of 4.9 eV and the transmittance values were more than 80%. Upon annealing at 1000 °C, the transformation to oxygen rich polycrystalline β-gallium oxide took place, which also resulted in the densification and roughening of the layer, accompanied by a slight reduction in the band gap. This work outlines a fast and efficient method for the low temperature ALD growth of Ga 2 O 3 thin films and provides the means to deposit Ga 2 O 3 upon thermally sensitive polymers like polyethylene terephthalate.

  17. Heterojunction solar cell with 6% efficiency based on an n-type aluminum-gallium-oxide thin film and p-type sodium-doped Cu2O sheet

    NASA Astrophysics Data System (ADS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2015-02-01

    In this paper, we describe efforts to enhance the efficiency of Cu2O-based heterojunction solar cells fabricated with an aluminum-gallium-oxide (Al-Ga-O) thin film as the n-type layer and a p-type sodium (Na)-doped Cu2O (Cu2O:Na) sheet prepared by thermally oxidizing copper sheets. The optimal Al content [X; Al/(Ga + Al) atomic ratio] of an AlX-Ga1-X-O thin-film n-type layer was found to be approximately 2.5 at. %. The optimized resistivity was approximately 15 Ω cm for n-type AlX-Ga1-X-O/p-type Cu2O:Na heterojunction solar cells. A MgF2/AZO/Al0.025-Ga0.975-O/Cu2O:Na heterojunction solar cell with 6.1% efficiency was fabricated using a 60-nm-thick n-type oxide thin-film layer and a 0.2-mm-thick Cu2O:Na sheet with the optimized resistivity.

  18. Bio-sorbable, liquid electrolyte gated thin-film transistor based on a solution-processed zinc oxide layer.

    PubMed

    Singh, Mandeep; Palazzo, Gerardo; Romanazzi, Giuseppe; Suranna, Gian Paolo; Ditaranto, Nicoletta; Di Franco, Cinzia; Santacroce, Maria Vittoria; Mulla, Mohammad Yusuf; Magliulo, Maria; Manoli, Kyriaki; Torsi, Luisa

    2014-01-01

    Among the metal oxide semiconductors, ZnO has been widely investigated as a channel material in thin-film transistors (TFTs) due to its excellent electrical properties, optical transparency and simple fabrication via solution-processed techniques. Herein, we report a solution-processable ZnO-based thin-film transistor gated through a liquid electrolyte with an ionic strength comparable to that of a physiological fluid. The surface morphology and chemical composition of the ZnO films upon exposure to water and phosphate-buffered saline (PBS) are discussed in terms of the operation stability and electrical performance of the ZnO TFT devices. The improved device characteristics upon exposure to PBS are associated with the enhancement of the oxygen vacancies in the ZnO lattice due to Na(+) doping. Moreover, the dissolution kinetics of the ZnO thin film in a liquid electrolyte opens the possible applicability of these devices as an active element in "transient" implantable systems.

  19. Incorporation of surface plasmon resonance with novel valinomycin doped chitosan-graphene oxide thin film for sensing potassium ion.

    PubMed

    Zainudin, Afiq Azri; Fen, Yap Wing; Yusof, Nor Azah; Al-Rekabi, Sura Hmoud; Mahdi, Mohd Adzir; Omar, Nur Alia Sheh

    2018-02-15

    In this study, the combination of novel valinomycin doped chitosan-graphene oxide (C-GO-V) thin film and surface plasmon resonance (SPR) system for potassium ion (K + ) detection has been developed. The novel C-GO-V thin film was deposited on the gold surface using spin coating technique. The system was used to monitor SPR signal for K + in solution with and without C-GO-V thin film. The K + can be detected by measuring the SPR signal when C-GO-V thin film is exposed to K + in solution. The sensor produces a linear response for K + ion up to 100ppm with sensitivity and detection limit of 0.00948°ppm -1 and 0.001ppm, respectively. These results indicate that the C-GO-V film is high potential as a sensor element for K + that has been proved by the SPR measurement. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Perovskite-type oxide thin film integrated fiber optic sensor for high-temperature hydrogen measurement.

    PubMed

    Tang, Xiling; Remmel, Kurtis; Lan, Xinwei; Deng, Jiangdong; Xiao, Hai; Dong, Junhang

    2009-09-15

    Small size fiber optic devices integrated with chemically sensitive photonic materials are emerging as a new class of high-performance optical chemical sensor that have the potential to meet many analytical challenges in future clean energy systems and environmental management. Here, we report the integration of a proton conducting perovskite oxide thin film with a long-period fiber grating (LPFG) device for high-temperature in situ measurement of bulk hydrogen in fossil- and biomass-derived syngas. The perovskite-type Sr(Ce(0.8)Zr(0.1))Y(0.1)O(2.95) (SCZY) nanocrystalline thin film is coated on the 125 microm diameter LPFG by a facile polymeric precursor route. This fiber optic sensor (FOS) operates by monitoring the LPFG resonant wavelength (lambda(R)), which is a function of the refractive index of the perovskite oxide overcoat. At high temperature, the types and population of the ionic and electronic defects in the SCZY structure depend on the surrounding hydrogen partial pressure. Thus, varying the H(2) concentration changes the SCZY film refractive index and light absorbing characteristics that in turn shifts the lambda(R) of the LPFG. The SCZY-coated LPFG sensor has been demonstrated for bulk hydrogen measurement at 500 degrees C for its sensitivity, stability/reversibility, and H(2)-selectivity over other relevant small gases including CO, CH(4), CO(2), H(2)O, and H(2)S, etc.

  1. Low-Temperature, Solution-Processed, Transparent Zinc Oxide-Based Thin-Film Transistors for Sensing Various Solvents.

    PubMed

    You, Hsin-Chiang; Wang, Cheng-Jyun

    2017-02-26

    A low temperature solution-processed thin-film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low-cost, rapid and highly compatible water-soluble detection and could replace conventional silicon field effect transistors (FETs) as bio-sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol), non-polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non-polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin-film transistor.

  2. Low-Temperature, Solution-Processed, Transparent Zinc Oxide-Based Thin-Film Transistors for Sensing Various Solvents

    PubMed Central

    You, Hsin-Chiang; Wang, Cheng-Jyun

    2017-01-01

    A low temperature solution-processed thin-film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low-cost, rapid and highly compatible water-soluble detection and could replace conventional silicon field effect transistors (FETs) as bio-sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol), non-polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non-polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin-film transistor. PMID:28772592

  3. Predicting oxidation-limited lifetime of thin-walled components of NiCrW alloy 230

    DOE PAGES

    Duan, R.; Jalowicka, Aleksandra; Unocic, Kinga A.; ...

    2016-10-18

    Using alloy 230 as an example, a generalized oxidation lifetime model for chromia-forming Ni-base wrought alloys is proposed, which captures the most important damaging oxidation effects relevant for component design: wall thickness loss, scale spallation, and the occurrence of breakaway oxidation. For deriving input parameters and for verification of the model approach, alloy 230 specimens with different thicknesses were exposed for different times at temperatures in the range 950–1050 °C in static air. The studies focused on thin specimens (0.2–0.5 mm) to obtain data for critical subscale depletion processes resulting in breakaway oxidation within reasonably achievable test times up tomore » 3000 h. The oxidation kinetics and oxidation-induced subscale microstructural changes were determined by combining gravimetric data with results from scanning electron microscopy with energy dispersive X-ray spectroscopy. The modeling of the scale spallation and re-formation was based on the NASA cyclic oxidation spallation program, while a new model was developed to describe accelerated oxidation occurring after longer exposure times in the thinnest specimens. The calculated oxidation data were combined with the reservoir model equation, by means of which the relation between the consumption and the remaining concentration of Cr in the alloy was established as a function of temperature and specimen thickness. Based on this approach, a generalized lifetime diagram is proposed, in which wall thickness loss is plotted as a function of time, initial specimen thickness, and temperature. As a result, the time to reach a critical Cr level at the scale/alloy interface of 10 wt% is also indicated in the diagrams.« less

  4. Predicting oxidation-limited lifetime of thin-walled components of NiCrW alloy 230

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, R.; Jalowicka, Aleksandra; Unocic, Kinga A.

    Using alloy 230 as an example, a generalized oxidation lifetime model for chromia-forming Ni-base wrought alloys is proposed, which captures the most important damaging oxidation effects relevant for component design: wall thickness loss, scale spallation, and the occurrence of breakaway oxidation. For deriving input parameters and for verification of the model approach, alloy 230 specimens with different thicknesses were exposed for different times at temperatures in the range 950–1050 °C in static air. The studies focused on thin specimens (0.2–0.5 mm) to obtain data for critical subscale depletion processes resulting in breakaway oxidation within reasonably achievable test times up tomore » 3000 h. The oxidation kinetics and oxidation-induced subscale microstructural changes were determined by combining gravimetric data with results from scanning electron microscopy with energy dispersive X-ray spectroscopy. The modeling of the scale spallation and re-formation was based on the NASA cyclic oxidation spallation program, while a new model was developed to describe accelerated oxidation occurring after longer exposure times in the thinnest specimens. The calculated oxidation data were combined with the reservoir model equation, by means of which the relation between the consumption and the remaining concentration of Cr in the alloy was established as a function of temperature and specimen thickness. Based on this approach, a generalized lifetime diagram is proposed, in which wall thickness loss is plotted as a function of time, initial specimen thickness, and temperature. As a result, the time to reach a critical Cr level at the scale/alloy interface of 10 wt% is also indicated in the diagrams.« less

  5. The Optical Properties of Thin Film Reduced Graphene Oxide/Poly (3,4 Ethylenedioxtriophene):Poly (Styrene Sulfonate)(PEDOT:PSS) Fabricated by Spin Coating

    NASA Astrophysics Data System (ADS)

    Rokmana, Arinta W.; Asriani, A.; Suhendar, H.; Triyana, K.; Kusumaatmaja, A.; Santoso, I.

    2018-04-01

    Reduced Graphene Oxide (rGO) has been successfully synthesized from Graphite powder through chemical process using modified Hummers method by removing NaNO3 from reaction formula. Hydrazine hydrate 80 wt% has been chosen as reductor to eliminate the epoxy group in GO. FTIR and Uv-Vis spectroscopy result showed that Graphene Oxide (GO) and rGO were formed. Our produced rGO then used to fabricated the composite thin film rGO/PEDOT:PSS by spin coating at room temperature. The optical constant of thin film rGO/PEDOT:PSS were calculated from the absorbance spectrum of Uv-Visible spectra. The result showed that the value of coefficient absorbance of rGO dropped from 4.7×106 m-1 to 1.3×106 m-1 after doped with 0.02 mL PEDOT:PSS, then increase with the addition volume concentration of PEDOT:PSS. The value of extinction coefficient decrease from 0.31 to 0.08 after rGO doped with 0.02 ml PEDOT:PSS and then increase with the addition concentration of PEDOT:PSS. Our result show that thin film rGO/PEDOT:PSS was more transparent than that of thin film rGO.

  6. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    NASA Astrophysics Data System (ADS)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  7. P-channel thin film transistors using reduced graphene oxide

    NASA Astrophysics Data System (ADS)

    Chakraborty, S.; Resmi, A. N.; Renuka Devi, P.; Jinesh, K. B.

    2017-04-01

    Chemically reduced graphene oxide (rGO) samples with various degrees of reduction were prepared using hydrazine hydrate as the reducing agent. Scanning tunnelling microscope imaging shows that rGO contains rows of randomly distributed patches of epoxy groups. The local density of states of the rGO samples were mapped with scanning tunnelling spectroscopy, which shows that the bandgap in rGO originates from the epoxide regions itself. The Fermi level of the epoxide regions is shifted towards the valence band, making rGO locally p-type and a range of bandgaps from 0-2.2 eV was observed in these regions. Thin film transistors were fabricated using rGO as the channel layer. The devices show excellent output characteristics with clear saturation and gate dependence. The transfer characteristics show that rGO behaves as a p-type semiconductor; the devices exhibit an on/off ratio of 104, with a low-bias hole mobility of 3.9 cm2 V-1 s-1.

  8. Zirconium and hafnium fractionation in differentiation of alkali carbonatite magmatic systems

    NASA Astrophysics Data System (ADS)

    Kogarko, L. N.

    2016-05-01

    Zirconium and hafnium are valuable strategic metals which are in high demand in industry. The Zr and Hf contents are elevated in the final products of magmatic differentiation of alkali carbonatite rocks in the Polar Siberia region (Guli Complex) and Ukraine (Chernigov Massif). Early pyroxene fractionation led to an increase in the Zr/Hf ratio in the evolution of the ultramafic-alkali magmatic system due to a higher distribution coefficient of Hf in pyroxene with respect to Zr. The Rayleigh equation was used to calculate a quantitative model of variation in the Zr/Hf ratio in the development of the Guli magmatic system. Alkali carbonatite rocks originated from rare element-rich mantle reservoirs, in particular, the metasomatized mantle. Carbonated mantle xenoliths are characterized by a high Zr/Hf ratio due to clinopyroxene development during metasomatic replacement of orthopyroxene by carbonate fluid melt.

  9. Enhancement of as-sputtered silver-tantalum oxide thin film coating on biomaterial stainless steel by surface thermal treatment

    NASA Astrophysics Data System (ADS)

    Alias, Rodianah; Mahmoodian, Reza; Shukor, Mohd Hamdi Abd; Yew, Been Seok; Muhamad, Martini

    2018-04-01

    Stainless steel 316L (SS316L) is extensively used as surgical/clinical tools due to its low carbon content and excellent mechanical characteristic. The fabrication of metal ceramic based on this metallic biomaterial favor its biofunctionality properties. However, instability phase of amorphous thin film lead to degradation, corrosion and oxidation. Thus, thin film coating requires elevated adhesion strength and higher surface hardness to meet clinical tools criteria. In this study, the SS316L was deposited with micron thickness of Ag-TaO thin film by using magnetron sputtering. The microstructure, elemental analysis and phase identification of Ag-TaO thin film were characterized by using FESEM, EDX and XRD, respectively; whereas the micro scratch test and micro hardness test were performed by using Micro Scratch Testing System and Vickers Micro Hardness Tester, respectively. It was found that the coating thin film's adhesion and hardness strength were improved from 672 to 2749 mN and 142 to 158 Hv respectively. It was found that the as-deposited surface were treated at 500 °C of temperatures with 2 °C/min ramping rate enhance 4.1 times of the adhesion strength value. Furthermore, FESEM characterization revealed coarsening structure of the thin film coating which can provide high durability service.

  10. Silicon Cations Intermixed Indium Zinc Oxide Interface for High-Performance Thin-Film Transistors Using a Solution Process.

    PubMed

    Na, Jae Won; Rim, You Seung; Kim, Hee Jun; Lee, Jin Hyeok; Hong, Seonghwan; Kim, Hyun Jae

    2017-09-06

    Solution-processed amorphous metal-oxide thin-film transistors (TFTs) utilizing an intermixed interface between a metal-oxide semiconductor and a dielectric layer are proposed. In-depth physical characterizations are carried out to verify the existence of the intermixed interface that is inevitably formed by interdiffusion of cations originated from a thermal process. In particular, when indium zinc oxide (IZO) semiconductor and silicon dioxide (SiO 2 ) dielectric layer are in contact and thermally processed, a Si 4+ intermixed IZO (Si/IZO) interface is created. On the basis of this concept, a high-performance Si/IZO TFT having both a field-effect mobility exceeding 10 cm 2 V -1 s -1 and a on/off current ratio over 10 7 is successfully demonstrated.

  11. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    NASA Astrophysics Data System (ADS)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  12. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  13. Effect of S-doping on structural, optical and electrochemical properties of vanadium oxide thin films prepared by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Mousavi, M.; Kompany, A.; Shahtahmasebi, N.; Bagheri-Mohagheghi, M.-M.

    2013-12-01

    In this research, S-doped vanadium oxide thin films, with doping levels from 0 to 40 at.%, are prepared by spray pyrolysis technique on glass substrates. For electrochemical measurements, the films were deposited on florin-tin oxide coated glass substrates. The effect of S-doping on structural, electrical, optical and electrochemical properties of vanadium oxide thin films was studied. The x-ray diffractometer analysis indicated that most of the samples have cubic β-V2O5 phase structure with preferred orientation along [200]. With increase in the doping levels, the structure of the samples tends to be amorphous. The scanning electron microscopy images show that the structure of the samples is nanobelt-shaped and the width of the nanobelts decreases from nearly 100 to 40 nm with increase in the S concentration. With increase in the S-doping level, the sheet resistance and the optical band gap increase from 940 to 4015 kΩ/square and 2.41 to 2.7 eV, respectively. The cyclic voltammogram results obtained for different samples show that the undoped sample is expanded and the sample prepared at 20 at.% S-doping level has sharper anodic and cathodic peaks.

  14. Experimental and first-principles studies on the elastic properties of α-hafnium metal under pressure

    DOE PAGES

    Qi, Xintong; Wang, Xuebing; Chen, Ting; ...

    2016-03-30

    Compressional and shear wave velocities of the α phase of hafnium have been measured up to 10.4 GPa at room temperature using ultrasonic interferometry in a multi-anvil apparatus. A finite strain equation of state analysis yielded K s0 = 110.4 (5) GPa, G 0 = 54.7(5) GPa,K s0' = 3.7 and G 0' = 0.6 for the elastic bulk and shear moduli and their pressure derivatives at ambient conditions. Complementary to the experimental data, the single crystal elastic constants, elastic anisotropy and the unit cell axial ratio c/a of α-hafnium at high pressures were investigated by Density Functional Theory (DFT)more » based first principles calculations. A c/a value of 1.605 is predicted for α-Hf at 40 GPa, which is in excellent agreement with previous experimental results. The low-pressure derivative of the shear modulus observed in our experimental data up to 10 GPa was found to originate from the elastic constant C44 which exhibits negligible pressure dependence within the current experimental pressure range. At higher pressures (>10 GPa), C 44 was predicted to soften and the shear wave velocity ν S trended to decrease with pressure, which can be interpreted as a precursor to the α-ω transition similar to that observed in other group IV elements (titanium and zirconium). Here, the acoustic velocities, bulk and shear moduli, and the acoustic Debye temperature (θ D = 240.1 K) determined from the current experiments were all compared well with those predicted by our theoretical DFT calculations.« less

  15. Composition and method for brazing graphite to graphite

    DOEpatents

    Taylor, A.J.; Dykes, N.L.

    1982-08-10

    A brazing material is described for joining graphite structures that can be used up to 2800/sup 0/C. The brazing material is formed of a paste-like composition of hafnium carbide and uranium oxide with a thermosetting resin. The uranium oxide is converted to uranium dicarbide during the brazing operation and then the hafnium carbide and uranium dicarbide form a liquid phase at a temperature about 2600/sup 0/C with the uranium diffusing and vaporizing from the joint area as the temperature is increased to about 2800/sup 0/C so as to provide a brazed joint consisting essentially of hafnium carbide. The resulting brazed joint is chemically and thermally compatible with the graphite structures.

  16. Structure of a zinc oxide ultra-thin film on Rh(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuhara, J.; Kato, D.; Matsui, T.

    The structural parameters of ultra-thin zinc oxide films on Rh(100) are investigated using low-energy electron diffraction intensity (LEED I–V) curves, scanning tunneling microscopy (STM), and first-principles density functional theory (DFT) calculations. From the analysis of LEED I–V curves and DFT calculations, two optimized models A and B are determined. Their structures are basically similar to the planer h-BN ZnO(0001) structure, although some oxygen atoms protrude from the surface, associated with an in-plane shift of Zn atoms. From a comparison of experimental STM images and simulated STM images, majority and minority structures observed in the STM images represent the two optimizedmore » models A and B, respectively.« less

  17. Amorphous Mixed-Metal Oxide Thin Films from Aqueous Solution Precursors with Near-Atomic Smoothness.

    PubMed

    Kast, Matthew G; Cochran, Elizabeth A; Enman, Lisa J; Mitchson, Gavin; Ditto, Jeffrey; Siefe, Chris; Plassmeyer, Paul N; Greenaway, Ann L; Johnson, David C; Page, Catherine J; Boettcher, Shannon W

    2016-12-28

    Thin films with tunable and homogeneous composition are required for many applications. We report the synthesis and characterization of a new class of compositionally homogeneous thin films that are amorphous solid solutions of Al 2 O 3 and transition metal oxides (TMO x ) including VO x , CrO x , MnO x , Fe 2 O 3 , CoO x , NiO, CuO x , and ZnO. The synthesis is enabled by the rapid decomposition of molecular transition-metal nitrates TM(NO 3 ) x at low temperature along with precondensed oligomeric Al(OH) x (NO 3 ) 3-x cluster species, both of which can be processed from aq solution. The films are dense, ultrasmooth (R rms < 1 nm, near 0.1 nm in many cases), and atomically mixed amorphous metal-oxide alloys over a large composition range. We assess the chemical principles that favor the formation of amorphous homogeneous films over rougher phase-segregated nanocrystalline films. The synthesis is easily extended to other compositions of transition and main-group metal oxides. To demonstrate versatility, we synthesized amorphous V 0.1 Cr 0.1 Mn 0.1 Fe 0.1 Zn 0.1 Al 0.5 O x and V 0.2 Cr 0.2 Fe 0.2 Al 0.4 O x with R rms ≈ 0.1 nm and uniform composition. The combination of ideal physical properties (dense, smooth, uniform) and broad composition tunability provides a platform for film synthesis that can be used to study fundamental phenomena when the effects of transition metal cation identity, solid-state concentration of d-electrons or d-states, and/or crystallinity need to be controlled. The new platform has broad potential use in controlling interfacial phenomena such as electron transfer in solar-cell contacts or surface reactivity in heterogeneous catalysis.

  18. Stress-rupture strength and microstructural stability of tungsten-hafnium-carbon-wire reinforced superalloy composites

    NASA Technical Reports Server (NTRS)

    Petrasek, D. W.; Signorelli, R. A.

    1974-01-01

    Tungsten-hafnium-carbon - superalloy composites were found to be potentially useful for turbine blade applications on the basis of stress-rupture strength. The 100- and 1000-hr rupture strengths calculated for 70 vol. % fiber composites based on test data at 1090C (2000F) were 420 and 280 MN/m2 (61,000 and 41,000 psi, respectively). The investigation indicated that, with better quality fibers, composites having 100- and 1000-hr rupture strengths of 570 and 370 MN/m2 (82,000 and 54,000 psi, respectively), may be obtained. Metallographic studies indicated sufficient fiber-matrix compatibility for 1000 hr or more at 1090C (2000F).

  19. Thin film bismuth iron oxides useful for piezoelectric devices

    DOEpatents

    Zeches, Robert J.; Martin, Lane W.; Ramesh, Ramamoorthy

    2016-05-31

    The present invention provides for a composition comprising a thin film of BiFeO.sub.3 having a thickness ranging from 20 nm to 300 nm, a first electrode in contact with the BiFeO.sub.3 thin film, and a second electrode in contact with the BiFeO.sub.3 thin film; wherein the first and second electrodes are in electrical communication. The composition is free or essentially free of lead (Pb). The BFO thin film is has the piezoelectric property of changing its volume and/or shape when an electric field is applied to the BFO thin film.

  20. Comparative study of structural, optical and impedance measurements on V{sub 2}O{sub 5} and V-Ce mixed oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malini, D. Rachel; Sanjeeviraja, C., E-mail: sanjeeviraja@rediffmail.com

    Vanadium pentoxide (V{sub 2}O{sub 5}) and Vanadium-Cerium mixed oxide thin films at different molar ratios of V{sub 2}O{sub 5} and CeO{sub 2} have been deposited at 200 W rf power by rf planar magnetron sputtering in pure argon atmosphere. The structural and optical properties were studied by taking X-ray diffraction and transmittance and absorption spectra respectively. The amorphous thin films show an increase in transmittance and optical bandgap with increase in CeO{sub 2} content in as-prepared thin films. The impedance measurements for as-deposited thin films show an increase in electrical conductivity with increase in CeO{sub 2} material.

  1. Three dimensional-stacked complementary thin-film transistors using n-type Al:ZnO and p-type NiO thin-film transistors.

    PubMed

    Lee, Ching-Ting; Chen, Chia-Chi; Lee, Hsin-Ying

    2018-03-05

    The three dimensional inverters were fabricated using novel complementary structure of stacked bottom n-type aluminum-doped zinc oxide (Al:ZnO) thin-film transistor and top p-type nickel oxide (NiO) thin-film transistor. When the inverter operated at the direct voltage (V DD ) of 10 V and the input voltage from 0 V to 10 V, the obtained high performances included the output swing of 9.9 V, the high noise margin of 2.7 V, and the low noise margin of 2.2 V. Furthermore, the high performances of unskenwed inverter were demonstrated by using the novel complementary structure of the stacked n-type Al:ZnO thin-film transistor and p-type nickel oxide (NiO) thin-film transistor.

  2. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanna, A. N.; Ghoneim, M. T.; Bahabry, R. R.

    2013-11-25

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  3. Cyclical Annealing Technique To Enhance Reliability of Amorphous Metal Oxide Thin Film Transistors.

    PubMed

    Chen, Hong-Chih; Chang, Ting-Chang; Lai, Wei-Chih; Chen, Guan-Fu; Chen, Bo-Wei; Hung, Yu-Ju; Chang, Kuo-Jui; Cheng, Kai-Chung; Huang, Chen-Shuo; Chen, Kuo-Kuang; Lu, Hsueh-Hsing; Lin, Yu-Hsin

    2018-02-26

    This study introduces a cyclical annealing technique that enhances the reliability of amorphous indium-gallium-zinc-oxide (a-IGZO) via-type structure thin film transistors (TFTs). By utilizing this treatment, negative gate-bias illumination stress (NBIS)-induced instabilities can be effectively alleviated. The cyclical annealing provides several cooling steps, which are exothermic processes that can form stronger ionic bonds. An additional advantage is that the total annealing time is much shorter than when using conventional long-term annealing. With the use of cyclical annealing, the reliability of the a-IGZO can be effectively optimized, and the shorter process time can increase fabrication efficiency.

  4. Plasma-enhanced atomic layer deposition zinc oxide for multifunctional thin film electronics

    NASA Astrophysics Data System (ADS)

    Mourey, Devin A.

    A novel, weak oxidant, plasma-enhanced atomic layer deposition (PEALD) process has been used to fabricate stable, high mobility ZnO thin film transistors (TFTs) and fast circuits on glass and polyimide substrates at 200°C. Weak oxidant PEALD provides a simple, fast deposition process which results in uniform, conformal coatings and highly crystalline, dense ZnO thin films. These films and resulting devices have been compared with those prepared by spatial atomic layer deposition (SALD) throughout the work. Both PEALD and SALD ZnO TFTs have high field-effect mobility (>20 cm 2/V·s) and devices with ALD Al2O3 passivation can have excellent bias stress stability. Temperature dependent measurements of PEALD ZnO TFTs revealed a mobility activation energy < 5 meV and can be described using a simple percolation model with a Gaussian distribution of near-conduction band barriers. Interestingly, both PEALD and SALD devices operate with mobility > 1 cm2/V·s even at temperatures < 10 K. The effects of high energy irradiation have also been investigated. Devices exposed to 1 MGy of gamma irradiation showed small threshold voltage shifts (<2 V) which were fully recoverable with short (1 min) low-temperature (200°C) anneals. ZnO TFTs exhibit a range of non-ideal behavior which has direct implications on how important parameters such as mobility and threshold voltage are quantified. For example, the accumulation-dependent mobility and contact effects can lead to significant overestimations in mobility. It is also found that self-heating plays and important role in the non-ideal behavior of oxide TFTs on low thermal conductivity substrates. In particular, the output conductance and a high current device runaway breakdown effect can be directly ascribed to self-heating. Additionally, a variety of simple ZnO circuits on glass and flexible substrates were demonstrated. A backside exposure process was used to form gate-self-aligned structures with reduced parasitic capacitance and

  5. Evaluation of elastic properties of nanoporous silicon oxide thin films by picosecond laser ultrasonics

    NASA Astrophysics Data System (ADS)

    Mechri, C.; Ruello, P.; Gusev, V.; Breteau, J. M.; Mounier, D.; Henderson, M.; Gibaud, A.; Dourdain, S.

    2008-01-01

    Picosecond laser ultrasonics uses femtosecond laser pulses for the generation and detection of acoustic pulses with a typical duration between few picoseconds and few hundreds of pico seconds. The shorter the duration of the acoustic pulse is, the more precisely could be made the measurements of the film thickness [C. Thomsen et al., Phys. Rev. B 34, 4129 (1986)] and the elastic modulus by pulse-echo method or through Brillouin scattering detection. In this short communication we report the results of the evaluation of the properties of nanoporous silicon oxide thin films which present potential low-k and thermal barrier properties and are also of great interest for the microelectronic industry to replace the traditional silicate glass films in order to decrease the resistance-capacitance transition delay in the VLSI circuits. Most of the studies that have been carried so far have treated the optical properties of such structures. We report the results of the evaluation of acoustic properties of nanoporous thin films.

  6. Local mechanical and electromechanical properties of the P(VDF-TrFE)-graphene oxide thin films

    NASA Astrophysics Data System (ADS)

    Silibin, M. V.; Bystrov, V. S.; Karpinsky, D. V.; Nasani, N.; Goncalves, G.; Gavrilin, I. M.; Solnyshkin, A. V.; Marques, P. A. A. P.; Singh, Budhendra; Bdikin, I. K.

    2017-11-01

    Recently, many organic materials, including carbon materials such as carbon nanotubes (CNTs) and graphene (single-walled carbon sheet structure) were studied in order to improve their mechanical and electrical properties. In particular, copolymers of poly (vinylidene fluoride) and poly trifluoroethylene [P(VDF-TrFE)] are promising materials, which can be used as probes, sensors, actuators, etc. Composite thin film of the copolymer P(VDF-TrFE) with graphene oxide (GO) were prepared by spin coating. The obtained films were investigated using piezoresponse force microscopy (PFM). The switching behavior, piezoelectric response, dielectric permittivity and mechanical properties of the films were found to depend on the presence of GO. For understanding the mechanism of piezoresponse evolution of the composite we used models of PVDF chain, its behavior in electrical field and computed the data for piezoelectric coefficients using HyperChem software. The summarized models of graphene oxide based on graphene layer from 96 carbon atoms C: with oxygen and OH groups and with COOH groups arranged by hydrogen were used for PVDF/Graphene oxide complex: 1) with H-side (hydrogen atom) connected from PVDF to graphene oxide, 2) with F-side (fluorine atom) connected from PVDF graphene oxide and 3) Graphene Oxide/PVDF with both sides (sandwich type). Experimental results qualitatively correlate with those obtained in the calculations.

  7. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2

    PubMed Central

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.; Arena, Dario A.; Schlom, Darrell G.; Piper, Louis F. J.

    2015-01-01

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions. PMID:28793516

  8. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2.

    PubMed

    Quackenbush, Nicholas F; Paik, Hanjong; Woicik, Joseph C; Arena, Dario A; Schlom, Darrell G; Piper, Louis F J

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.

  9. X-ray Spectroscopy of Ultra-thin Oxide/oxide Heteroepitaxial Films: A Case Study of Single-nanometer VO2/TiO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe amore » low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. Generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.« less

  10. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  11. Excitation of epsilon-near-zero resonance in ultra-thin indium tin oxide shell embedded nanostructured optical fiber.

    PubMed

    Minn, Khant; Anopchenko, Aleksei; Yang, Jingyi; Lee, Ho Wai Howard

    2018-02-05

    We report a novel optical waveguide design of a hollow step index fiber modified with a thin layer of indium tin oxide (ITO). We show an excitation of highly confined waveguide mode in the proposed fiber near the wavelength where permittivity of ITO approaches zero. Due to the high field confinement within thin ITO shell inside the fiber, the epsilon-near-zero (ENZ) mode can be characterized by a peak in modal loss of the hybrid waveguide. Our results show that such in-fiber excitation of ENZ mode is due to the coupling of the guided core mode to the thin-film ENZ mode. We also show that the phase matching wavelength, where the coupling takes place, varies depending on the refractive index of the constituents inside the central bore of the fiber. These ENZ nanostructured optical fibers have many potential applications, for example, in ENZ nonlinear and magneto-optics, as in-fiber wavelength-dependent filters, and as subwavelength fluid channel for optical and bio-photonic sensing.

  12. Pronounced photogating effect in atomically thin WSe2 with a self-limiting surface oxide layer

    NASA Astrophysics Data System (ADS)

    Yamamoto, Mahito; Ueno, Keiji; Tsukagoshi, Kazuhito

    2018-04-01

    The photogating effect is a photocurrent generation mechanism that leads to marked responsivity in two-dimensional (2D) semiconductor-based devices. A key step to promote the photogating effect in a 2D semiconductor is to integrate it with a high density of charge traps. Here, we show that self-limiting surface oxides on atomically thin WSe2 can serve as effective electron traps to facilitate p-type photogating. By examining the gate-bias-induced threshold voltage shift of a p-type transistor based on single-layer WSe2 with surface oxide, the electron trap density and the trap rate of the oxide are determined to be >1012 cm-2 and >1010 cm-2 s-1, respectively. White-light illumination on an oxide-covered 4-layer WSe2 transistor leads to the generation of photocurrent, the magnitude of which increases with the hole mobility. During illumination, the photocurrent evolves on a timescale of seconds, and a portion of the current persists even after illumination. These observations indicate that the photogenerated electrons are trapped deeply in the surface oxide and effectively gate the underlying WSe2. Owing to the pronounced photogating effect, the responsivity of the oxide-covered WSe2 transistor is observed to exceed 3000 A/W at an incident optical power of 1.1 nW, suggesting the effectiveness of surface oxidation in facilitating the photogating effect in 2D semiconductors.

  13. Metal-organic chemical vapor deposition of cerium oxide, gallium-indium-oxide, and magnesium oxide thin films: Precursor design, film growth, and film characterization

    NASA Astrophysics Data System (ADS)

    Edleman, Nikki Lynn

    A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism

  14. Conduction mechanism change with transport oxide layer thickness in oxide hetero-interface diode

    NASA Astrophysics Data System (ADS)

    Nam, Bu-il; Park, Jong Seo; Lim, Keon-Hee; Ahn, Yong-keon; Lee, Jinwon; Park, Jun-woo; Cho, Nam-Kwang; Lee, Donggun; Lee, Han-Bo-Ram; Kim, Youn Sang

    2017-07-01

    An effective and facile strategy is proposed to demonstrate an engineered oxide hetero-interface of a thin film diode with a high current density and low operating voltage. The electrical characteristics of an oxide hetero-interface thin film diode are governed by two theoretical models: the space charge-limited current model and the Fowler-Nordheim (F-N) tunneling model. Interestingly, the dominant mechanism strongly depends on the insulator thickness, and the mechanism change occurs at a critical thickness. This paper shows that conduction mechanisms of oxide hetero-interface thin film diodes depend on thicknesses of transport oxide layers and that current densities of these can be exponentially increased through quantum tunneling in the diodes with the thicknesses less than 10 nm. These oxide hetero-interface diodes have great potential for low-powered transparent nanoscale applications.

  15. Copper Oxide Thin Films through Solution Based Methods for Electrical Energy Conversion and Storage

    NASA Astrophysics Data System (ADS)

    Zhu, Changqiong

    Copper oxides (Cu2O and CuO), composed of non-toxic and earth abundant elements, are promising materials for electrical energy generation and storage devices. Solution based techniques for creating thin films of these materials, such as electrodeposition, are important to understand and develop because of their potential for realizing substantial energy savings compared to traditional fabrication methods. Cuprous oxide (Cu2O), with its direct band gap, is a p-type semiconductor that is well suited for creating solution-processed photovoltaic devices (solar cells); several key advancements made toward this application are the primary focus of this thesis. Electrodeposition of single-phase, crystalline Cu2O thin films is demonstrated using previously unexplored, acidic lactate/Cu2+ solutions, which has provided additional understanding of the impacts of growth solution chemistry on film formation. The influence of pH on the resulting Cu2O thin film properties is revealed by using the same ligand (sodium lactate) at various solution pH values. Cu2O films grown from acidic lactate solutions can exhibit a distinctive flowerlike, dendritic morphology, in contrast to the faceted, dense films obtained using alkaline lactate solutions. Relative speciation distributions of the various metal complex ions present under different growth conditions are calculated using reported equilibrium association constants and experimentally supported by UV-Visible absorption spectroscopy. Dependence of thin film morphology on the lactate/Cu2+ molar ratio and applied potential is described. Cu2O/eutectic gallium-indium Schottky junction devices are formed and devices are tested under monochromatic green LED illumination. Further surface examination of the Cu2O films using X-ray photoelectron spectroscopy (XPS) reveals the fact that films grown from acidic lactate solution with a small lactate/Cu2+ molar ratio, which exhibit improved photovoltaic performance compared to films grown from

  16. Facile Phase Control of Multivalent Vanadium Oxide Thin Films (V2O5 and VO2) by Atomic Layer Deposition and Postdeposition Annealing.

    PubMed

    Song, Gwang Yeom; Oh, Chadol; Sinha, Soumyadeep; Son, Junwoo; Heo, Jaeyeong

    2017-07-19

    Atomic layer deposition was adopted to deposit VO x thin films using vanadyl tri-isopropoxide {VO[O(C 3 H 7 )] 3 , VTIP} and water (H 2 O) at 135 °C. The self-limiting and purge-time-dependent growth behaviors were studied by ex situ ellipsometry to determine the saturated growth conditions for atomic-layer-deposited VO x . The as-deposited films were found to be amorphous. The structural, chemical, and optical properties of the crystalline thin films with controlled phase formation were investigated after postdeposition annealing at various atmospheres and temperatures. Reducing and oxidizing atmospheres enabled the formation of pure VO 2 and V 2 O 5 phases, respectively. The possible band structures of the crystalline VO 2 and V 2 O 5 thin films were established. Furthermore, an electrochemical response and a voltage-induced insulator-to-metal transition in the vertical metal-vanadium oxide-metal device structure were observed for V 2 O 5 and VO 2 films, respectively.

  17. Solid-state dewetting of single- and bilayer Au-W thin films: Unraveling the role of individual layer thickness, stacking sequence and oxidation on morphology evolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Herz, A., E-mail: andreas.herz@tu-ilmenau.de, E-mail: dong.wang@tu-ilmenau.de; Franz, A.; Theska, F.

    2016-03-15

    Self-assembly of ultrathin Au, W, and Au-W bilayer thin films is investigated using a rapid thermal annealing technique in an inert ambient. The solid-state dewetting of Au films is briefly revisited in order to emphasize the role of initial film thickness. W films deposited onto SiO{sub 2} evolve into needle-like nanocrystals rather than forming particle-like agglomerates upon annealing at elevated temperatures. Transmission electron microscopy reveals that such nanocrystals actually consist of tungsten (VI) oxide (WO{sub 3}) which is related to an anisotropic oxide crystal growth out of the thin film. The evolution of W films is highly sensitive to themore » presence of any residual oxygen. Combination of both the dewetting of Au and the oxide crystal growth of WO{sub 3} is realized by using various bilayer film configurations of the immiscible Au and W. At low temperature, Au dewetting is initiated while oxide crystal growth is still suppressed. Depending on the stacking sequence of the Au-W bilayer thin film, W acts either as a substrate or as a passivation layer for the dewetting of Au. Being the ground layer, W changes the wettability of Au which clearly modifies its initial state for the dewetting. Being the top layer, W prevents Au from dewetting regardless of Au film thickness. Moreover, regular pattern formation of Au-WO{sub 3} nanoparticles is observed at high temperature demonstrating how bilayer thin film dewetting can create unique nanostructure arrangements.« less

  18. Single Crystal Fibers of Yttria-Stabilized Cubic Zirconia with Ternary Oxide Additions

    NASA Technical Reports Server (NTRS)

    Ritzert, F. J.; Yun, H. M.; Miner, R. V.

    1997-01-01

    Single crystal fibers of yttria (Y2O3)-stabilized cubic zirconia, (ZrO2) with ternary oxide additions were grown using the laser float zone fiber processing technique. Ternary additions to the ZrO2-Y2O3 binary system were studied aimed at increasing strength while maintaining the high coefficient of thermal expansion of the binary system. Statistical methods aided in identifying the most promising ternary oxide candidate (Ta2O5, Sc2O3, and HfO2) and optimum composition. The yttria, range investigated was 14 to 24 mol % and the ternary oxide component ranged from 1 to 5 mol %. Hafnium oxide was the most promising ternary oxide component based on 816 C tensile strength results and ease of fabrication. The optimum composition for development was 81 ZrO2-14 Y203-5 HfO2 based upon the same elevated temperature strength tests. Preliminary results indicate process improvements could improve the fiber performance. We also investigated the effect of crystal orientation on strength.

  19. Transient absorption microscopy studies of energy relaxation in graphene oxide thin film.

    PubMed

    Murphy, Sean; Huang, Libai

    2013-04-10

    Spatial mapping of energy relaxation in graphene oxide (GO) thin films has been imaged using transient absorption microscopy (TAM). Correlated AFM images allow us to accurately determine the thickness of the GO films. In contrast to previous studies, correlated TAM-AFM allows determination of the effect of interactions of GO with the substrate and between stacked GO layers on the relaxation dynamics. Our results show that energy relaxation in GO flakes has little dependence on the substrate, number of stacked layers, and excitation intensity. This is in direct contrast to pristine graphene, where these factors have great consequences in energy relaxation. This suggests intrinsic factors rather than extrinsic ones dominate the excited state dynamics of GO films.

  20. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    PubMed

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  1. On the role of ultra-thin oxide cathode synthesis on the functionality of micro-solid oxide fuel cells: Structure, stress engineering and in situ observation of fuel cell membranes during operation

    NASA Astrophysics Data System (ADS)

    Lai, Bo-Kuai; Kerman, Kian; Ramanathan, Shriram

    Microstructure and stresses in dense La 0.6Sr 0.4Co 0.8Fe 0.2O 3 (LSCF) ultra-thin films have been investigated to increase the physical thickness of crack-free cathodes and active area of thermo-mechanically robust micro-solid oxide fuel cell (μSOFC) membranes. Processing protocols employ low deposition rates to create a highly granular nanocrystalline microstructure in LSCF thin films and high substrate temperatures to produce linear temperature-dependent stress evolution that is dominated by compressive stresses in μSOFC membranes. Insight and trade-off on the synthesis are revealed by probing microstructure evolution and electrical conductivity in LSCF thin films, in addition to in situ monitoring of membrane deformation while measuring μSOFC performance at varying temperatures. From these studies, we were able to successfully fabricate failure-resistant square μSOFC (LSCF/YSZ/Pt) membranes with width of 250 μm and crack-free cathodes with thickness of ∼70 nm. Peak power density of ∼120 mW cm -2 and open circuit voltage of ∼0.6 V at 560 °C were achieved on a μSOFC array chip containing ten such membranes. Mechanisms affecting fuel cell performance are discussed. Our results provide fundamental insight to pathways of microstructure and stress engineering of ultra-thin, dense oxide cathodes and μSOFC membranes.

  2. Lateral assembly of oxidized graphene flakes into large-scale transparent conductive thin films with a three-dimensional surfactant 4-sulfocalix[4]arene

    PubMed Central

    Sundramoorthy, Ashok K.; Wang, Yilei; Wang, Jing; Che, Jianfei; Thong, Ya Xuan; Lu, Albert Chee W.; Chan-Park, Mary B.

    2015-01-01

    Graphene is a promising candidate material for transparent conductive films because of its excellent conductivity and one-carbon-atom thickness. Graphene oxide flakes prepared by Hummers method are typically several microns in size and must be pieced together in order to create macroscopic films. We report a macro-scale thin film fabrication method which employs a three-dimensional (3-D) surfactant, 4-sulfocalix[4]arene (SCX), as a lateral aggregating agent. After electrochemical exfoliation, the partially oxidized graphene (oGr) flakes are dispersed with SCX. The SCX forms micelles, which adsorb on the oGr flakes to enhance their dispersion, also promote aggregation into large-scale thin films under vacuum filtration. A thin oGr/SCX film can be shaved off from the aggregated oGr/SCX cake by immersing the cake in water. The oGr/SCX thin-film floating on the water can be subsequently lifted from the water surface with a substrate. The reduced oGr (red-oGr) films can be as thin as 10−20 nm with a transparency of >90% and sheet resistance of 890 ± 47 kΩ/sq. This method of electrochemical exfoliation followed by SCX-assisted suspension and hydrazine reduction, avoids using large amounts of strong acid (unlike Hummers method), is relatively simple and can easily form a large scale conductive and transparent film from oGr/SCX suspension. PMID:26040436

  3. Influence of sputtering deposition parameters on electrical and optical properties of aluminium-doped zinc oxide thin films for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Krawczak, Ewelina; Agata, Zdyb; Gulkowski, Slawomir; Fave, Alain; Fourmond, Erwann

    2017-11-01

    Transparent Conductive Oxides (TCOs) characterized by high visible transmittance and low electrical resistivity play an important role in photovoltaic technology. Aluminum doped zinc oxide (AZO) is one of the TCOs that can find its application in thin film solar cells (CIGS or CdTe PV technology) as well as in other microelectronic applications. In this paper some optical and electrical properties of ZnO:Al thin films deposited by RF magnetron sputtering method have been investigated. AZO layers have been deposited on the soda lime glass substrates with use of variable technological parameters such as pressure in the deposition chamber, power applied and temperature during the process. The composition of AZO films has been investigated by EDS method. Thickness and refraction index of the deposited layers in dependence on certain technological parameters of sputtering process have been determined by spectroscopic ellipsometry. The measurements of transmittance and sheet resistance were also performed.

  4. Thin film ion conducting coating

    DOEpatents

    Goldner, Ronald B.; Haas, Terry; Wong, Kwok-Keung; Seward, George

    1989-01-01

    Durable thin film ion conducting coatings are formed on a transparent glass substrate by the controlled deposition of the mixed oxides of lithium:tantalum or lithium:niobium. The coatings provide durable ion transport sources for thin film solid state storage batteries and electrochromic energy conservation devices.

  5. Thin Film Complementary Metal Oxide Semiconductor (CMOS) Device Using a Single-Step Deposition of the Channel Layer

    PubMed Central

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, M. N.; Wang, Q. X.; Alshareef, H. N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n- and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350°C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications. PMID:24728223

  6. High-performance varistors simply by hot-dipping zinc oxide thin films in Pr6O11: Influence of temperature

    PubMed Central

    Wang, Yang; Peng, Zhijian; Wang, Qi; Wang, Chengbiao; Fu, Xiuli

    2017-01-01

    High-performance ZnO-Pr6O11 thin-film varistors were fabricated simply by hot-dipping oxygen-deficient zinc oxide thin films in Pr6O11 powder. The films had a composition of ZnO0.81 and a thickness of about 200 nm, which were deposited by radio frequency magnetron sputtering a sintered zinc oxide ceramic target. Special attention was paid on the temperature dependence of the varistors. In 50 min with hot-dipping temperature increased from 300–700 °C, the nonlinear coefficient (α) of the varistors increased, but with higher temperature it decreased again. Correspondingly, the leakage current (IL) decreased first and then increased, owing mainly to the formation and destroying of complete zinc oxide/Pr6O11 grain boundaries. The breakdown field (E1mA) decreased monotonously from 0.02217 to 0.01623 V/nm with increasing temperature (300–800 °C), due to the decreased number of effective grain boundaries in the varistors. The varistors prepared at 700 °C exhibited the optimum nonlinear properties with the highest α = 39.29, lowest IL = 0.02736 mA/cm2, and E1mA = 0.01757 V/nm. And after charge-discharge at room temperature for 1000 times, heating at 100 or 250 °C for up to 100 h, or applying at up to 250 °C, the varistors still performed well. Such nanoscaled thin-film varistors will be very promising in electrical/electronic devices working at low voltage. PMID:28155890

  7. Poole-Frenkel-effect as dominating current mechanism in thin oxide films—An illusion?!

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schroeder, Herbert

    2015-06-07

    In many of the publications, over 50 per year for the last five years, the Poole-Frenkel-effect (PFE) is identified or suggested as dominating current mechanism to explain measured current–electric field dependencies in metal-insulator-metal (MIM) thin film stacks. Very often, the insulating thin film is a metal oxide as this class of materials has many important applications, especially in information technology. In the overwhelming majority of the papers, the identification of the PFE as dominating current mechanism is made by the slope of the current–electric field curve in the so-called Poole-Frenkel plot, i.e., logarithm of current density, j, divided by themore » applied electric field, F, versus the square root of that field. This plot is suggested by the simplest current equation for the PFE, which comprises this proportionality (ln(j/F) vs. F{sup 1/2}) leading to a straight line in this plot. Only one other parameter (except natural constants) may influence this slope: the optical dielectric constant of the insulating film. In order to identify the importance of the PFE simulation studies of the current through MIM stacks with thin insulating films were performed and the current–electric field curves without and with implementation of the PFE were compared. For the simulation, an advanced current model has been used combining electronic carrier injection/ejection currents at the interfaces, described by thermionic emission, with the carrier transport in the dielectric, described by drift and diffusion of electrons and holes in a wide band gap semiconductor. Besides the applied electric field (or voltage), many other important parameters have been varied: the density of the traps (with donor- and acceptor-like behavior); the zero-field energy level of the traps within the energy gap, this energy level is changed by the PFE (also called internal Schottky effect); the thickness of the dielectric film; the permittivity of the dielectric film simulating

  8. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  9. Strategies towards controlling strain-induced mesoscopic phase separation in manganite thin films

    NASA Astrophysics Data System (ADS)

    Habermeier, H.-U.

    2008-10-01

    Complex oxides represent a class of materials with a plethora of fascinating intrinsic physical functionalities. The intriguing interplay of charge, spin and orbital ordering in these systems superimposed by lattice effects opens a scientifically rewarding playground for both fundamental as well as application oriented research. The existence of nanoscale electronic phase separation in correlated complex oxides is one of the areas in this field whose impact on the current understanding of their physics and potential applications is not yet clear. In this paper this issue is treated from the point of view of complex oxide thin film technology. Commenting on aspects of complex oxide thin film growth gives an insight into the complexity of a reliable thin film technology for these materials. Exploring fundamentals of interfacial strain generation and strain accommodation paves the way to intentionally manipulate thin film properties. Furthermore, examples are given for an extrinsic continuous tuning of intrinsic electronic inhomogeneities in perovskite-type complex oxide thin films.

  10. GeO2 Thin Film Deposition on Graphene Oxide by the Hydrogen Peroxide Route: Evaluation for Lithium-Ion Battery Anode.

    PubMed

    Medvedev, Alexander G; Mikhaylov, Alexey A; Grishanov, Dmitry A; Yu, Denis Y W; Gun, Jenny; Sladkevich, Sergey; Lev, Ovadia; Prikhodchenko, Petr V

    2017-03-15

    A peroxogermanate thin film was deposited in high yield at room temperature on graphene oxide (GO) from peroxogermanate sols. The deposition of the peroxo-precursor onto GO and the transformations to amorphous GeO 2 , crystalline tetragonal GeO 2 , and then to cubic elemental germanium were followed by electron microscopy, XRD, and XPS. All of these transformations are influenced by the GO support. The initial deposition is explained in view of the sol composition and the presence of GO, and the different thermal transformations are explained by reactions with the graphene support acting as a reducing agent. As a test case, the evaluation of the different materials as lithium ion battery anodes was carried out revealing that the best performance is obtained by amorphous germanium oxide@GO with >1000 mAh g -1 at 250 mA g -1 (between 0 and 2.5 V vs Li/Li + cathode), despite the fact that the material contained only 51 wt % germanium. This is the first demonstration of the peroxide route to produce peroxogermanate thin films and thereby supported germanium and germanium oxide coatings. The advantages of the process over alternative methodologies are discussed.

  11. Flexible thin-film battery based on graphene-oxide embedded in solid polymer electrolyte

    NASA Astrophysics Data System (ADS)

    Kammoun, M.; Berg, S.; Ardebili, H.

    2015-10-01

    Enhanced safety of flexible batteries is an imperative objective due to the intimate interaction of such devices with human organs such as flexible batteries that are integrated with touch-screens or embedded in clothing or space suits. In this study, the fabrication and testing of a high performance thin-film Li-ion battery (LIB) is reported that is both flexible and relatively safer compared to the conventional electrolyte based batteries. The concept is facilitated by the use of solid polymer nanocomposite electrolyte, specifically, composed of polyethylene oxide (PEO) matrix and 1 wt% graphene oxide (GO) nanosheets. The flexible LIB exhibits a high maximum operating voltage of 4.9 V, high capacity of 0.13 mA h cm-2 and an energy density of 4.8 mW h cm-3. The battery is encapsulated using a simple lamination method that is economical and scalable. The laminated battery shows robust mechanical flexibility over 6000 bending cycles and excellent electrochemical performance in both flat and bent configurations. Finite element analysis (FEA) of the LIB provides critical insights into the evolution of mechanical stresses during lamination and bending.Enhanced safety of flexible batteries is an imperative objective due to the intimate interaction of such devices with human organs such as flexible batteries that are integrated with touch-screens or embedded in clothing or space suits. In this study, the fabrication and testing of a high performance thin-film Li-ion battery (LIB) is reported that is both flexible and relatively safer compared to the conventional electrolyte based batteries. The concept is facilitated by the use of solid polymer nanocomposite electrolyte, specifically, composed of polyethylene oxide (PEO) matrix and 1 wt% graphene oxide (GO) nanosheets. The flexible LIB exhibits a high maximum operating voltage of 4.9 V, high capacity of 0.13 mA h cm-2 and an energy density of 4.8 mW h cm-3. The battery is encapsulated using a simple lamination method

  12. Electronic Interactions of Size-Selected Oxide Clusters on Metallic and Thin Film Oxide Supports

    DOE PAGES

    Xue, Meng; Nakayama, Miki; Liu, Ping; ...

    2017-09-13

    The interfacial electronic structure of various size-selected metal oxide nanoclusters (M 3O x; M = Mo, Nb, Ti) on Cu(111) and a thin film of Cu 2O supports were investigated in this paper by a combination of experimental methods and density functional theory (DFT). These systems explore electron transfer at the metal–metal oxide interface which can modify surface structure, metal oxidation states, and catalytic activity. Electron transfer was probed by measurements of surface dipoles derived from coverage dependent work function measurements using two-photon photoemission (2PPE) and metal core level binding energy spectra from X-ray photoelectron spectroscopy (XPS). The measured surfacemore » dipoles are negative for all clusters on Cu(111) and Cu 2O/Cu(111), but those on the Cu 2O surface are much larger in magnitude. In addition, sub-stoichiometric or “reduced” clusters exhibit smaller surface dipoles on both the Cu(111) and Cu 2O surfaces. Negative surface dipoles for clusters on Cu(111) suggest Cu → cluster electron transfer, which is generally supported by DFT-calculated Bader charge distributions. For Cu 2O/Cu(111), calculations of the surface electrostatic potentials show that the charge distributions associated with cluster adsorption structures or distortions at the cluster–Cu 2O–Cu(111) interface are largely responsible for the observed negative surface dipoles. Changes observed in the XPS spectra for the Mo 3d, Nb 3d, and Ti 2p core levels of the clusters on Cu(111) and Cu 2O/Cu(111) are interpreted with help from the calculated Bader charges and cluster adsorption structures, the latter providing information about the presence of inequivalent cation sites. Finally, the results presented in this work illustrate how the combined use of different experimental probes along with theoretical calculations can result in a more realistic picture of cluster–support interactions and bonding.« less

  13. Electronic Interactions of Size-Selected Oxide Clusters on Metallic and Thin Film Oxide Supports

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xue, Meng; Nakayama, Miki; Liu, Ping

    The interfacial electronic structure of various size-selected metal oxide nanoclusters (M 3O x; M = Mo, Nb, Ti) on Cu(111) and a thin film of Cu 2O supports were investigated in this paper by a combination of experimental methods and density functional theory (DFT). These systems explore electron transfer at the metal–metal oxide interface which can modify surface structure, metal oxidation states, and catalytic activity. Electron transfer was probed by measurements of surface dipoles derived from coverage dependent work function measurements using two-photon photoemission (2PPE) and metal core level binding energy spectra from X-ray photoelectron spectroscopy (XPS). The measured surfacemore » dipoles are negative for all clusters on Cu(111) and Cu 2O/Cu(111), but those on the Cu 2O surface are much larger in magnitude. In addition, sub-stoichiometric or “reduced” clusters exhibit smaller surface dipoles on both the Cu(111) and Cu 2O surfaces. Negative surface dipoles for clusters on Cu(111) suggest Cu → cluster electron transfer, which is generally supported by DFT-calculated Bader charge distributions. For Cu 2O/Cu(111), calculations of the surface electrostatic potentials show that the charge distributions associated with cluster adsorption structures or distortions at the cluster–Cu 2O–Cu(111) interface are largely responsible for the observed negative surface dipoles. Changes observed in the XPS spectra for the Mo 3d, Nb 3d, and Ti 2p core levels of the clusters on Cu(111) and Cu 2O/Cu(111) are interpreted with help from the calculated Bader charges and cluster adsorption structures, the latter providing information about the presence of inequivalent cation sites. Finally, the results presented in this work illustrate how the combined use of different experimental probes along with theoretical calculations can result in a more realistic picture of cluster–support interactions and bonding.« less

  14. Structural and optical properties of Ag-doped copper oxide thin films on polyethylene napthalate substrate prepared by low temperature microwave annealing

    NASA Astrophysics Data System (ADS)

    Das, Sayantan; Alford, T. L.

    2013-06-01

    Silver doped cupric oxide thin films are prepared on polyethylene naphthalate (flexible polymer) substrates. Thin films Ag-doped CuO are deposited on the substrate by co-sputtering followed by microwave assisted oxidation of the metal films. The low temperature tolerance of the polymer substrates led to the search for innovative low temperature processing techniques. Cupric oxide is a p-type semiconductor with an indirect band gap and is used as selective absorption layer solar cells. X-ray diffraction identifies the CuO phases. Rutherford backscattering spectrometry measurements confirm the stoichiometry of each copper oxide formed. The surface morphology is determined by atomic force microscopy. The microstructural properties such as crystallite size and the microstrain for (-111) and (111) planes are calculated and discussed. Incorporation of Ag led to the lowering of band gap in CuO. Consequently, it is determined that Ag addition has a strong effect on the structural, morphological, surface, and optical properties of CuO grown on flexible substrates by microwave annealing. Tauc's plot is used to determine the optical band gap of CuO and Ag doped CuO films. The values of the indirect and direct band gap for CuO are found to be 2.02 eV and 3.19 eV, respectively.

  15. Structural, optical and electrochemical properties of F-doped vanadium oxide transparent semiconducting thin films

    NASA Astrophysics Data System (ADS)

    Mousavi, M.; Khorrami, Gh. H.; Kompany, A.; Yazdi, Sh. Tabatabai

    2017-12-01

    In this study, F-doped vanadium oxide thin films with doping levels up to 60 at % were prepared by spray pyrolysis method on glass substrates. To measure the electrochemical properties, some films were deposited on fluorine-tin oxide coated glass substrates. The effect of F-doping on the structural, electrical, optical and electrochemical properties of vanadium oxide samples was investigated. The X-ray diffractographs analysis has shown that all the samples grow in tetragonal β-V2O5 phase structure with the preferred orientation of [200]. The intensity of (200) peak belonging to β-V2O5 phase was strongest in the undoped vanadium oxide film. The scanning electron microscopy images show that the samples have nanorod- and nanobelt-shaped structure. The size of the nanobelts in the F-doped vanadium oxide films is smaller than that in the pure sample and the width of the nanobelts increases from 30 to 70 nm with F concentration. With increasing F-doping level from 10 to 60 at %, the resistivity, the transparency and the optical band gap decrease from 111 to 20 Ω cm, 70 to 50% and 2.4 to 2.36 eV, respectively. The cyclic voltammogram (CV) results show that the undoped sample has the most extensive CV and by increasing F-doping level from 20 to 60 at %, the area of the CV is expanded. The anodic and cathodic peaks in F-doped samples are stronger.

  16. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  17. Multi-layered zinc oxide-graphene composite thin films for selective nitrogen dioxide sensing

    NASA Astrophysics Data System (ADS)

    Ghosh, A.; Bhowmick, T.; Majumder, S. B.

    2018-02-01

    In the present work, selective nitrogen dioxide (NO2) sensing characteristics of multi-layered graphene-zinc oxide (G-ZnO) thin films have been demonstrated at 150 °C. The response% of 5 ppm NO2 was measured to be 894% with response and recovery times estimated to be 150 s and 315 s, respectively. In these composite films, the interaction between graphene and zinc oxide is established through X-ray photoelectron spectroscopy in conjunction with the analyses of photoluminescence spectra. Superior NO2 sensing of these films is due to simultaneous chemiadsorption of molecular oxygen and NO2 gases onto graphene and ZnO surfaces, resulting in an appreciable increase in the depletion layer width and thereby the sensor resistance. The sensor responses for other reducing gases (viz., CO, H2, and i-C4H10) are postulated to be due to their catalytic oxidation on the sensor surface, resulting in a decrease in the sensor resistance upon gas exposure. At lower operating temperature, due to the molecular nature of the chemiadsorbed oxygen, poor catalytic oxidation leads to a far lower sensor response for reducing gases as compared to NO2. For mixed NO2 and reducing gas sensing, we have reported that fast Fourier transformation of the resistance transients of all these gases in conjunction with principal component analyses forms a reasonably distinct cluster and, therefore, could easily be differentiated.

  18. Interfacial control of oxygen vacancy doping and electrical conduction in thin film oxide heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Veal, Boyd W.; Kim, Seong Keun; Zapol, Peter

    2016-06-10

    Oxygen vacancies in proximity to surfaces and heterointerfaces in oxide thin film heterostructures have major effects on properties, resulting, for example, in emergent conduction behaviour, large changes in metal-insulator transition temperatures or enhanced catalytic activity. Here we report the discovery of a means of reversibly controlling the oxygen vacancy concentration and distribution in oxide heterostructures consisting of electronically conducting In2O3 films grown on ionically conducting Y2O3-stabilized ZrO2 substrates. Oxygen ion redistribution across the heterointerface is induced using an applied electric field oriented in the plane of the interface, resulting in controlled oxygen vacancy (and hence electron) doping of the filmmore » and possible orders-of-magnitude enhancement of the film's electrical conduction. The reversible modified behaviour is dependent on interface properties and is attained without cation doping or changes in the gas environment.« less

  19. Interfacial control of oxygen vacancy doping and electrical conduction in thin film oxide heterostructures

    DOE PAGES

    Veal, Boyd W.; Kim, Seong Keun; Zapol, Peter; ...

    2016-06-10

    Oxygen vacancies in proximity to surfaces and heterointerfaces in oxide thin film heterostructures have major effects on properties, resulting, for example, in emergent conduction behavior, large changes in metal-insulator transition temperatures, or enhanced catalytic activity. Here in this paper, we report the discovery of a means of reversibly controlling the oxygen vacancy concentration and distribution in oxide heterostructures consisting of electronically conducting In 2O 3 films grown on ionically conducting Y 2O 3-stabilized ZrO 2 substrates. Oxygen ion redistribution across the heterointerface is induced using an applied electric field oriented in the plane of the interface, resulting in controlled oxygenmore » vacancy (and hence electron) doping of the film and possible orders-of-magnitude enhancement of the film's electrical conduction. The reversible modified behavior is dependent on interface properties and is attained without cation doping or changes in the gas environment.« less

  20. Linking Precursor Alterations to Nanoscale Structure and Optical Transparency in Polymer Assisted Fast-Rate Dip-Coating of Vanadium Oxide Thin Films

    PubMed Central

    Glynn, Colm; Creedon, Donal; Geaney, Hugh; Armstrong, Eileen; Collins, Timothy; Morris, Michael A.; Dwyer, Colm O’

    2015-01-01

    Solution processed metal oxide thin films are important for modern optoelectronic devices ranging from thin film transistors to photovoltaics and for functional optical coatings. Solution processed techniques such as dip-coating, allow thin films to be rapidly deposited over a large range of surfaces including curved, flexible or plastic substrates without extensive processing of comparative vapour or physical deposition methods. To increase the effectiveness and versatility of dip-coated thin films, alterations to commonly used precursors can be made that facilitate controlled thin film deposition. The effects of polymer assisted deposition and changes in solvent-alkoxide dilution on the morphology, structure, optoelectronic properties and crystallinity of vanadium pentoxide thin films was studied using a dip-coating method using a substrate withdrawal speed within the fast-rate draining regime. The formation of sub-100 nm thin films could be achieved rapidly from dilute alkoxide based precursor solutions with high optical transmission in the visible, linked to the phase and film structure. The effects of the polymer addition was shown to change the crystallized vanadium pentoxide thin films from a granular surface structure to a polycrystalline structure composed of a high density of smaller in-plane grains, resulting in a uniform surface morphology with lower thickness and roughness. PMID:26123117